WorldWideScience

Sample records for hf-treated si001 surface

  1. Oxidation of aqueous HF-treated Si(001) surface induced by translational kinetic energy of O sub 2 at room temperature

    CERN Document Server

    Yoshigoe, A

    2003-01-01

    The oxidation induced by the translational kinetic energy of O sub 2 on the Si(001) surface treated with HF solution were investigated by combining synchrotron radiation photoemission spectroscopy with the supersonic molecular beam techniques. The oxidation at room temperature did not progress up to 3600 L of O sub 2 exposure with incident energy of 0.04 eV, whereas the oxidation states of up to Si sup 4 sup + species were formed in the case of 3.0 eV. The oxide-layer thickness was estimated to be 0.26 nm at the final oxidation stages. We concluded that the Si atoms at the top layers were oxidized by the incident energy of 3.0 eV.

  2. The Effectiveness of HCl and HF Cleaning of Si0.85Ge0.15 Surface

    International Nuclear Information System (INIS)

    Sun, Y

    2008-01-01

    The cleaning of Si 0.85 Ge 0.15 surfaces using HCl and HF solutions is studied using synchrotron radiation photoelectron spectroscopy. The HF solution is found to be effective in removing both the Si oxide and the Ge oxide while the HCl solution can only remove part of the Ge oxide. For samples treated with HF, four spectral components are needed to fit the Ge 3d photoemission spectra. One is the bulk component and the other three are attributed to the surface Ge atoms with mono-hydride, di-hydride and tri-hydride terminations, respectively

  3. Intermixing between HfO2 and GeO2 films deposited on Ge(001) and Si(001): Role of the substrate

    International Nuclear Information System (INIS)

    Soares, G. V.; Krug, C.; Miotti, L.; Bastos, K. P.; Lucovsky, G.; Baumvol, I. J. R.; Radtke, C.

    2011-01-01

    Thermally driven atomic transport in HfO 2 /GeO 2 /substrate structures on Ge(001) and Si(001) was investigated in N 2 ambient as function of annealing temperature and time. As-deposited stacks showed no detectable intermixing and no instabilities were observed on Si. On Ge, loss of O and Ge was detected in all annealed samples, presumably due to evolution of GeO from the GeO 2 /Ge interface. In addition, hafnium germanate is formed at 600 deg. C. Our data indicate that at 500 deg. C and above HfO 2 /GeO 2 stacks are stable only if isolated from the Ge substrate.

  4. Microhardness evaluation alloys Hf-Si-B; Avaliacao de microdureza de ligas Hf-Si-B

    Energy Technology Data Exchange (ETDEWEB)

    Gigolotti, Joao Carlos Janio; Costa, Eliane Fernandes Brasil [Centro Universitario de Volta Redonda (UNIFOA), Volta Redonda, RJ (Brazil); Nunes, Carlos Angelo; Rocha, Elisa Gombio; Coelho, Gilberto Carvalho, E-mail: carlosjanio@uol.com.br, E-mail: eliane-costabrasi@hotmail.com, E-mail: cnunes@demar.eel.usp.br, E-mail: elisarocha@alunos.eel.usp.br, E-mail: coelho@demar.eel.usp.br [Universidade de Sao Paulo (USP), Lorena, SP (Brazil)

    2014-08-15

    The technological advance has generated increasing demand for materials that can be used under high temperature, what includes intermetallic MR-Si-B (MR = refractory metal) alloys with multiphase structures, that can also be applied in oxide environments. Thus, this work had for objective the micro hardness study of the Hf-Si-B system alloys, heat treated at 1600 deg C, in the Hf rich region. Hf-Si-B alloys had been produced with blades of Hf (min. 99.8%), Si (min. 99.998%) and B (min. 99.5%), in the voltaic arc furnace and heat treated at 1600 deg C under argon atmosphere. The relationship of the phases had been previously identified by X-ray diffraction and contrast in backscattered electron imaging mode. The alloys had their hardness analyzed by method Vickers (micro hardness) with load of 0.05 kgf and 0.2 kgf and application time of 20 s. The results, obtained from the arithmetic mean of measurements for each alloy on the heterogeneous region, showed a mean hardness of 11.08 GPA, with small coefficient of variation of 3.8%. The borides HfB2 (19.34 GPa) e HfB - 11.76 GPa, showed the hardness higher than the silicides Hf2Si (8.57 GPa), Hf5Si3 (9.63 GPa), Hf3Si2 (11.66 GPa), Hf5Si4 (10.00 GPa), HfSi (10.02 GPa) e HfSi2 (8.61 GPa). (author)

  5. Reactions between monolayer Fe and Si(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Hasegawa, M; Kobayashi, N; Hayashi, N [Electrotechnical Lab., Tsukuba, Ibaraki (Japan)

    1997-03-01

    Reactions between 1.5 monolayer(ML) Fe deposited on Si(001)-2x1 and -dihydride surfaces were studied in situ by reflection high-energy electron diffraction and time-of-flight ion scattering spectrometry with the use of 25 keV H ions. The reactions between Fe and Si which were successively deposited on Si(001)-dihydride surface were also studied. After the room temperature deposition Fe reacted with Si(001)-2x1 substrate resulting in the formation of polycrystalline Fe5Si3. By annealing to 560-650degC composite heteroepitaxial layer of both type A and type B {beta}-FeSi2 was formed. On the dihydride surface polycrystalline Fe was observed after 1.5ML Fe deposition at room temperature, and reaction between Fe and Si(001)-dihydride surface is not likely at room temperature. We observed 3D rough surface when we deposited only Fe layer on the dihydride surface and annealed above 700degC. The hydrogen termination of Si(001) surface prevents the deposited Fe from diffusing into the substrate below 500degC, however the annealing above 710degC leads to the diffusion. We obtained 2D ordered surface, which showed 3x3 RHEED pattern as referenced to the primitive unreconstructed Si(001) surface net, when we deposited 2.5ML Fe and 5.8ML Si successively onto Si(001)-dihydride surface and annealed to 470degC. (author)

  6. Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    International Nuclear Information System (INIS)

    Li Yongliang; Xu Qiuxia

    2010-01-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 0 C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N 2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case. (semiconductor technology)

  7. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  8. Effect of oxide charge trapping on x-ray photoelectron spectroscopy of HfO2/SiO2/Si structures

    International Nuclear Information System (INIS)

    Abe, Yasuhiro; Miyata, Noriyuki; Suzuki, Haruhiko; Kitamura, Koji; Igarashi, Satoru; Nohira, Hiroshi; Ikenaga, Eiji

    2009-01-01

    We examined the effects of interfacial SiO 2 layers and a surface metal layer on the photoelectron spectra of HfO 2 /SiO 2 /Si structures by hard X-ray photoemission spectroscopy with synchrotron radiation as well as conventional X-ray photoelectron spectroscopy (XPS). The Hf 4f and Hf 3d photoelectron peaks broadened and shifted toward a higher binding energy with increasing thickness of the interfacial SiO 2 layer, even though photoelectrons may have been emitted from the HfO 2 layer with the same chemical composition. Thinning the interfacial Si oxide layer to approximately one monolayer and depositing a metal layer on the HfO 2 surface suppressed these phenomena. The O 1s photoelectron spectra revealed marked differences between the metal- and nonmetal-deposited HfO 2 /SiO 2 /Si structures; HfO 2 and SiO 2 components in the O 1s photoelectron spectra for the metal-deposited structures were observed at reasonably separated binding energies, but those for the nonmetal-deposited structures were not separated clearly. From this behavior concerning the effects of interfacial SiO 2 and surface metal layers, we concluded that the Hf 4f, Hf 3d, and O 1s spectra measured from the HfO 2 /SiO 2 /Si structures did not reflect actual chemical bonding states. We consider that potential variations in the HfO 2 film owing to charge trapping strongly affect the measured photoelectron spectra. On the basis of angle-resolved XPS measurements, we propose that positive charges are trapped at the HfO 2 surface and negative charges are trapped inside the HfO 2 layer. (author)

  9. 3C-SiC nanocrystal growth on 10° miscut Si(001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Deokar, Geetanjali, E-mail: gitudeo@gmail.com [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); D' Angelo, Marie; Demaille, Dominique [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Cavellin, Catherine Deville [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Faculté des Sciences et Technologie UPEC, 61 av. De Gaulle, Créteil F-94010 (France)

    2014-04-01

    The growth of 3C-SiC nano-crystal (NC) on 10° miscut Si(001) substrate by CO{sub 2} thermal treatment is investigated by scanning and high resolution transmission electron microscopies. The vicinal Si(001) surface was thermally oxidized prior to the annealing at 1100 °C under CO{sub 2} atmosphere. The influence of the atomic steps at the vicinal SiO{sub 2}/Si interface on the SiC NC growth is studied by comparison with the results obtained for fundamental Si(001) substrates in the same conditions. For Si miscut substrate, a substantial enhancement in the density of the SiC NCs and a tendency of preferential alignment of them along the atomic step edges is observed. The SiC/Si interface is abrupt, without any steps and epitaxial growth with full relaxation of 3C-SiC occurs by domain matching epitaxy. The CO{sub 2} pressure and annealing time effect on NC growth is analyzed. The as-prepared SiC NCs can be engineered further for potential application in optoelectronic devices and/or as a seed for homoepitaxial SiC or heteroepitaxial GaN film growth. - Highlights: • Synthesis of 3C-SiC nanocrystals epitaxied on miscut-Si using a simple technique • Evidence of domain matching epitaxy at the SiC/Si interface • SiC growth proceeds along the (001) plane of host Si. • Substantial enhancement of the SiC nanocrystal density due to the miscut • Effect of the process parameters (CO{sub 2} pressure and annealing duration)

  10. Near surface silicide formation after off-normal Fe-implantation of Si(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Khanbabaee, B., E-mail: khanbabaee@physik.uni-siegen.de; Pietsch, U. [Solid State Physics, University of Siegen, D-57068 Siegen (Germany); Lützenkirchen-Hecht, D. [Fachbereich C - Physik, Bergische Universität Wuppertal, D-42097 Wuppertal (Germany); Hübner, R.; Grenzer, J.; Facsko, S. [Helmholtz-Zentrum Dresden-Rossendorf, 01314 Dresden (Germany)

    2014-07-14

    We report on formation of non-crystalline Fe-silicides of various stoichiometries below the amorphized surface of crystalline Si(001) after irradiation with 5 keV Fe{sup +} ions under off-normal incidence. We examined samples prepared with ion fluences of 0.1 × 10{sup 17} and 5 × 10{sup 17} ions cm{sup −2} exhibiting a flat and patterned surface morphology, respectively. Whereas the iron silicides are found across the whole surface of the flat sample, they are concentrated at the top of ridges at the rippled surface. A depth resolved analysis of the chemical states of Si and Fe atoms in the near surface region was performed by combining X-ray photoelectron spectroscopy and X-ray absorption spectroscopy (XAS) using synchrotron radiation. The chemical shift and the line shape of the Si 2p core levels and valence bands were measured and associated with the formation of silicide bonds of different stoichiometric composition changing from an Fe-rich silicides (Fe{sub 3}Si) close to the surface into a Si-rich silicide (FeSi{sub 2}) towards the inner interface to the Si(001) substrate. This finding is supported by XAS analysis at the Fe K-edge which shows changes of the chemical environment and the near order atomic coordination of the Fe atoms in the region close to surface. Because a similar Fe depth profile has been found for samples co-sputtered with Fe during Kr{sup +} ion irradiation, our results suggest the importance of chemically bonded Fe in the surface region for the process of ripple formation.

  11. Dimer-flipping-assisted diffusion on a Si(001) surface

    International Nuclear Information System (INIS)

    Zi, J.; Min, B. J.; Lu, Y.; Wang, C. Z.; Ho, K. M.

    2000-01-01

    The binding sites and diffusion pathways of Si adatoms on a c(4x2) reconstructed Si(001) surface are investigated by a tight-binding method with an environment-dependent silicon potential in conjunction with ab initio calculations using the Car--Parrinello method. A new diffusion pathway along the trough edge driven by dimer flipping is found with a barrier of 0.74 eV, comparable to that of 0.68 eV along the top of the dimer rows

  12. Realization of a quantum Hamiltonian Boolean logic gate on the Si(001):H surface.

    Science.gov (United States)

    Kolmer, Marek; Zuzak, Rafal; Dridi, Ghassen; Godlewski, Szymon; Joachim, Christian; Szymonski, Marek

    2015-08-07

    The design and construction of the first prototypical QHC (Quantum Hamiltonian Computing) atomic scale Boolean logic gate is reported using scanning tunnelling microscope (STM) tip-induced atom manipulation on an Si(001):H surface. The NOR/OR gate truth table was confirmed by dI/dU STS (Scanning Tunnelling Spectroscopy) tracking how the surface states of the QHC quantum circuit on the Si(001):H surface are shifted according to the input logical status.

  13. Morphological Evolution of Pit-Patterned Si(001) Substrates Driven by Surface-Energy Reduction

    Science.gov (United States)

    Salvalaglio, Marco; Backofen, Rainer; Voigt, Axel; Montalenti, Francesco

    2017-09-01

    Lateral ordering of heteroepitaxial islands can be conveniently achieved by suitable pit-patterning of the substrate prior to deposition. Controlling shape, orientation, and size of the pits is not trivial as, being metastable, they can significantly evolve during deposition/annealing. In this paper, we exploit a continuum model to explore the typical metastable pit morphologies that can be expected on Si(001), depending on the initial depth/shape. Evolution is predicted using a surface-diffusion model, formulated in a phase-field framework, and tackling surface-energy anisotropy. Results are shown to nicely reproduce typical metastable shapes reported in the literature. Moreover, long time scale evolutions of pit profiles with different depths are found to follow a similar kinetic pathway. The model is also exploited to treat the case of heteroepitaxial growth involving two materials characterized by different facets in their equilibrium Wulff's shape. This can lead to significant changes in morphologies, such as a rotation of the pit during deposition as evidenced in Ge/Si experiments.

  14. Interfacial microstructure of NiSi x/HfO2/SiO x/Si gate stacks

    International Nuclear Information System (INIS)

    Gribelyuk, M.A.; Cabral, C.; Gusev, E.P.; Narayanan, V.

    2007-01-01

    Integration of NiSi x based fully silicided metal gates with HfO 2 high-k gate dielectrics offers promise for further scaling of complementary metal-oxide- semiconductor devices. A combination of high resolution transmission electron microscopy and small probe electron energy loss spectroscopy (EELS) and energy dispersive X-ray analysis has been applied to study interfacial reactions in the undoped gate stack. NiSi was found to be polycrystalline with the grain size decreasing from top to bottom of NiSi x film. Ni content varies near the NiSi/HfO x interface whereby both Ni-rich and monosilicide phases were observed. Spatially non-uniform distribution of oxygen along NiSi x /HfO 2 interface was observed by dark field Scanning Transmission Electron Microscopy and EELS. Interfacial roughness of NiSi x /HfO x was found higher than that of poly-Si/HfO 2 , likely due to compositional non-uniformity of NiSi x . No intermixing between Hf, Ni and Si beyond interfacial roughness was observed

  15. Study of Direct-Contact HfO2/Si Interfaces

    Directory of Open Access Journals (Sweden)

    Noriyuki Miyata

    2012-03-01

    Full Text Available Controlling monolayer Si oxide at the HfO2/Si interface is a challenging issue in scaling the equivalent oxide thickness of HfO2/Si gate stack structures. A concept that the author proposes to control the Si oxide interface by using ultra-high vacuum electron-beam HfO2 deposition is described in this review paper, which enables the so-called direct-contact HfO2/Si structures to be prepared. The electrical characteristics of the HfO2/Si metal-oxide-semiconductor capacitors are reviewed, which suggest a sufficiently low interface state density for the operation of metal-oxide-semiconductor field-effect-transistors (MOSFETs but reveal the formation of an unexpected strong interface dipole. Kelvin probe measurements of the HfO2/Si structures provide obvious evidence for the formation of dipoles at the HfO2/Si interfaces. The author proposes that one-monolayer Si-O bonds at the HfO2/Si interface naturally lead to a large potential difference, mainly due to the large dielectric constant of the HfO2. Dipole scattering is demonstrated to not be a major concern in the channel mobility of MOSFETs.

  16. Surface correlation behaviors of metal-organic Langmuir-Blodgett films on differently passivated Si(001) surfaces

    Science.gov (United States)

    Bal, J. K.; Kundu, Sarathi

    2013-03-01

    Langmuir-Blodgett films of standard amphiphilic molecules like nickel arachidate and cadmium arachidate are grown on wet chemically passivated hydrophilic (OH-Si), hydrophobic (H-Si), and hydrophilic plus hydrophobic (Br-Si) Si(001) surfaces. Top surface morphologies and height-difference correlation functions g(r) with in-plane separation (r) are obtained from the atomic force microscopy studies. Our studies show that deposited bilayer and trilayer films have self-affine correlation behavior irrespective of different passivations and different types of amphiphilic molecules, however, liquid like correlation coexists only for a small part of r, which is located near the cutoff length (1/κ) or little below the correlation length ξ obtained from the liquid like and self-affine fitting, respectively. Thus, length scale dependent surface correlation behavior is observed for both types of Langmuir-Blodgett films. Metal ion specific interactions (ionic, covalent, etc.,) in the headgroup and the nature of the terminated bond (polar, nonpolar, etc.,) of Si surface are mainly responsible for having different correlation parameters.

  17. Lowered operation voltage in Pt/SBi2Ta2O9/HfO2/Si ferroelectric-gate field-effect transistors by oxynitriding Si

    International Nuclear Information System (INIS)

    Horiuchi, Takeshi; Takahashi, Mitsue; Li, Qiu-Hong; Wang, Shouyu; Sakai, Shigeki

    2010-01-01

    Oxynitrided Si (SiON) surfaces show smaller subthreshold swings than do directly nitrided Si (SiN) surfaces when used in ferroelectric-gate field-effect transistors (FeFETs) having the following stacked-gate structure: Pt/SrBi 2 Ta 2 O 9 (SBT)/HfO 2 /Si. SiON/Si substrates for FeFETs were prepared by rapid thermal oxidation (RTO) in O 2 at 1000 °C and subsequent rapid thermal nitridation (RTN) in NH 3 at various temperatures in the range 950–1150 °C. The electrical properties of the Pt/SBT/HfO 2 /SiON/Si FeFET were compared with those of reference FETs, i.e. Pt/SBT/HfO 2 gate stacks formed on Si substrates subjected to various treatments: SiN x /Si formed by RTN, SiO 2 /Si formed by RTO and untreated Si. The Pt/SBT/HfO 2 /SiON/Si FeFET had a larger memory window than all the other reference FeFETs, particularly at low operation voltages when the RTN temperature was 1050 °C

  18. High resolution electron energy loss spectroscopy of clean and hydrogen covered Si(001) surfaces: first principles calculations.

    Science.gov (United States)

    Patterson, C H

    2012-09-07

    Surface phonons, conductivities, and loss functions are calculated for reconstructed (2×1), p(2×2) and c(4×2) clean Si(001) surfaces, and (2×1) H and D covered Si(001) surfaces. Surface conductivities perpendicular to the surface are significantly smaller than conductivities parallel to the surface. The surface loss function is compared to high resolution electron energy loss measurements. There is good agreement between calculated loss functions and experiment for H and D covered surfaces. However, agreement between experimental data from different groups and between theory and experiment is poor for clean Si(001) surfaces. Formalisms for calculating electron energy loss spectra are reviewed and the mechanism of electron energy losses to surface vibrations is discussed.

  19. Mechanisms and selectivity for etching of HfO2 and Si in BCl3 plasmas

    International Nuclear Information System (INIS)

    Wang Chunyu; Donnelly, Vincent M.

    2008-01-01

    The authors have investigated plasma etching of HfO 2 , a high dielectric constant material, and poly-Si in BCl 3 plasmas. Etching rates were measured as a function of substrate temperature (T s ) at several source powers. Activation energies range from 0.2 to 1.0 kcal/mol for HfO 2 and from 0.8 to 1.8 kcal/mol for Si, with little or no dependence on source power (20-200 W). These low activation energies suggest that product removal is limited by chemical sputtering of the chemisorbed Hf or Si-containing layer, with a higher T s only modestly increasing the chemical sputtering rate. The slightly lower activation energy for HfO 2 results in a small improvement in selectivity over Si at low temperature. The surface layers formed on HfO 2 and Si after etching in BCl 3 plasmas were also investigated by vacuum-transfer x-ray photoelectron spectroscopy. A thin boron-containing layer was observed on partially etched HfO 2 and on poly-Si after etching through HfO 2 films. For HfO 2 , a single B(1s) feature at 194 eV was ascribed to a heavily oxidized species with bonding similar to B 2 O 3 . B(1s) features were observed for poly-Si surfaces at 187.6 eV (B bound to Si), 189.8 eV, and 193 eV (both ascribed to BO x Cl y ). In the presence of a deliberately added 0.5% air, the B-containing layer on HfO 2 is largely unaffected, while that on Si converts to a thick layer with a single B(1s) peak at 194 eV and an approximate stoichiometry of B 3 O 4 Cl

  20. The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces

    International Nuclear Information System (INIS)

    Kent, Tyler; Edmonds, Mary; Kummel, Andrew C.; Tang, Kechao; Negara, Muhammad Adi; McIntyre, Paul; Chobpattana, Varistha; Mitchell, William; Sahu, Bhagawan; Galatage, Rohit; Droopad, Ravi

    2015-01-01

    Current logic devices rely on 3D architectures, such as the tri-gate field effect transistor (finFET), which utilize the (001) and (110) crystal faces simultaneously thus requiring passivation methods for the (110) face in order to ensure a pristine 3D surface prior to further processing. Scanning tunneling microscopy (STM), x-ray photoelectron spectroscopy (XPS), and correlated electrical measurement on MOSCAPs were utilized to compare the effects of a previously developed in situ pre-atomic layer deposition (ALD) surface clean on the InGaAs (001) and (110) surfaces. Ex situ wet cleans are very effective on the (001) surface but not the (110) surface. Capacitance voltage indicated the (001) surface with no buffered oxide etch had a higher C max hypothesized to be a result of poor nucleation of HfO 2 on the native oxide. An in situ pre-ALD surface clean employing both atomic H and trimethylaluminum (TMA) pre-pulsing, developed by Chobpattana et al. and Carter et al. for the (001) surface, was demonstrated to be effective on the (110) surface for producing low D it high C ox MOSCAPs. Including TMA in the pre-ALD surface clean resulted in reduction of the magnitude of the interface state capacitance. The XPS studies show the role of atomic H pre-pulsing is to remove both carbon and oxygen while STM shows the role of TMA pre-pulsing is to eliminate H induced etching. Devices fabricated at 120 °C and 300 °C were compared

  1. Magnetron-sputter epitaxy of β-FeSi2(220)/Si(111) and β-FeSi2(431)/Si(001) thin films at elevated temperatures

    International Nuclear Information System (INIS)

    Liu Hongfei; Tan Chengcheh; Chi Dongzhi

    2012-01-01

    β-FeSi 2 thin films have been grown on Si(111) and Si(001) substrates by magnetron-sputter epitaxy at 700 °C. On Si(111), the growth is consistent with the commonly observed orientation of [001]β-FeSi 2 (220)//[1-10]Si(111) having three variants, in-plane rotated 120° with respect to one another. However, on Si(001), under the same growth conditions, the growth is dominated by [-111]β-FeSi 2 (431)//[110]Si(001) with four variants, which is hitherto unknown for growing β-FeSi 2 . Photoelectron spectra reveal negligible differences in the valance-band and Fe2p core-level between β-FeSi 2 grown on Si(111) and Si(001) but an apparent increased Si-oxidization on the surface of β-FeSi 2 /Si(001). This phenomenon is discussed and attributed to the Si-surface termination effect, which also suggests that the Si/Fe ratio on the surface of β-FeSi 2 (431)/Si(001) is larger than that on the surface of β-FeSi 2 (220)/Si(111).

  2. Density-functional theory molecular dynamics simulations of a-HfO2/a-SiO2/SiGe and a-HfO2/a-SiO2/Ge with a-SiO2 and a-SiO suboxide interfacial layers

    Science.gov (United States)

    Chagarov, Evgueni A.; Kavrik, Mahmut S.; Fang, Ziwei; Tsai, Wilman; Kummel, Andrew C.

    2018-06-01

    Comprehensive Density-Functional Theory (DFT) Molecular Dynamics (MD) simulations were performed to investigate interfaces between a-HfO2 and SiGe or Ge semiconductors with fully-stoichiometric a-SiO2 or sub-oxide SiO interlayers. The electronic structure of the selected stacks was calculated with a HSE06 hybrid functional. Simulations were performed before and after hydrogen passivation of residual interlayer defects. For the SiGe substrate with Ge termination prior to H passivation, the stacks with a-SiO suboxide interlayer (a-HfO2/a-SiO/SiGe) demonstrate superior electronic properties and wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/SiGe). After H passivation, most of the a-HfO2/a-SiO2/SiGe defects are passivated. To investigate effect of random placement of Si and Ge atoms additional simulations with a randomized SiGe slab were performed demonstrating improvement of electronic structure. For Ge substrates, before H passivation, the stacks with a SiO suboxide interlayer (a-HfO2/a-SiO/Ge) also demonstrate wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/Ge). However, even for a-HfO2/a-SiO/Ge, the Fermi level is shifted close to the conduction band edge (CBM) consistent with Fermi level pinning. Again, after H passivation, most of the a-HfO2/a-SiO2/Ge defects are passivated. The stacks with fully coordinated a-SiO2 interlayers have much stronger deformation and irregularity in the semiconductor (SiGe or Ge) upper layers leading to multiple under-coordinated atoms which create band-edge states and decrease the band-gap prior to H passivation.

  3. Understanding the growth mechanism of graphene on Ge/Si(001) surfaces.

    Science.gov (United States)

    Dabrowski, J; Lippert, G; Avila, J; Baringhaus, J; Colambo, I; Dedkov, Yu S; Herziger, F; Lupina, G; Maultzsch, J; Schaffus, T; Schroeder, T; Kot, M; Tegenkamp, C; Vignaud, D; Asensio, M-C

    2016-08-17

    The practical difficulties to use graphene in microelectronics and optoelectronics is that the available methods to grow graphene are not easily integrated in the mainstream technologies. A growth method that could overcome at least some of these problems is chemical vapour deposition (CVD) of graphene directly on semiconducting (Si or Ge) substrates. Here we report on the comparison of the CVD and molecular beam epitaxy (MBE) growth of graphene on the technologically relevant Ge(001)/Si(001) substrate from ethene (C2H4) precursor and describe the physical properties of the films as well as we discuss the surface reaction and diffusion processes that may be responsible for the observed behavior. Using nano angle resolved photoemission (nanoARPES) complemented by transport studies and Raman spectroscopy as well as density functional theory (DFT) calculations, we report the direct observation of massless Dirac particles in monolayer graphene, providing a comprehensive mapping of their low-hole doped Dirac electron bands. The micrometric graphene flakes are oriented along two predominant directions rotated by 30° with respect to each other. The growth mode is attributed to the mechanism when small graphene "molecules" nucleate on the Ge(001) surface and it is found that hydrogen plays a significant role in this process.

  4. Low thermal budget surface preparation of Si and SiGe

    International Nuclear Information System (INIS)

    Abbadie, A.; Hartmann, J.M.; Holliger, P.; Semeria, M.N.; Besson, P.; Gentile, P.

    2004-01-01

    Using a two-step cleaning, we have investigated the low thermal budget surface preparation of Si and Si 1-x Ge x (x=0.2-0.33). It consists of an ex situ 'HF-last' wet-cleaning and an in situ low thermal budget H 2 bake in a reduced pressure-chemical vapor deposition reactor. Using secondary ion mass spectrometry, we have evaluated the effects of different H 2 bake temperatures (in between 750 and 850 deg. C for 2 min) on the removal efficiency of C, O and F atoms still present on the surface of Si and SiGe virtual substrates after the 'HF-last' wet-cleaning. We have then examined the impact of the (wet-cleaning+H 2 bake) combination on the surface cross-hatch of SiGe as-grown virtual substrates, focusing on the analysis, notably by atomic force microscopy, of the surface topography before and after the miscellaneous thermal treatments. In situ hydrogen baking steps in between 775 and 850 deg. C do not modify the surface morphology and roughness. An easy and rapid optical characterization method, i.e. the optical interferometry, is presented as well to monitor in line the morphological changes induced by such processing steps as chemical mechanical polishing, wet-cleaning, H 2 bake, etc. Despite the lower resolution of the optical profilometer, the surface roughness values coming from it have been correctly correlated with those obtained from AFM. An optimized 'HF-last' wet-cleaning using a diluted chemistry in conjunction with a H 2 bake at 800 deg. C for 2 min (775 deg. C, 2') is a good compromise for SiGe (Si) surface preparation

  5. Rotated domain network in graphene on cubic-SiC(001)

    International Nuclear Information System (INIS)

    Chaika, Alexander N; Aristov, Victor Y; Molodtsova, Olga V; Zakharov, Alexei A; Marchenko, Dmitry; Sánchez-Barriga, Jaime; Varykhalov, Andrei; Babenkov, Sergey V; Portail, Marc; Zielinski, Marcin; Murphy, Barry E; Krasnikov, Sergey A; Lübben, Olaf; Shvets, Igor V

    2014-01-01

    The atomic structure of the cubic-SiC(001) surface during ultra-high vacuum graphene synthesis has been studied using scanning tunneling microscopy (STM) and low-energy electron diffraction. Atomically resolved STM studies prove the synthesis of a uniform, millimeter-scale graphene overlayer consisting of nanodomains rotated by ±13.5° relative to the 〈110〉-directed boundaries. The preferential directions of the domain boundaries coincide with the directions of carbon atomic chains on the SiC(001)-c(2 × 2) reconstruction, fabricated prior to graphene synthesis. The presented data show the correlation between the atomic structures of the SiC(001)-c(2 × 2) surface and the graphene/SiC(001) rotated domain network and pave the way for optimizing large-area graphene synthesis on low-cost cubic-SiC(001)/Si(001) wafers. (paper)

  6. HfO2 and SiO2 as barriers in magnetic tunneling junctions

    Science.gov (United States)

    Shukla, Gokaran; Archer, Thomas; Sanvito, Stefano

    2017-05-01

    SiO2 and HfO2 are both high-k, wide-gap semiconductors, currently used in the microelectronic industry as gate barriers. Here we investigate whether the same materials can be employed to make magnetic tunnel junctions, which in principle can be amenable for integration in conventional Si technology. By using a combination of density functional theory and the nonequilibrium Green's functions method for quantum transport we have studied the transport properties of Co [0001 ] /SiO2[001 ] /Co [0001 ] and Fe [001 ] /HfO2[001 ] /Fe [001 ] junctions. In both cases we found a quite large magnetoresistance, which is explained through the analysis of the real band structure of the magnets and the complex one of the insulator. We find that there is no symmetry spin filtering for the Co-based junction since the high transmission Δ2' band crosses the Fermi level, EF, for both spin directions. However, the fact that Co is a strong ferromagnet makes the orbital contribution to the two Δ2' spin subbands different, yielding magnetoresistance. In contrast for the Fe-based junction symmetry filtering is active for an energy window spanning between the Fermi level and 1 eV below EF, with Δ1 symmetry contributing to the transmission.

  7. Initial oxidation processes of Si(001) surfaces by supersonic O2 molecular beams. Different oxidation mechanisms for clean and partially-oxidized surfaces

    International Nuclear Information System (INIS)

    Teraoka, Yuden; Yoshigoe, Akitaka

    2002-01-01

    Potential energy barriers for dissociative chemisorption of O 2 molecules on Si(001) clean surfaces were investigated using supersonic O 2 molecular beams and photoemission spectroscopy. Relative initial sticking probabilities of O 2 molecules and the saturated oxygen amount on the Si(001) surface were measured as a function of incident energy of O 2 molecules. Although the probability was independent on the incident energy in the region larger than 1 eV, the saturated oxygen amount was dependent on the incident energy without energy thresholds. An Si-2p photoemission spectrum of the Si(001) surface oxidized by thermal O 2 gas revealed the oxygen insertion into dimer backbond sites. These facts indicate that a reaction path of the oxygen insertion into dimer backbonds through bridge sites is open for the clean surface oxidation, and the direct chemisorption probability at the backbonds is negligibly small comparing with that at the bridge sites. (author)

  8. Ge interactions on HfO2 surfaces and kinetically driven patterning of Ge nanocrystals on HfO2

    International Nuclear Information System (INIS)

    Stanley, Scott K.; Joshi, Sachin V.; Banerjee, Sanjay K.; Ekerdt, John G.

    2006-01-01

    Germanium interactions are studied on HfO 2 surfaces, which are prepared through physical vapor deposition (PVD) and by atomic layer deposition. X-ray photoelectron spectroscopy and temperature-programed desorption are used to follow the reactions of germanium on HfO 2 . Germanium chemical vapor deposition at 870 K on HfO 2 produces a GeO x adhesion layer, followed by growth of semiconducting Ge 0 . PVD of 0.7 ML Ge (accomplished by thermally cracking GeH 4 over a hot filament) also produces an initial GeO x layer, which is stable up to 800 K. PVD above 2.0 ML deposits semiconducting Ge 0 . Temperature programed desorption experiments of ∼1.0 ML Ge from HfO 2 at 400-1100 K show GeH 4 desorption below 600 K and GeO desorption above 850 K. These results are compared to Ge on SiO 2 where GeO desorption is seen at 550 K. Exploiting the different reactivity of Ge on HfO 2 and SiO 2 allows a kinetically driven patterning scheme for high-density Ge nanoparticle growth on HfO 2 surfaces that is demonstrated

  9. Ti-catalyzed HfSiO4 formation in HfTiO4 films on SiO2 studied by Z-contrast scanning electron microscopy

    Directory of Open Access Journals (Sweden)

    Elizabeth Ellen Hoppe

    2013-08-01

    Full Text Available Hafnon (HfSiO4 as it is initially formed in a partially demixed film of hafnium titanate (HfTiO4 on fused SiO2 is studied by atomic number (Z contrast high resolution scanning electron microscopy, x-ray diffraction, and Raman spectroscopy and microscopy. The results show exsoluted Ti is the catalyst for hafnon formation by a two-step reaction. Ti first reacts with SiO2 to produce a glassy Ti-silicate. Ti is then replaced by Hf in the silicate to produce HfSiO4. The results suggest this behavior is prototypical of other Ti-bearing ternary or higher order oxide films on SiO2 when film thermal instability involves Ti exsolution.

  10. Silicate formation at the interface of Pr-oxide as a high-K dielectric and Si(001) surfaces

    International Nuclear Information System (INIS)

    Schmeisser, D.; Zheng, F.; Perez-Dieste, V.; Himpsel, F.J.; LoNigro, R.; Toro, R.G.; Malandrino, G.; Fragala, I.L.

    2006-01-01

    The composition and chemical bonding of the first atoms across the interface between Si(001) and the dielectric determine the quality of dielectric gate stacks. An analysis of that hidden interface is a challenge as it requires both, high sensitivity and elemental and chemical state information. We used X-ray absorption spectroscopy in total electron yield and total fluorescence yield at the Si2p and the O1s edges to address that issue. We report on results of Pr 2 O 3 /Si(001) as prepared by both, epitaxial growth and metal organic chemical vapor deposition (MOCVD), and compare to the SiO 2 /Si(001) system as a reference. We find evidence for the silicate formation at the interface as derived from the characteristic features at the Si2p and the O1s edges. The results are in line with model experiments in which films of increasing film thickness are deposited in situ on bare Si(001) surfaces

  11. Ge clusters and wetting layers forming from granular films on the Si(001) surface

    International Nuclear Information System (INIS)

    Storozhevykh, M S; Arapkina, L V; Yuryev, V A

    2016-01-01

    The report studies the transformation of a Ge granular film deposited on the Si(001) surface at room temperature into a Ge/Si(001) heterostructure as a result of rapid heating and annealing at 600 °C. As a result of the short-term annealing at 600 °C in conditions of a closed system, the Ge granular film transforms into a usual wetting layer and Ge clusters with multimodal size distribution and Ge oval drops having the highest number density. After the long-term thermal treatment of the Ge film at the same temperature, Ge drops disappear; the large clusters increase their sizes at the expense of the smaller ones. The total density of Ge clusters on the surface drastically decreases. The wetting layer mixed c(4 x 2) + p(2 x 2) reconstruction transforms into a single c(4 x 2) one which is likely to be thermodynamically favoured. Pyramids or domes are not observed on the surface after any annealing. (paper)

  12. Precursor state of oxygen molecules on the Si(001) surface during the initial room-temperature adsorption

    Science.gov (United States)

    Hwang, Eunkyung; Chang, Yun Hee; Kim, Yong-Sung; Koo, Ja-Yong; Kim, Hanchul

    2012-10-01

    The initial adsorption of oxygen molecules on Si(001) is investigated at room temperature. The scanning tunneling microscopy images reveal a unique bright O2-induced feature. The very initial sticking coefficient of O2 below 0.04 Langmuir is measured to be ˜0.16. Upon thermal annealing at 250-600 °C, the bright O2-induced feature is destroyed, and the Si(001) surface is covered with dark depressions that seem to be oxidized structures with -Si-O-Si- bonds. This suggests that the observed bright O2-induced feature is an intermediate precursor state that may be either a silanone species or a molecular adsorption structure.

  13. One-dimensional silicon nanolines in the Si(001):H surface

    International Nuclear Information System (INIS)

    Bianco, F.; Köster, S. A.; Longobardi, M.; Owen, J. H.G.; Renner, Ch.; Bowler, D. R.

    2013-01-01

    We present a detailed study of the structural and electronic properties of a self-assembled silicon nanoline embedded in the monohydride Si(001):H surface, known as the Haiku stripe. The nanoline is a perfectly straight and defect free endotaxial structure of huge aspect ratio; it can grow micrometer long at a constant width of exactly four Si dimers (1.54 nm). Another remarkable property is its capacity to be exposed to air without suffering any degradation. The nanoline grows independently of any step edges at tunable densities, from isolated nanolines to a dense array of nanolines. In addition to these unique structural characteristics, scanning tunnelling microscopy and density functional theory reveal a one-dimensional state confined along the Haiku core. This nanoline is a promising candidate for the long sought after electronic solid-state one-dimensional model system to explore the fascinating quantum properties emerging in such reduced dimensionality

  14. Surface treatment effect on Si (111) substrate for carbon deposition using DC unbalanced magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Aji, A. S., E-mail: aji.ravazes70@gmail.com; Sahdan, M. F.; Hendra, I. B.; Dinari, P.; Darma, Y. [Quantum Semiconductor and Devices Lab., Physics of Material Electronics Research Division, Department of Physics, Institut Teknologi Bandung (Indonesia)

    2015-04-16

    In this work, we studied the effect of HF treatment in silicon (111) substrate surface for depositing thin layer carbon. We performed the deposition of carbon by using DC Unbalanced Magnetron Sputtering with carbon pallet (5% Fe) as target. From SEM characterization results it can be concluded that the carbon layer on HF treated substrate is more uniform than on substrate without treated. Carbon deposition rate is higher as confirmed by AFM results if the silicon substrate is treated by HF solution. EDAX characterization results tell that silicon (111) substrate with HF treatment have more carbon fraction than substrate without treatment. These results confirmed that HF treatment on silicon Si (111) substrates could enhance the carbon deposition by using DC sputtering. Afterward, the carbon atomic arrangement on silicon (111) surface is studied by performing thermal annealing process to 900 °C. From Raman spectroscopy results, thin film carbon is not changing until 600 °C thermal budged. But, when temperature increase to 900 °C, thin film carbon is starting to diffuse to silicon (111) substrates.

  15. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  16. Shaping Ge islands on Si(001) surfaces with misorientation angle.

    Science.gov (United States)

    Persichetti, L; Sgarlata, A; Fanfoni, M; Balzarotti, A

    2010-01-22

    A complete description of Ge growth on vicinal Si(001) surfaces in the angular miscut range 0 degrees -8 degrees is presented. The key role of substrate vicinality is clarified from the very early stages of Ge deposition up to the nucleation of 3D islands. By a systematic scanning tunneling microscopy investigation we are able to explain the competition between step-flow growth and 2D nucleation and the progressive elongation of the 3D islands along the miscut direction [110]. Using finite element calculations, we find a strict correlation between the morphological evolution and the energetic factors which govern the {105} faceting at atomic scale.

  17. Hybrid HF-DFT comparative study of SrZrO{sub 3} and SrTiO{sub 3}(001) surface properties

    Energy Technology Data Exchange (ETDEWEB)

    Evarestov, R.A.; Bandura, A.V.; Alexandrov, V.E. [Department of Quantum Chemistry, St. Petersburg State University, 26 Universitetskii Prospekt, Stary Petergof, 198504 St. Petersburg (Russian Federation)

    2006-10-15

    Hybrid HF-DFT LCAO simulations of SrZrO{sub 3} and SrTiO{sub 3}(001) surface properties are performed in a single-slab model framework. The SrZrO{sub 3}(001) surface was studied by an ab initio method for the first time. Three slab models with different surface terminations including up to 8 atomic planes were used for calculation of the various surface characteristics (surface energies, atomic charges, density of electronic states). The dependence of the results on the chosen model and on the kind of d-element is analyzed. The dissimilarity in the surface oxygen atom contributions to the total density of states of two crystals is attributed to the more ionic nature of Zr-O bonds compared to Ti-O bonds. It is found that in the case of SrZrO{sub 3} the electronic density is biased towards the SrO-terminated surface and this surface should be more basic in nature than the SrO surface of SrTiO{sub 3} crystal. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. The Co-60 gamma-ray irradiation effects on the Al/HfSiO4/p-Si/Al MOS capacitors

    Science.gov (United States)

    Lok, R.; Kaya, S.; Karacali, H.; Yilmaz, E.

    2017-12-01

    In this work, the initial interface trap density (Nit) to examine device compability for microelectronics and then the Co-60 gamma irradiation responses of Al/HfSiO4/p-Si/Al (MOS) capacitors were investigated in various dose ranges up to 70 Gy. Pre-irradiation response of the devices was evaluated from high frequency (HF) and low frequency (LF) capacitance method and the Nit was calculated as 9.91 × 1011 cm-2 which shows that the HfSiO4/p-Si interface quality is convenient for microelectronics applications. The irradiation responses of the devices were carried out from flat-band and mid-gap voltage shifts obtained from stretch of capacitance characteristics prior to and after irradiation. The results show that the flat band voltages very slightly shifted to positive voltage values demonstrating the enhancement of negative charge trapping in device structure. The sensitivity of the Al/HfSiO4/p-Si/Al MOS capacitors was found to be 4.41 mV/Gy for 300 nm-thick HfSiO4 gate dielectrics. This value approximately 6.5 times smaller compared to the same thickness conventional SiO2 based MOS devices. Therefore, HfSiO4 exhibits crucial irradiation tolerance in gamma irradiation environment. Consequently, HfSiO4 dielectrics may have significant usage for microelectronic technology as a radiation hard material where radiation field exists such as in space applications.

  19. Investigation of split-off dimers on the Si(001)2x1 surface

    International Nuclear Information System (INIS)

    Schofield, S.R.; O'Brien, J.L.; Curson, N.J.; Simmons, M.Y.; Clark, R.G.

    2002-01-01

    Full text: A detailed knowledge of the nature of crystalline defects on the Si(001)2x1 surface is becoming increasingly important as more research effort is dedicated to producing atomic-scale electronic devices. Here we present high-resolution scanning tunnelling microscopy (STM) images and ab initio pseudopotential calculations of an unusual defect of the silicon (001) surface called the split-off dimer. In high-resolution filled-state images, split-off dimers appear as a pair of protrusions, in contrast to the surrounding surface dimers that appear as 'bean-shaped' protrusions. We show that π-bonding does not exist between the atoms of the split-off dimer, but instead, the dimer atoms form π-bonds with two second layer atoms as part of a tetramer bonding arrangement. We discuss the strain associated with split-off dimer defects and describe how this strain significantly affects the bonding arrangements and local density of states around these defects

  20. Ordering of vacancies on Si(001)

    NARCIS (Netherlands)

    Zandvliet, Henricus J.W.

    1997-01-01

    Missing dimer vacancies are always present on the clean Si(001) surface. The vacancy density can be increased by ion bombardment (Xe+, Ar+), etching (O2, Br2, I2, etc.) or Ni contamination. The equilibrium shape at low vacancy concentrations (<0.2¿0.3 monolayers) of these vacancy islands is

  1. Real-time monitoring of initial thermal oxidation on Si(001) surfaces by synchrotron radiation photoemission spectroscopy

    CERN Document Server

    Yoshigoe, A; Teraoka, Y

    2003-01-01

    The thermal oxidation of Si(001) surfaces at 860 K, 895 K, 945 K and 1000 K under the O sub 2 pressure of 1 x 10 sup - sup 4 Pa has been investigated by time-resolved photoemission measurements with synchrotron radiation. Based on time evolution analyses by reaction kinetics models, it was found that the oxidation at 860 K, 895 K and 945 K has progressed with the Langmuir adsorption type, whereas the oxidation at 1000 K has showed the character of the two-dimensional island growth involving SiO desorption. The oxidation rates increases with increasing surface temperature in the passive oxidation condition. The time evolution of each Si oxidation state (Si sup n sup + : n = 1, 2, 3, 4) derived from the Si-2p core-level shifts has also been analyzed. The results revealed that the thermal energy contribution to the migration process of the adsorbed oxygen and the emission of the bulk silicon atoms. Thus, the fraction of the Si sup 4 sup + bonding state, i.e. SiO sub 2 structure, was increased. (author)

  2. Suspended HfO2 photonic crystal slab on III-nitride/Si platform

    International Nuclear Information System (INIS)

    Wang, Yongjin; Feng, Jiao; Cao, Ziping; Zhu, Hongbo

    2014-01-01

    We present here the fabrication of suspended hafnium oxide (HfO 2 ) photonic crystal slab on a III-nitride/Si platform. The calculations are performed to model the suspended HfO 2 photonic crystal slab. Aluminum nitride (AlN) film is employed as the sacrificial layer to form air gap. Photonic crystal patterns are defined by electron beam lithography and transferred into HfO 2 film, and suspended HfO 2 photonic crystal slab is achieved on a III-nitride/Si platform through wet-etching of AlN layer in the alkaline solution. The method is promising for the fabrication of suspended HfO 2 nanostructures incorporating into a III-nitride/Si platform, or acting as the template for epitaxial growth of III-nitride materials. (orig.)

  3. Self-assembled epitaxial NiSi2 nanowires on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Chen, S.Y.; Chen, L.J.

    2006-01-01

    Self-assembled epitaxial NiSi 2 nanowires have been fabricated on Si(001) by reactive deposition epitaxy (RDE). The RDE method promoted nanowire growth since it provides deposited atoms sufficient kinetic energy for movement on the Si surface during the growth of silicide islands. The twin-related interface between NiSi 2 and Si is directly related to the nanowire formation since it breaks the symmetry of the surface and leads to the asymmetric growth. The temperature of RDE was found to greatly influence the formation of nanowires. By RDE at 750 deg. C, a high density of NiSi 2 nanowires was formed with an average aspect ratio of 30

  4. Crystalline and lattice matched Ba0.7Si0.3O layers on plane and vicinal Si(001) surfaces

    International Nuclear Information System (INIS)

    Zachariae, J.

    2006-01-01

    In this work the low temperature growth conditions of epitaxial and lattice-matched Ba 0.7 Sr 0.3 O layers on Si(100) were investigated using the combination of low energy electron diffraction (LEED), x-ray photoemission (XPS) and electron energy loss spectroscopy (EELS). With these methods crystallinity, stoichiometry and electronic structure of both occupied and unoccupied levels were studied as a function of layer thickness. Oxide layers were generated by evaporating the metals in oxygen ambient pressure with the sample at room temperature. Perfect crystallinity and lattice matching was only obtained starting with a preadsorbed monolayer (ML) of Sr or Ba at a concentration close to one monolayer. The XPS analysis shows that Ba 0.7 Sr 0.3 O as a high-K gate dielectric offers an adequate band gap, an appropriate band alignment and a atomically sharp interface to the Si(001) substrate. No silicide and silicate species, or SiO 2 formation at the interface after oxidation were found. To show that Ba 0.7 Sr 0.3 O is really appropriate to replace SiO 2 as a gate dielectric, first C-V and I-V curves of MOS-diodes with SrO, BaO and Ba 0.7 Sr 0.3 O as gateoxide were measured under ambient conditions. Besides other results, it turns out that the measured dielectric constant of Ba 0.7 Sr 0.3 O conforms with the expected value of ε ∼ 25 - 30. Exploring ways for self-organized structuring of insulating films, the possibility to produce replicas of step trains, given by a vicinal Si(001)-4 [110] surface, in layers of crystalline and perfectly lattice matched Ba 0.7 Sr 0.3 O were investigated. For this purpose high-resolution spot profile analyses in low-energy electron diffraction (SPA-LEED) both on flat Si(001) and on vicinal Si(001)-4 [110] were carried out. The G(S) analysis of these mixed oxide layers reveals a strong influence of local compositional fluctuations of Sr and Ba ions and their respective scattering phases, which appears as an unphysically large variation

  5. Optical Second Harmonic Spectroscopy of Boron-Reconstructed Si(001)

    International Nuclear Information System (INIS)

    Lim, D.; Downer, M. C.; Ekerdt, J. G.; Arzate, N.; Mendoza, Bernardo S.; Gavrilenko, V. I.; Wu, R. Q.

    2000-01-01

    Optical second harmonic generation (SHG) spectroscopy is used to probe Si(001) following thermal decomposition of diborane at the surface. Incorporation of boron (B) at second layer substitutional sites at H-free Si(001) intensifies and redshifts the E 1 SHG spectral peak, while subsequent H termination further intensifies and blueshifts E 1 , in sharp contrast to the effect of bulk B doping or nonsubstitutional B. Ab initio pseudopotential and semiempirical tight binding calculations independently reproduce these unique trends, and attribute them to the surface electric field associated with charge transfer to electrically active B acceptors, and rehybridization of atomic bonds. (c) 2000 The American Physical Society

  6. Density functional study of CaN monolayer on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Saati asr, Maryam; Zahedifar, Maedeh; Hashemifar, S. Javad; Akbarzadeh, Hadi

    2016-01-01

    In this work, the first-principles computations are performed to study the structural and magnetic properties of CaN/Si(001) interface. Bulk CaN in the zinc-blende (ZB) structure is argued to be an ionic magnetic compound with a total spin moment of 1 μ{sub B} per formula unit, originated from the p electrons of N ions. Various interface configurations of a ZB CaN monolayer on Si (001) surface are investigated and the lowest energy and the highest spin polarized interfaces are extracted. Then the minimum energy path between the lowest energy and the highest spin polarized interfaces are calculated by using the nudged elastic band method and it is argued that both these systems are unstable toward a nonmagnetic interface with a rock–salt arrangement of Ca and N atoms. - Highlights: • Ab-initio studies are done on various structures of CaN monolayer on Si (001). • The lowest energy system was found to be the N-top configuration interface, while the highest spin polarization was observed in the Ca-hollow termination. • Both Ca-hollow and N-top are unstable toward a nonmagnetic rock–salt CaN monolayer on silicon surface. • Realization of a magnetic CaN/Si (001) interface likely requires some buffer layer on silicon surface, prior to the thin film deposition.

  7. Density functional study of CaN monolayer on Si(001)

    International Nuclear Information System (INIS)

    Saati asr, Maryam; Zahedifar, Maedeh; Hashemifar, S. Javad; Akbarzadeh, Hadi

    2016-01-01

    In this work, the first-principles computations are performed to study the structural and magnetic properties of CaN/Si(001) interface. Bulk CaN in the zinc-blende (ZB) structure is argued to be an ionic magnetic compound with a total spin moment of 1 μ_B per formula unit, originated from the p electrons of N ions. Various interface configurations of a ZB CaN monolayer on Si (001) surface are investigated and the lowest energy and the highest spin polarized interfaces are extracted. Then the minimum energy path between the lowest energy and the highest spin polarized interfaces are calculated by using the nudged elastic band method and it is argued that both these systems are unstable toward a nonmagnetic interface with a rock–salt arrangement of Ca and N atoms. - Highlights: • Ab-initio studies are done on various structures of CaN monolayer on Si (001). • The lowest energy system was found to be the N-top configuration interface, while the highest spin polarization was observed in the Ca-hollow termination. • Both Ca-hollow and N-top are unstable toward a nonmagnetic rock–salt CaN monolayer on silicon surface. • Realization of a magnetic CaN/Si (001) interface likely requires some buffer layer on silicon surface, prior to the thin film deposition.

  8. TaxHf1−xB2–SiC multiphase oxidation protective coating for SiC-coated carbon/carbon composites

    International Nuclear Information System (INIS)

    Ren, Xuanru; Li, Hejun; Fu, Qiangang; Li, Kezhi

    2014-01-01

    Highlights: • Ta x Hf 1−x B 2 –SiC coating was prepared on SiC coated C/C by in-situ reaction method. • TaB 2 and HfB 2 were introduced in the form of solid solution Ta x Hf 1−x B 2 . • The coating could protect C/C for 1480 h with only 0.57% mass loss at 1773 K in air. • Oxidation layer consists of out Ta–Si–O compound layer and inner SiO 2 glass layer. • Ta–Si–O compound silicate layer presents a better stability than SiO 2 glass layer. - Abstract: A Ta x Hf 1−x B 2 –SiC coating was prepared by in-situ reaction method on SiC coated C/C composites. Ta x Hf 1−x B 2 phase is the form of solid solution between TaB 2 and HfB 2 . Isothermal oxidation behavior at 1773 K and ablation behavior of the coated C/C were tested. Ta x Hf 1−x B 2 –SiC/SiC coating could protect the C/C from oxidation at 1773 K for 1480 h and ablation above 2200 K for 40 s. During oxidation, oxides of Ta and Hf atoms exist as “pinning phases” in the compound glass layer consisted of outer Ta–Si–O compound silicate layer and inner SiO 2 glass layer, which was responsible for the excellent oxidation resistance

  9. Ge growth on vicinal si(001) surfaces: island's shape and pair interaction versus miscut angle.

    Science.gov (United States)

    Persichetti, L; Sgarlata, A; Fanfoni, M; Balzarotti, A

    2011-10-01

    A complete description of Ge growth on vicinal Si(001) surfaces is provided. The distinctive mechanisms of the epitaxial growth process on vicinal surfaces are clarified from the very early stages of Ge deposition to the nucleation of 3D islands. By interpolating high-resolution scanning tunneling microscopy measurements with continuum elasticity modeling, we assess the dependence of island's shape and elastic interaction on the substrate misorientation. Our results confirm that vicinal surfaces offer an additional degree of control over the shape and symmetry of self-assembled nanostructures.

  10. Nanopatterning of Si(001) for bottom-up fabrication of nanostructures.

    Science.gov (United States)

    Hu, Yanfang; Kalachahi, Hedieh Hosseinzadeh; Das, Amal K; Koch, Reinhold

    2012-04-27

    The epitaxial growth of Si on Si(001) under conditions at which the (2 × n) superstructure is forming has been investigated by scanning tunneling microscopy and Monte Carlo simulations. Our experiments reveal a periodic change of the surface morphology with the surface coverage of Si. A regular (2 × n) stripe pattern is observed at coverages of 0.7-0.9 monolayers that periodically alternates with less dense surface structures at lower Si surface coverages. The MC simulations show that the growth of Si is affected by step-edge barriers, which favors the formation of a rather uniform two-dimensional framework-like configuration. Subsequent deposition of Ge onto the (2 × n) stripe pattern yields a dense array of small Ge nanostructures.

  11. Carbon nanotube on Si(001): structural and electronic properties

    International Nuclear Information System (INIS)

    Orellana, W.; Fazzio, A.; Miwa, R.W.

    2003-01-01

    Full text: The promising nanoscale technology based on carbon nanotubes has attracted much attention due to the unique electronic, chemical and mechanical properties of the nanotubes. Single-wall carbon nanotubes (SWCNs) provide an ideal atomically uniform one dimensional (1D) conductors, having a strong electronic confinement around its circumference, which can be retained up to room temperature[1]. This interesting property may lead one to consider SWCNs as 1D conductors for the development of nanoscale electronic devices. In this work the structural and electronic properties of the contact between a metallic (6,6) SWCN adsorbed on a silicon (001) surface are studied from first-principles total-energy calculations. We consider two adsorption sites for the tube on the Si(001) surface: on the top of the Si-dimer rows and on the surface 'trench' between two consecutive dimer rows. Our results show a chemical bond between the nanotube and Si(001) when the tube is located along the 'trench', which corresponds to the only bound structure. We find a binding energy per tube length of 0.21 eV/angstrom. We also verified that the binding energy depends on the rotation of the tube. Typically, a rotation of 15 deg can reduce the binding energy up to 0.07 eV/angstrom. Our calculated electronic properties indicate that the most stable structure shows a subband associated to the tube/surface bond that cross the Fermi level. This result indicates an enhanced metallic behavior along the tube/surface contact characterizing a 1D quantum wire. The charge transfer between the Si surface and the tube is also discussed. [1] Z. Yao, C. Dekker, and P. Avouris in Carbon Nanotubes, M. S. Dresselhaus, G. Dresselhaus, and P. Avouris Eds., (Springer, Berlin 2001), p. 147. (author)

  12. Ge quantum dot arrays grown by ultrahigh vacuum molecular-beam epitaxy on the Si(001) surface: nucleation, morphology, and CMOS compatibility.

    Science.gov (United States)

    Yuryev, Vladimir A; Arapkina, Larisa V

    2011-09-05

    Issues of morphology, nucleation, and growth of Ge cluster arrays deposited by ultrahigh vacuum molecular beam epitaxy on the Si(001) surface are considered. Difference in nucleation of quantum dots during Ge deposition at low (≲600°C) and high (≳600°C) temperatures is studied by high resolution scanning tunneling microscopy. The atomic models of growth of both species of Ge huts--pyramids and wedges-- are proposed. The growth cycle of Ge QD arrays at low temperatures is explored. A problem of lowering of the array formation temperature is discussed with the focus on CMOS compatibility of the entire process; a special attention is paid upon approaches to reduction of treatment temperature during the Si(001) surface pre-growth cleaning, which is at once a key and the highest-temperature phase of the Ge/Si(001) quantum dot dense array formation process. The temperature of the Si clean surface preparation, the final high-temperature step of which is, as a rule, carried out directly in the MBE chamber just before the structure deposition, determines the compatibility of formation process of Ge-QD-array based devices with the CMOS manufacturing cycle. Silicon surface hydrogenation at the final stage of its wet chemical etching during the preliminary cleaning is proposed as a possible way of efficient reduction of the Si wafer pre-growth annealing temperature.

  13. Ge quantum dot arrays grown by ultrahigh vacuum molecular-beam epitaxy on the Si(001 surface: nucleation, morphology, and CMOS compatibility

    Directory of Open Access Journals (Sweden)

    Yuryev Vladimir

    2011-01-01

    Full Text Available Abstract Issues of morphology, nucleation, and growth of Ge cluster arrays deposited by ultrahigh vacuum molecular beam epitaxy on the Si(001 surface are considered. Difference in nucleation of quantum dots during Ge deposition at low (≲600°C and high (≳600°C temperatures is studied by high resolution scanning tunneling microscopy. The atomic models of growth of both species of Ge huts--pyramids and wedges-- are proposed. The growth cycle of Ge QD arrays at low temperatures is explored. A problem of lowering of the array formation temperature is discussed with the focus on CMOS compatibility of the entire process; a special attention is paid upon approaches to reduction of treatment temperature during the Si(001 surface pre-growth cleaning, which is at once a key and the highest-temperature phase of the Ge/Si(001 quantum dot dense array formation process. The temperature of the Si clean surface preparation, the final high-temperature step of which is, as a rule, carried out directly in the MBE chamber just before the structure deposition, determines the compatibility of formation process of Ge-QD-array based devices with the CMOS manufacturing cycle. Silicon surface hydrogenation at the final stage of its wet chemical etching during the preliminary cleaning is proposed as a possible way of efficient reduction of the Si wafer pre-growth annealing temperature.

  14. Surface effects on the photoluminescence of Si quantum dots

    International Nuclear Information System (INIS)

    Wang, Chiang-Jing; Tsai, Meng-Yen; Chi, Cheng Chung; Perng, Tsong-Pyng

    2009-01-01

    Si quantum dots (SiQDs) with sizes ranging from 5 to 20 nm were fabricated by vapor condensation. They showed red photoluminescence (PL) in vacuum with the peak located at around 750 nm. After the specimen was exposed to air, the PL intensity became higher, and continued to increase during the PL test with a cycling of vacuum-air-vacuum. In pure oxygen, the PL intensity exhibited an irreversible decrease, while in nitrogen a smaller amount of reversible increase of PL intensity was observed. Furthermore, the PL intensity exhibited a remarkable enhancement if the SiQDs were treated with water. With HF treatment, the PL peak position showed a blue-shift to 680 nm, and was recovered after subsequent exposure to air. Si-O-H complexes were suggested to be responsible for this red luminescence. The irreversible decrease of PL intensity due to oxygen adsorption was speculated to be caused by the modification of chemical bonds on the surface. In the case of nitrogen adsorption, the PL change was attributed to the surface charging during adsorption.

  15. Oxidation Study of an Ultra High Temperature Ceramic Coatings Based on HfSiCN

    Science.gov (United States)

    Sacksteder, Dagny; Waters, Deborah L.; Zhu, Dongming

    2018-01-01

    High temperature fiber-reinforced ceramic matrix composites (CMCs) are important for aerospace applications because of their low density, high strength, and significantly higher-temperature capabilities compared to conventional metallic systems. The use of the SiCf/SiC and Cf/SiC CMCs allows the design of lighter-weight, more fuel efficient aircraft engines and also more advanced spacecraft airframe thermal protection systems. However, CMCs have to be protected with advanced environmental barrier coatings when they are incorporated into components for the harsh environments such as in aircraft engine or spacecraft applications. In this study, high temperature oxidation kinetics of an advanced HfSiCN coating on Cf/SiC CMC substrates were investigated at 1300 C, 1400 C, and 1500 C by using thermogravimetric analysis (TGA). The coating oxidation reaction parabolic rate constant and activation energy were estimated from the experimental results. The oxidation reaction studies showed that the coatings formed the most stable, predominant HfSiO4-HfO2 scales at 1400 C. A peroxidation test at 1400 C then followed by subsequent oxidation tests at various temperatures also showed more adherent scales and slower scale growth because of reduced the initial transient oxidation stage and increased HfSiO4-HfO2 content in the scales formed on the HfSiCN coatings.

  16. Oxygen flooding and sample cooling during depth profiling of HfSiON thin films

    Energy Technology Data Exchange (ETDEWEB)

    Miwa, Shiro [Materials Analysis Center, Materials Laboratories, Sony Corporation, 4-16-1 Okata, Atsugi 243-0021 (Japan)], E-mail: Shiro.Miwa@jp.sony.com

    2008-12-15

    A combination of oxygen flooding and. Cs primary ion bombardment can suppress the enhancement of the secondary ion signal at the surface and at the interface of a thin HfSiON layer on a Si substrate. The surface concentration of both Cs and O during Cs primary ion bombardment with oxygen flooding was higher than that without oxygen flooding, as confirmed by X-ray photoelectron spectroscopy. When the sample was cooled to about -150 deg. C, the enhancement of the secondary ion signal could be suppressed at a lower oxygen pressure.

  17. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers

    Science.gov (United States)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-01

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later.

  18. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers.

    Science.gov (United States)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-02

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO 2 /nanoporous-Si and the TiO 2 /nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO 2 /nanoporous Si are lower than that of the HfO 2 /nanoporous Si, the former is more stable than the later.

  19. Plasma processing of the Si(0 0 1) surface for tuning SPR of Au/Si-based plasmonic nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)]. E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy); Sacchetti, Alberto [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy); Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2006-12-15

    Au nanoclusters have been deposited on Si(0 0 1) surfaces by sputtering of a metallic Au target using an Ar plasma. Different wet and dry treatments of the Si(0 0 1) surface, including dipping in HF solution and exposure to H{sub 2} and N{sub 2} plasmas, have been applied and the effects of these treatments on the Au nanoparticles/Si interface, the Au nanoclusters aspect ratio and the surface plasmon resonance (SPR) energy and amplitude are investigated exploiting spectroscopic ellipsometry and atomic force microscopy. It is found that the Au nanoclusters aspect ratio depends on the extent of the Au-Si intermixing. The thicker the Au-Si interface layer, the larger the Au nanoparticles aspect ratio and the red-shift of the SPR peak. Furthermore, SiO{sub 2} and the H{sub 2} plasma treatment inhibit the Si-Au intermixing, while HF-dipping and the N{sub 2} plasma treatment favour Au-Si intermixing, yielding silicide formation which increases the Si wetting by Au.

  20. Simulation of electron transmittance and tunnel current in n{sup +} Poly-Si/HfSiO{sub x}N/Trap/SiO{sub 2}/Si(100) capacitors using analytical and numerical approaches

    Energy Technology Data Exchange (ETDEWEB)

    Noor, Fatimah A., E-mail: fatimah@fi.itb.ac.id; Iskandar, Ferry; Abdullah, Mikrajuddin; Khairurrijal [Physics of Electronic Materials Research Division Faculty of Mathematics and Natural Sciences, Institut Teknologi Bandung Jalan Ganesa 10, Bandung 40132 (Indonesia)

    2015-04-16

    In this paper, we discuss the electron transmittance and tunneling current in high-k-based-MOS capacitors with trapping charge by including the off-diagonal effective-mass tensor elements and the effect of coupling between transverse and longitudinal energies represented by an electron velocity in the gate. The HfSiO{sub x}N/SiO{sub 2} dual ultrathin layer is used as the gate oxide in an n{sup +} poly- Si/oxide/Si capacitor to replace SiO{sub 2}. The main problem of using HfSiO{sub x}N is the charge trapping formed at the HfSiO{sub x}N/SiO{sub 2} interface that can influence the performance of the device. Therefore, it is important to develop a model taking into account the presence of electron traps at the HfSiO{sub x}N/SiO{sub 2} interface in the electron transmittance and tunneling current. The transmittance and tunneling current in n{sup +} poly- Si/HfSiO{sub x}N/trap/SiO2/Si(100) capacitors are calculated by using Airy wavefunctions and a transfer matrix method (TMM) as analytical and numerical approaches, respectively. The transmittance and tunneling current obtained from the Airy wavefunction are compared to those computed by the TMM. The effects of the electron velocity on the transmittance and tunneling current are also discussed.

  1. Site preference of metal atoms in Gd_5_-_xM_xTt_4 (M = Zr, Hf; Tt = Si, Ge)

    International Nuclear Information System (INIS)

    Yao, Jinlei; Mozharivskyj, Yurij

    2011-01-01

    Zirconium and hafnium were incorporated into the Gd_5Ge_4 and Gd_5Si_4 parent compounds in order to study the metal-site occupation in the M_5X_4 magnetocaloric phases (M = metals; X = p elements) family. The Gd_5_-_xZr_xGe_4 phases adopt the orthorhombic Sm_5Ge_4-type (space group Pnma) structure for x ≤ 1.49 and the tetragonal Zr_5Si_4-type (P4_12_12) structure for x ≥ 1.77. The Gd_5_-_xHf_xSi_4 compounds crystallize in the orthorhombic Gd_5Si_4-type (Pnma) structure for x ≤ 0.41 and the Zr_5Si_4-type structure for x ≥ 0.7. In both systems, single-crystal X-ray diffraction reveals that the Zr/Hf atoms preferentially occupy the slab-surface M2 and slab-center M3 sites, both of which have a significantly larger Zr/Hf population than the slab-surface M1 site. The metal-site preference, i.e. the coloring problem on the three metal sites, is discussed considering geometric and electronic effects of the local coordination environments. The analysis of the metal-site occupation in Gd_5_-_xZr_xGe_4 and Gd_5_-_xHf_xSi_4 as well as other metal-substituted M_5X_4 systems suggests that both geometric and electronic effects can be used to explain the metal-site occupation. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Effect of ozone treatment on the optical and electrical properties of HfSiO thin films

    International Nuclear Information System (INIS)

    Geng, Yang; Yang, Wen; Zhu, Shang-Bin; Zhang, Yuan; Sun, Qing-Qing; Lu, Hong-Liang; Zhang, David Wei

    2014-01-01

    The effect of room temperature ozone oxidation treatment on thin HfSiO film grown by atomic layer deposition (ALD) has been investigated. The optical and electrical properties with different post-ozone oxidation time were characterized. The evolution of ozone interacting with HfSiO films was clearly illuminated. Ozone can repair the lossy chemical bonds and vacancies, resulting in the improvement of packing density and polarizability of HfSiO films. With more ozone entering the HfSiO films, the refractive index, dielectric constant, and interfacial properties can be greatly upgraded. Furthermore, the frequency dispersion of ALD-HfSiO film can be improved after O 3 treatment time for 8 min. (orig.)

  3. PAC study in the HfO2-SiO2 system

    International Nuclear Information System (INIS)

    Chain, C.Y.; Damonte, L.C.; Ferrari, S.; Munoz, E.; Torres, C. Rodriguez; Pasquevich, A.F.

    2010-01-01

    A high-k HfO 2 /SiO 2 gate stack is taking the place of SiO 2 as a gate dielectric in field effect transistors. This fact makes the study of the solid-state reaction between these oxides very important. Nanostructure characterization of a high-energy ball milled and post-annealed equimolar HfO 2 and amorphous SiO 2 powder mixture has been carried out by perturbed angular correlations (PAC) technique. The study was complemented with X-ray diffraction and positron annihilation lifetime spectroscopy (PALS). The experimental results revealed that the ball milling of equimolar mixtures increases the defects concentration in hafnium oxide. No solid-state reaction occurred even after 8 h of milling. The formation of HfSiO 4 (hafnon) was observed in the milled blends annealed at high temperatures.The PAC results of the milled samples are compared with those obtained for pure m-ZrO 2 subjected to high-energy ball milling and with reported microstructure data for the system ZrO 2 -SiO 2 .

  4. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    Energy Technology Data Exchange (ETDEWEB)

    Teys, S.A., E-mail: teys@isp.nsc.ru

    2017-01-15

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  5. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    International Nuclear Information System (INIS)

    Teys, S.A.

    2017-01-01

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  6. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    Science.gov (United States)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  7. Behavior of HfB2-SiC Materials in Simulated Re-Entry Environments

    Science.gov (United States)

    Ellerby, Don; Beckman, Sarah; Irby, Edward; Johnson, Sylvia M.; Gunsman, Michael; Gasch, Matthew; Ridge, Jerry; Martinez, Ed; Squire, Tom; Olejniczak, Joe

    2003-01-01

    The objectives of this research are to: 1) Investigate the oxidation/ablation behavior of HfB2/SiC materials in simulated re-entry environments; 2) Use the arc jet test results to define appropriate use environments for these materials for use in vehicle design. The parameters to be investigated include: surface temperature, stagnation pressure, duration, number of cycles, and thermal stresses.

  8. Comparison of HfCl4, HfI4, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO2 films deposited by ALD: A DFT study

    International Nuclear Information System (INIS)

    Cortez-Valadez, M.; Fierro, C.; Farias-Mancilla, J.R.; Vargas-Ortiz, A.; Flores-Acosta, M.; Ramírez-Bon, R.; Enriquez-Carrejo, J.L.

    2016-01-01

    Highlights: • Hafnium oxide growth on Si(100) by atomic layer deposition was simulated. • The interface structure was considered as silicate and silicide. • The interface was studied employing DFT. • TDMA-Hf precursor show better interface stability. - Abstract: The final structure of HfO 2 films grown by atomic layer deposition (ALD) after reaction with OH − ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl 4 (hafnium tetrachloride), HfI 4 (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO–H was studied employing the B3LYP (Becke 3-parameter, Lee–Yang–Parr) hybrid functional and the PBE (Perdew–Burke–Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  9. Temperature dependence of the resistivity and tunneling magnetoresistance of sputtered FeHf(Si)O cermet films

    NARCIS (Netherlands)

    Strijkers, G.J.; Swagten, H.J.M.; Rulkens, B.; Bitter, R.H.J.N.; Jonge, de W.J.M.; Bloemen, P.J.H.; Schep, K.M.

    1998-01-01

    We have studied the tunneling resistivity and magnetoresistance of reactive sputter deposited FeHfO and FeHfSiO thin granular films. Maximum magnetoresistance ratios at room temperature of 2% and 3.2% were observed for films with compositions of Fe47Hf10O43 and Fe40Hf6Si6O48, respectively. The

  10. Analysis of the dimerized Sb/Si(001)-(2x1) surface by x-ray standing waves

    International Nuclear Information System (INIS)

    Lyman, P.F.; Qian, Y.; Bedzyk, M.J.

    1994-12-01

    X-ray standing wave measurements were undertaken to study the bonding position of Sb adatoms on the Sb-saturated Si(001)-(2x1) surface. Using the (004) and (022) Bragg reflections, the authors find that the Sb atoms form dimers, and that the center of the Sb ad-dimers lies 1.64 angstrom above the bulk-like Si(004) surface atomic plane. These in-plane results are compared to two structural models consisting of dimers whose bonds are parallel to the surface plane and whose centers are either shifted or unshifted (parallel to the dimer bond direction) relative to the underlying substrate planes. The authors thus find two special cases consistent with these data: one with symmetric (unshifted) dimers having a dimer bond length of 2.81 angstrom, and the other with midpoint-shifted dimers, having a bond length of 2.88 angstrom and a lateral shift of 0.21 angstrom

  11. First-principles study of the Pd–Si system and Pd(001)/SiC(001) hetero-structure

    Energy Technology Data Exchange (ETDEWEB)

    Turchi, P.E.A. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Ivashchenko, V.I. [National Academy of Sciences of Ukraine (NASU), Kiev (Ukraine)

    2014-11-01

    First-principles molecular dynamics simulations of the Pd(001)/3C–SiC(001) nano-layered structure were carried out at different temperatures ranging from 300 to 2100 K. Various PdSi (Pnma, Fm3m, P6m2, Pm3m), Pd2Si (P6⁻2m, P63/mmc, P3m1, P3⁻1m) and Pd3Si (Pnma, P6322, Pm3m, I4/mmm) structures under pressure were studied to identify the structure of the Pd/Si and Pd/C interfaces in the Pd/SiC systems at high temperatures. It was found that a large atomic mixing at the Pd/Si interface occurred at 1500–1800 K, whereas the Pd/C interface remained sharp even at the highest temperature of 2100 K. At the Pd/C interface, voids and a graphite-like clustering were detected. Palladium and silicon atoms interact at the Pd/Si interface to mostly form C22-Pd2Si and D011-Pd3Si fragments, in agreement with experiment.

  12. Schottky barrier height measurements of Cu/Si(001), Ag/Si(001), and Au/Si(001) interfaces utilizing ballistic electron emission microscopy and ballistic hole emission microscopy

    International Nuclear Information System (INIS)

    Balsano, Robert; Matsubayashi, Akitomo; LaBella, Vincent P.

    2013-01-01

    The Schottky barrier heights of both n and p doped Cu/Si(001), Ag/Si(001), and Au/Si(001) diodes were measured using ballistic electron emission microscopy and ballistic hole emission microscopy (BHEM), respectively. Measurements using both forward and reverse ballistic electron emission microscopy (BEEM) and (BHEM) injection conditions were performed. The Schottky barrier heights were found by fitting to a linearization of the power law form of the Bell-Kaiser BEEM model. The sum of the n-type and p-type barrier heights are in good agreement with the band gap of silicon and independent of the metal utilized. The Schottky barrier heights are found to be below the region of best fit for the power law form of the BK model, demonstrating its region of validity

  13. Electromagnetic excitation of phonons at C(001) surfaces

    International Nuclear Information System (INIS)

    Perez-Sanchez, F L; Perez-Rodriguez, F

    2009-01-01

    The photon-phonon coupling at C(001)-(2 x 1) surfaces and its manifestation in far-infrared reflectance anisotropy spectra (FIR-RAS) are theoretically investigated. We solve the coupled system of equations for the electromagnetic field and lattice vibrations, described within the adiabatic bond charge model (ABCM), with the method of expansion into bulk phonon and photon modes. The calculated FIR-RAS exhibit resonances associated with zone-center surface phonons in good agreement with available HREELS experiments and predictions of vibrational modes for diamond (001)-(2 x 1) surfaces from ABCM and ab initio calculations. Interestingly, the reflectance anisotropy spectra for a C(001)-(2 x 1) surface turn out to be qualitatively different from the spectra for a Si(001)-(2 x 1) surface, reported previously.

  14. Electromagnetic excitation of phonons at C(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Perez-Sanchez, F L [Escuela de Ciencias, Universidad Autonoma ' Benito Juarez' de Oaxaca, Avenida Universidad S/N, Ex-Hacienda de Cinco Senores, Ciudad Universitaria, Oaxaca de Juarez, Oaxaca, 68120 (Mexico); Perez-Rodriguez, F, E-mail: fperez@sirio.ifuap.buap.m [Instituto de Fisica, Benemerita Universidad Autonoma de Puebla, Apartado Post. J-48, Puebla 72570 (Mexico)

    2009-09-02

    The photon-phonon coupling at C(001)-(2 x 1) surfaces and its manifestation in far-infrared reflectance anisotropy spectra (FIR-RAS) are theoretically investigated. We solve the coupled system of equations for the electromagnetic field and lattice vibrations, described within the adiabatic bond charge model (ABCM), with the method of expansion into bulk phonon and photon modes. The calculated FIR-RAS exhibit resonances associated with zone-center surface phonons in good agreement with available HREELS experiments and predictions of vibrational modes for diamond (001)-(2 x 1) surfaces from ABCM and ab initio calculations. Interestingly, the reflectance anisotropy spectra for a C(001)-(2 x 1) surface turn out to be qualitatively different from the spectra for a Si(001)-(2 x 1) surface, reported previously.

  15. Formation of Si{sup 1+} in the early stages of the oxidation of the Si[001] 2 × 1 surface

    Energy Technology Data Exchange (ETDEWEB)

    Herrera-Gomez, Alberto, E-mail: aherrerag@cinvestav.mx [CINVESTAV-Unidad Queretaro, Queretaro 76230 (Mexico); Aguirre-Tostado, Francisco-Servando [Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600 (Mexico); Pianetta, Piero [SLAC National Accelerator Center, Menlo Park, California 94025 (United States)

    2016-03-15

    The early stages of the oxidation of the Si[001] 2 × 1 surface were studied with synchrotron radiation photoelectron spectroscopy. The analysis was based on the block approach, which is a refinement of spectra-subtraction that accounts for changes on the background signal and for band-bending shifts. By this method, it was possible to robustly show that the formation of Si{sup 1+} is due to oxygen bonding to the upper dimer atoms. Our results contrast with ab initio calculation, which indicates that the most favorable bonding site is the back-bond of the down-dimer.

  16. Thermal response of Ru electrodes in contact with SiO2 and Hf-based high-k gate dielectrics

    International Nuclear Information System (INIS)

    Wen, H.-C.; Lysaght, P.; Alshareef, H.N.; Huffman, C.; Harris, H.R.; Choi, K.; Senzaki, Y.; Luan, H.; Majhi, P.; Lee, B.H.; Campin, M. J.; Foran, B.; Lian, G.D.; Kwong, D.-L.

    2005-01-01

    A systematic experimental evaluation of the thermal stability of Ru metal gate electrodes in direct contact with SiO 2 and Hf-based dielectric layers was performed and correlated with electrical device measurements. The distinctly different interfacial reactions in the Ru/SiO 2 , Ru/HfO 2 , and Ru/HfSiO x film systems were observed through cross-sectional high-resolution transmission electron microscopy, high angle annular dark field scanning transmission electron microscopy with electron-energy-loss spectra, and energy dispersive x-ray spectra analysis. Ru interacted with SiO 2 , but remained stable on HfO 2 at 1000 deg. C. The onset of Ru/SiO 2 interfacial interactions is identified via silicon substrate pitting possibly from Ru diffusion into the dielectric in samples exposed to a 900 deg. C/10-s anneal. The dependence of capacitor device degradation with decreasing SiO 2 thickness suggests Ru diffuses through SiO 2 , followed by an abrupt, rapid, nonuniform interaction of ruthenium silicide as Ru contacts the Si substrate. Local interdiffusion detected on Ru/HfSiO x samples may be due to phase separation of HfSiO x into HfO 2 grains within a SiO 2 matrix, suggesting that SiO 2 provides a diffusion pathway for Ru. Detailed evidence consistent with a dual reaction mechanism for the Ru/SiO 2 system at 1000 deg. C is presented

  17. MeV-Si ion irradiation effects on the electrical properties of HfO2 thin films on Si

    International Nuclear Information System (INIS)

    Yu Xiangkun; Shao Lin; Chen, Q.Y.; Trombetta, L.; Wang Chunyu; Dharmaiahgari, Bhanu; Wang Xuemei; Chen Hui; Ma, K.B.; Liu Jiarui; Chu, W.-K.

    2006-01-01

    We studied the irradiation effect of 2-MeV Si ions on HfO 2 films deposited on Si substrates. HfO 2 films ∼11 nm thick were deposited onto Si substrates by chemical vapor deposition. The samples were then irradiated by 2-MeV Si ions at a fluence of 1 x 10 14 cm -2 at room temperature, followed by rapid thermal annealing at 1000 deg. C for 10 s. After annealing, a layer of aluminum was deposited on the samples as the gate electrode to form metal-oxide-semiconductor (MOS) capacitor structures. Rutherford backscattering spectrometry and electrical measurement of both capacitance and current as a function of voltage were used to characterize the samples before and after annealing. Non-insulating properties of the HfO 2 films deteriorated immediately after the ion irradiation, but rapid thermal annealing effectively repaired the irradiation damages, as reflected in improved capacitance versus voltage characteristics and significant reduction of leakage current in the MOS capacitors

  18. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  19. HF treatment effect for carbon deposition on silicon (111) by DC sputtering technique

    Energy Technology Data Exchange (ETDEWEB)

    Aji, A. S., E-mail: aji.ravazes70@gmail.com; Darma, Y., E-mail: aji.ravazes70@gmail.com [Quantum Semiconductor and Devices Lab., Physics of Material Electronics Research Division, Department of Physics, Institut Teknologi Bandung (Indonesia)

    2014-03-24

    Surface modifications of Si (111) substrate by HF solution for thin film carbon deposition have been systematically studied. Thin film carbon on Si (111) has been deposited using DC Unbalanced Magnetron Sputtering with carbon pellet doped by 5% Fe as the target. EDAX characterization confirmed that the carbon fraction on Si substrate much higher by dipping a clean Si substrate by HF solution before sputtering process in comparison with carbon fraction on Si substrate just after conventional RCA. Moreover, SEM and AFM images show the uniform thin film carbon on Si with HF treatment, in contrast to the Si without HF solution treatment. These experimental results suggest that HF treatment of Si surface provide Si-H bonds on top Si surface that useful to enhance the carbon deposition during sputtering process. Furthermore, we investigate the thermal stability of thin film carbon on Si by thermal annealing process up to 900 °C. Atomic arrangements during annealing process were characterized by Raman spectroscopy. Raman spectra indicate that thin film carbon on Si is remaining unchanged until 600 °C and carbon atoms start to diffuse toward Si substrate after annealing at 900 °C.

  20. Chemical states and electronic structure of a HfO(-2)/Ge(001) interface

    International Nuclear Information System (INIS)

    Seo, Kang-ill; McIntyre, Paul C.; Stanford U., Materials Sci. Dept.; Sun, Shiyu; Lee, Dong-Ick; Pianetta, Piero; SLAC, SSRL; Saraswat, Krishna C.; Stanford U., Elect. Eng. Dept.

    2005-01-01

    We report the chemical bonding structure and valence band alignment at the HfO 2 /Ge (001) interface by systematically probing various core level spectra as well as valence band spectra using soft x-rays at the Stanford Synchrotron Radiation Laboratory. We investigated the chemical bonding changes as a function of depth through the dielectric stack by taking a series of synchrotron photoemission spectra as we etched through the HfO 2 film using a dilute HF-solution. We found that a very non-stoichiometric GeO x layer exists at the HfO 2 /Ge interface. The valence band spectra near the Fermi level in each different film structure were carefully analyzed, and as a result, the valence band offset between Ge and GeO x was determined to be ΔE v (Ge-GeO x ) = 2.2 ± 0.15 eV, and that between Ge and HfO 2 , ΔE v (Ge-HfO 2 ) = 2.7 ± 0.15 eV

  1. Growth of CoSi2 on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Lim, C.W.; Shin, C.-S.; Gall, D.; Zuo, J.M.; Petrov, I.; Greene, J.E.

    2005-01-01

    CaF 2 -structure CoSi 2 layers were formed on Si(001) by reactive deposition epitaxy (RDE) and compared with CoSi 2 layers obtained by conventional solid phase growth (SPG). In both sets of experiments, Co was deposited by ultrahigh-vacuum magnetron sputtering and CoSi 2 formed at 600 deg. C. However, in the case of RDE, CoSi 2 formation occurred during Co deposition while for SPG, Co was deposited at 25 deg. C and silicidation took place during subsequent annealing. X-ray diffraction pole figures and transmission electron microscopy results demonstrate that RDE CoSi 2 layers are epitaxial with a cube-on-cube relationship (001) CoSi 2 parallel (001) Si and [100] CoSi 2 parallel[100] Si . In contrast, SPG films are polycrystalline with an average grain size of ≅1000 A and a mixed 111/002/022/112 orientation. We attribute the striking difference to rapid Co diffusion into the Si(001) substrate during RDE for which the high Co/Si reactivity gives rise to a flux-limited reaction resulting in the direct formation of the disilicide phase. In contrast, sequential nucleation and transformation among increasingly Si-rich phases--from orthorhombic Co 2 Si to cubic CoSi to CoSi 2 --during SPG results in polycrystalline layers with a complex texture

  2. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  3. Precise control of Si(001) initial oxidation by translational kinetic energy of O2 molecules

    International Nuclear Information System (INIS)

    Teraoka, Yuden; Yoshigoe, Akitaka

    2002-01-01

    The influence of translation kinetic energy of incident O 2 molecules on the passive oxidation of the clean Si(001) surface and the partially oxidized-Si(001) surface has been studied by high-resolution photoemission spectroscopy using synchrotron radiation. The incident energy of O 2 molecules was controlled up to 3 eV by a supersonic seeded molecular beam technique. Although two incident energy thresholds (1.0 eV and 2.6 eV) have been determined for the partially oxidized-surface oxidation in accordance with the first-principle calculation, the monotonic increase of oxygen saturation coverage was observed for the clean surface oxidation. The difference is caused by the initial dangling bond termination (Si-H and Si-OH) on the partially oxidized surface. Si-2p and O-1s photoemission spectra measured at representative incident energies showed the incident-energy-induced oxidation at the back bonds of Si dimers and the second-layer (subsurface) Si atoms. Moreover, the low-and high-binding-energy components in the O-1s photoemission spectra were assigned to bridge site oxygen and dangling bond site oxygen for the partially oxidized-surface oxidation. (author)

  4. Band alignment of atomic layer deposited SiO2 and HfSiO4 with (\\bar{2}01) β-Ga2O3

    Science.gov (United States)

    Carey, Patrick H., IV; Ren, Fan; Hays, David C.; Gila, Brent P.; Pearton, Stephen J.; Jang, Soohwan; Kuramata, Akito

    2017-07-01

    The valence band offset at both SiO2/β-Ga2O3 and HfSiO4/β-Ga2O3 heterointerfaces was measured using X-ray photoelectron spectroscopy. Both dielectrics were deposited by atomic layer deposition (ALD) onto single-crystal β-Ga2O3. The bandgaps of the materials were determined by reflection electron energy loss spectroscopy as 4.6 eV for Ga2O3, 8.7 eV for Al2O3 and 7.0 eV for HfSiO4. The valence band offset was determined to be 1.23 ± 0.20 eV (straddling gap, type I alignment) for ALD SiO2 on β-Ga2O3 and 0.02 ± 0.003 eV (also type I alignment) for HfSiO4. The respective conduction band offsets were 2.87 ± 0.70 eV for ALD SiO2 and 2.38 ± 0.50 eV for HfSiO4, respectively.

  5. Time-resolved two-photon photoemission at the Si(001)-surface. Hot electron dynamics and two-dimensional Fano resonance; Zeitaufgeloeste Zweiphotonen-Photoemission an der Si(001)-Oberflaeche. Dynamik heisser Elektronen und zweidimensionaler Fano-Effekt

    Energy Technology Data Exchange (ETDEWEB)

    Eickhoff, Christian

    2010-10-27

    By combining ultrafast laser excitation with energy-, angle- and time-resolved twophoton photoemission (2PPE), the electronic properties of bulk silicon and the Si(001) surface are investigated in this thesis. A custom-built laser- and UHV-systemequipped with a display type 2D-CCD-detector gives new insight into the relaxation dynamics of excited carriers on a femtosecond timescale. The bandgap between occupied valence bands and unoccupied conduction bands characteristically influences the dynamics of excited electrons in the bulk, as well as in surface states and resonances. For the electron-phonon interaction this leads to the formation of a bottleneck during the relaxation of hot electrons in the conduction band, which maintains the elevated electronic temperature for several picoseconds. During relaxation, excited electrons also scatter from the conduction band into the unoccupied dangling-bond surface state D{sub down}. Depending on the excitation density this surface recombination is dominated by electron-electron- or electron-phonon scattering. The relaxation of the carriers in the D{sub down}-band is again slowed down by the formation of a bottleneck in electron-phonon coupling. Furthermore, the new laser system has allowed detection of the Rydberg-like series of image-potential resonances on the Si(001)-surface. It is shown that the lifetime of these image-potential resonances in front of the semiconducting surface exhibits the same behavior as those in front of metallic surfaces. Moreover the electron-phonon coupling in the first image-potential resonance was investigated and compared to the D{sub down}-surface state. For the first time, Fano-type lineprofiles are demonstrated and analyzed in a 2PPEprocess on a surface. Tuning the photon energy of the pump-laser across the resonance between the occupied dangling-bond state D{sub up}, and the unoccupied image-potential resonance n=1, reveals a clear intensity variation that can be successfully described

  6. Band alignments in Fe/graphene/Si(001) junctions studied by x-ray photoemission spectroscopy

    Science.gov (United States)

    Le Breton, J.-C.; Tricot, S.; Delhaye, G.; Lépine, B.; Turban, P.; Schieffer, P.

    2016-08-01

    The control of tunnel contact resistance is of primary importance for semiconductor-based spintronic devices. This control is hardly achieved with conventional oxide-based tunnel barriers due to deposition-induced interface states. Manipulation of single 2D atomic crystals (such as graphene sheets) weakly interacting with their substrate might represent an alternative and efficient way to design new heterostructures for a variety of different purposes including spin injection into semiconductors. In the present paper, we study by x-ray photoemission spectroscopy the band alignments and interface chemistry of iron-graphene-hydrogenated passivated silicon (001) surfaces for a low and a high n-doping concentration. We find that the hydrogen passivation of the Si(001) surface remains efficient even with a graphene sheet on the Si(001) surface. For both doping concentrations, the semiconductor is close to flat-band conditions which indicates that the Fermi level is unpinned on the semiconductor side of the Graphene/Si(001):H interface. When iron is deposited on the graphene/Si(001):H structures, the Schottky barrier height remains mainly unaffected by the metallic overlayer with a very low barrier height for electrons, a sought-after property in semiconductor based spintronic devices. Finally, we demonstrate that the graphene layer intercalated between the metal and semiconductor also serves as a protection against iron-silicide formation even at elevated temperatures preventing from the formation of a Si-based magnetic dead layer.

  7. Band alignments in Fe/graphene/Si(001) junctions studied by x-ray photoemission spectroscopy

    International Nuclear Information System (INIS)

    Le Breton, J.-C.; Tricot, S.; Delhaye, G.; Lépine, B.; Turban, P.; Schieffer, P.

    2016-01-01

    The control of tunnel contact resistance is of primary importance for semiconductor-based spintronic devices. This control is hardly achieved with conventional oxide-based tunnel barriers due to deposition-induced interface states. Manipulation of single 2D atomic crystals (such as graphene sheets) weakly interacting with their substrate might represent an alternative and efficient way to design new heterostructures for a variety of different purposes including spin injection into semiconductors. In the present paper, we study by x-ray photoemission spectroscopy the band alignments and interface chemistry of iron–graphene-hydrogenated passivated silicon (001) surfaces for a low and a high n-doping concentration. We find that the hydrogen passivation of the Si(001) surface remains efficient even with a graphene sheet on the Si(001) surface. For both doping concentrations, the semiconductor is close to flat-band conditions which indicates that the Fermi level is unpinned on the semiconductor side of the Graphene/Si(001):H interface. When iron is deposited on the graphene/Si(001):H structures, the Schottky barrier height remains mainly unaffected by the metallic overlayer with a very low barrier height for electrons, a sought-after property in semiconductor based spintronic devices. Finally, we demonstrate that the graphene layer intercalated between the metal and semiconductor also serves as a protection against iron-silicide formation even at elevated temperatures preventing from the formation of a Si-based magnetic dead layer.

  8. Single-source-precursor synthesis of dense SiC/HfCxN1-x-based ultrahigh-temperature ceramic nanocomposites

    Science.gov (United States)

    Wen, Qingbo; Xu, Yeping; Xu, Binbin; Fasel, Claudia; Guillon, Olivier; Buntkowsky, Gerd; Yu, Zhaoju; Riedel, Ralf; Ionescu, Emanuel

    2014-10-01

    A novel single-source precursor was synthesized by the reaction of an allyl hydrido polycarbosilane (SMP10) and tetrakis(dimethylamido)hafnium(iv) (TDMAH) for the purpose of preparing dense monolithic SiC/HfCxN1-x-based ultrahigh temperature ceramic nanocomposites. The materials obtained at different stages of the synthesis process were characterized via Fourier transform infrared (FT-IR) as well as nuclear magnetic resonance (NMR) spectroscopy. The polymer-to-ceramic transformation was investigated by means of MAS NMR and FT-IR spectroscopy as well as thermogravimetric analysis (TGA) coupled with in situ mass spectrometry. Moreover, the microstructural evolution of the synthesized SiHfCN-based ceramics annealed at different temperatures ranging from 1300 °C to 1800 °C was characterized by elemental analysis, X-ray diffraction, Raman spectroscopy and transmission electron microscopy (TEM). Based on its high temperature behavior, the amorphous SiHfCN-based ceramic powder was used to prepare monolithic SiC/HfCxN1-x-based nanocomposites using the spark plasma sintering (SPS) technique. The results showed that dense monolithic SiC/HfCxN1-x-based nanocomposites with low open porosity (0.74 vol%) can be prepared successfully from single-source precursors. The average grain size of both HfC0.83N0.17 and SiC phases was found to be less than 100 nm after SPS processing owing to a unique microstructure: HfC0.83N0.17 grains were embedded homogeneously in a β-SiC matrix and encapsulated by in situ formed carbon layers which acted as a diffusion barrier to suppress grain growth. The segregated Hf-carbonitride grains significantly influenced the electrical conductivity of the SPS processed monolithic samples. While Hf-free polymer-derived SiC showed an electrical conductivity of ca. 1.8 S cm-1, the electrical conductivity of the Hf-containing material was analyzed to be ca. 136.2 S cm-1.A novel single-source precursor was synthesized by the reaction of an allyl hydrido

  9. Passivation of Si(111) surfaces with electrochemically grafted thin organic films

    Science.gov (United States)

    Roodenko, K.; Yang, F.; Hunger, R.; Esser, N.; Hinrichs, K.; Rappich, J.

    2010-09-01

    Ultra thin organic films (about 5 nm thick) of nitrobenzene and 4-methoxydiphenylamine were deposited electrochemically on p-Si(111) surfaces from benzene diazonium compounds. Studies based on atomic force microscopy, infrared spectroscopic ellipsometry and x-ray photoelectron spectroscopy showed that upon exposure to atmospheric conditions the oxidation of the silicon interface proceed slower on organically modified surfaces than on unmodified hydrogen passivated p-Si(111) surfaces. Effects of HF treatment on the oxidized organic/Si interface and on the organic layer itself are discussed.

  10. MoS{sub 2} on an amorphous HfO{sub 2} surface: An ab initio investigation

    Energy Technology Data Exchange (ETDEWEB)

    Scopel, W. L., E-mail: wlscopel@if.uff.br [Departamento de Física, Universidade Federal do Espírito Santo, Vitória, Brazil and Departamento de Ciências Exatas, Universidade Federal Fluminense, Volta Redonda, Rio de Janerio (Brazil); Miwa, R. H., E-mail: hiroki@infis.ufu.br; Schmidt, T. M., E-mail: tome@infis.ufu.br [Instituto de Física, Universidade Federal de Uberlândia, Uberlândia, Minas Gerais (Brazil); Venezuela, P., E-mail: vene@if.uff.br [Instituto de Física, Universidade Federal Fluminense, Niterói, Rio de Janerio (Brazil)

    2015-05-21

    The energetic stability, electronic and structural properties of MoS{sub 2} adsorbed on an amorphous a-HfO{sub 2} surface (MoS{sub 2}/HfO{sub 2}) are examined through ab initio theoretical investigations. Our total energy results indicate that the formation of MoS{sub 2}/HfO{sub 2} is an exothermic process with an adsorption energy of 34 meV/Å{sup 2}, which means that it is more stable than similar systems like graphene/HfO{sub 2} and MoS{sub 2}/SiO{sub 2}. There are no chemical bonds at the MoS{sub 2}-HfO{sub 2} interface. Upon formation of MoS{sub 2}/HfO{sub 2}, the electronic charge distribution is mostly localized at the interface region with no net charge transfer between the adsorbed MoS{sub 2} sheet and –HfO{sub 2} surface. However, the MoS{sub 2} sheet becomes n-type doped when there are oxygen vacancies in the HfO{sub 2} surface. Further investigation of the electronic distribution reveals that there are no electron- and hole-rich regions (electron-hole puddles) on the MoS{sub 2} sheet, which makes this system promising for use in high-speed nanoelectronic devices.

  11. Ion induced intermixing and consequent effects on the leakage currents in HfO{sub 2}/SiO{sub 2}/Si systems

    Energy Technology Data Exchange (ETDEWEB)

    Manikanthababu, N.; Saikiran, V.; Pathak, A.P.; Rao, S.V.S.N. [University of Hyderabad, School of Physics, Hyderabad (India); Chan, T.K.; Vajandar, S.; Osipowicz, T. [National University of Singapore, Department of Physics, Centre for Ion Beam Applications (CIBA), Singapore (Singapore)

    2017-05-15

    Atomic layer deposited (ALD) samples with layer stacks of HfO{sub 2} (3 nm)/SiO{sub 2} (0.7 nm)/Si were subjected to 120 MeV Au ion irradiation at different fluences to study intermixing effects across the HfO{sub 2}/SiO{sub 2} interface. High-resolution Rutherford backscattering spectrometry (HRBS) and X-ray reflectivity (XRR) measurements confirm an increase in the interlayer thickness as a result of SHI induced intermixing effects. Current-voltage (I-V) measurements reveal an order of magnitude difference in the leakage current density between the pristine and irradiated samples. This can be explained by considering the increased physical thickness of interlayer (HfSiO). Furthermore, the samples were subjected to rapid thermal annealing (RTA) process to analyze annealing kinetics. (orig.)

  12. Improved charge trapping flash device with Al2O3/HfSiO stack as blocking layer

    International Nuclear Information System (INIS)

    Zheng Zhi-Wei; Huo Zong-Liang; Zhu Chen-Xin; Xu Zhong-Guang; Liu Jing; Liu Ming

    2011-01-01

    In this paper, we investigate an Al 2 O 3 /HfSiO stack as the blocking layer of a metal—oxide—nitride—oxide—silicon-type (MONOS) memory capacitor. Compared with a memory capacitor with a single HfSiO layer as the blocking layer or an Al 2 O 3 /HfO 2 stack as the blocking layer, the sample with the Al 2 O 3 /HfSiO stack as the blocking layer shows high program/erase (P/E) speed and good data retention characteristics. These improved performances can be explained by energy band engineering. The experimental results demonstrate that the memory device with an Al 2 O 3 /HfSiO stack as the blocking layer has great potential for further high-performance nonvolatile memory applications. (interdisciplinary physics and related areas of science and technology)

  13. Ethers on Si(001): A prime example for the common ground between surface science and molecular organic chemistry

    KAUST Repository

    Pecher, Lisa

    2017-09-15

    Using computational chemistry, we show that the adsorption of ether molecules on Si(001) under ultra-high vacuum conditions can be understood with textbook organic chemistry. The two-step reaction mechanism of (1) dative bond formation between the ether oxygen and a Lewis acidic surface atom and (2) a nucleophilic attack of a nearby Lewis basic surface atom is analysed in detail and found to mirror the acid-catalysed ether cleavage in solution. The O-Si dative bond is found to be the strongest of its kind and reactivity from this state defies the Bell-Evans-Polanyi principle. Electron rearrangement during the C-O bond cleavage is visualized using a newly developed bonding analysis method, which shows that the mechanism of nucleophilic substitutions on semiconductor surfaces is identical to molecular chemistry SN2 reactions. Our findings thus illustrate how the fields of surface science and molecular chemistry can mutually benefit and unexpected insight can be gained.

  14. Ethers on Si(001): A prime example for the common ground between surface science and molecular organic chemistry

    KAUST Repository

    Pecher, Lisa; Laref, Slimane; Raupach, Marc; Tonner, Ralf Ewald

    2017-01-01

    Using computational chemistry, we show that the adsorption of ether molecules on Si(001) under ultra-high vacuum conditions can be understood with textbook organic chemistry. The two-step reaction mechanism of (1) dative bond formation between the ether oxygen and a Lewis acidic surface atom and (2) a nucleophilic attack of a nearby Lewis basic surface atom is analysed in detail and found to mirror the acid-catalysed ether cleavage in solution. The O-Si dative bond is found to be the strongest of its kind and reactivity from this state defies the Bell-Evans-Polanyi principle. Electron rearrangement during the C-O bond cleavage is visualized using a newly developed bonding analysis method, which shows that the mechanism of nucleophilic substitutions on semiconductor surfaces is identical to molecular chemistry SN2 reactions. Our findings thus illustrate how the fields of surface science and molecular chemistry can mutually benefit and unexpected insight can be gained.

  15. Comparison of HfCl{sub 4}, HfI{sub 4}, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO{sub 2} films deposited by ALD: A DFT study

    Energy Technology Data Exchange (ETDEWEB)

    Cortez-Valadez, M. [Departamento de Investigación en Física, Universidad de Sonora, Apdo. Postal 5-88, 83190 Hermosillo, Son. (Mexico); Fierro, C.; Farias-Mancilla, J.R. [Instituto de Ingeniería y Tecnología, Departamento de Física y Matemáticas, Universidad Autónoma de Ciudad Juárez, Av. del Charro 450, Cd. Juárez C.P. 32310, Chihuahua (Mexico); Vargas-Ortiz, A. [Universidad Autónoma de Sinaloa, Facultad de Ingeniería Mochis, Ciudad Universitaria, C.P. 81223 Los Mochis, Sinaloa (Mexico); Flores-Acosta, M. [Departamento de Investigación en Física, Universidad de Sonora, Apdo. Postal 5-88, 83190 Hermosillo, Son. (Mexico); Ramírez-Bon, R. [Centro de Investigación y Estudios Avanzados del IPN, Unidad Querétaro, Apdo. Postal 1-798, 76001 Querétaro, Qro. (Mexico); Enriquez-Carrejo, J.L. [Instituto de Ingeniería y Tecnología, Departamento de Física y Matemáticas, Universidad Autónoma de Ciudad Juárez, Av. del Charro 450, Cd. Juárez C.P. 32310, Chihuahua (Mexico); and others

    2016-06-15

    Highlights: • Hafnium oxide growth on Si(100) by atomic layer deposition was simulated. • The interface structure was considered as silicate and silicide. • The interface was studied employing DFT. • TDMA-Hf precursor show better interface stability. - Abstract: The final structure of HfO{sub 2} films grown by atomic layer deposition (ALD) after reaction with OH{sup −} ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl{sub 4} (hafnium tetrachloride), HfI{sub 4} (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO–H was studied employing the B3LYP (Becke 3-parameter, Lee–Yang–Parr) hybrid functional and the PBE (Perdew–Burke–Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  16. SiO mass spectrometry and Si-2p photoemission spectroscopy for the study of oxidation reaction dynamics of Si(001) surface by supersonic O sub 2 molecular beams under 1000K

    CERN Document Server

    Teraoka, Y; Moritani, K

    2003-01-01

    The Si sup 1 sup 8 O desorption yield was measured in the Si(001) surface temperature region from 900K to 1300K at the sup 1 sup 8 O sub 2 incident energies of 0.7eV, 2.2eV and 3.3eV. The Si sup 1 sup 8 O desorption yield in a surface temperature region higher than 1000K increased with increasing incident energy, indicating the incident-energy-induced oxidation and the variation of angular distribution of Si sup 1 sup 8 O desorption. Inversely, the Si sup 1 sup 8 O desorption yield decreased with increasing incident energy in the region from 900K to 1000K, indicating the coexistence of the passive and the active oxidation. In order to clarify the reaction mechanisms of the later phenomenon, real-time in-situ Si-2p photoemission spectroscopy has been performed. The obtained Si-2p spectra showed the variation of the oxide-nuclei quality from the sub-oxide-rich structure to the SiO sub 2 -rich structure. The formation of the SiO sub 2 structure suppresses the SiO desorption due to the enhanced O sub 2 sticking a...

  17. Determination of total fluoride in HF/HNO3/H2SiF6 etch solutions by new potentiometric titration methods.

    Science.gov (United States)

    Weinreich, Wenke; Acker, Jörg; Gräber, Iris

    2007-03-30

    In the photovoltaic industry the etching of silicon in HF/HNO(3) solutions is a decisive process for cleaning wafer surfaces or to produce certain surface morphologies like polishing or texturization. With regard to cost efficiency, a maximal utilisation of etch baths in combination with highest quality and accuracy is strived. To provide an etch bath control realised by a replenishment with concentrated acids the main constituents of these HF/HNO(3) etch solutions including the reaction product H(2)SiF(6) have to be analysed. Two new methods for the determination of the total fluoride content in an acidic etch solution based on the precipitation titration with La(NO(3))(3) are presented within this paper. The first method bases on the proper choice of the reaction conditions, since free fluoride ions have to be liberated from HF and H(2)SiF(6) at the same time to be detected by a fluoride ion-selective electrode (F-ISE). Therefore, the sample is adjusted to a pH of 8 for total cleavage of the SiF(6)(2-) anion and titrated in absence of buffers. In a second method, the titration with La(NO(3))(3) is followed by a change of the pH-value using a HF resistant glass-electrode. Both methods provide consistent values, whereas the analysis is fast and accurate, and thus, applicable for industrial process control.

  18. Role of WC additive on reaction, solid-solution and densification in HfB2–SiC ceramics

    DEFF Research Database (Denmark)

    Hu, Dong-Li; Zheng, Qiang; Gu, Hui

    2014-01-01

    A comparative study of phase components and compositions was performed for the pressureless sintered HfB2–SiC–WC composites by various analytical methods. The relative decrease of HfB2 phase leads to a new reaction of HfO2 removal by WC to create B2O3. By using SiC instead of Si3N4 as milling med...

  19. Raman scattering from epitaxial HfN layers grown on MgO(001)

    International Nuclear Information System (INIS)

    Stoehr, M.; Seo, H.-S.; Petrov, I.; Greene, J.E.

    2006-01-01

    Stoichiometric single-crystal HfN layers grown on MgO(001) are analyzed by Raman spectroscopy. Second-order Raman scattering predominates, but first-order modes in the acoustic and optical ranges are also visible. The latter indicates that the O h symmetry of NaCl-structure HfN is broken. The large mass difference between Hf and N leads to a correspondingly large separation, 250 cm -1 , between the first-order acoustic and optical bands. Within this gap, four Raman lines are clearly observed. The first three are the second-order transverse acoustic mode (240 cm -1 ), the sum of the first-order transverse and longitudinal acoustic modes (280 cm -1 ), and the second-order longitudinal acoustic mode (325 cm -1 ). The fourth line at 380 cm -1 is identified as the difference between the first-order optical and acoustic modes. The observed first-order Raman scattering, as well as the width of the gap between the first-order acoustic and optical modes, is in good agreement with previously calculated HfN phonon density of states

  20. Photo-induced tunneling currents in MOS structures with various HfO2/SiO2 stacking dielectrics

    Directory of Open Access Journals (Sweden)

    Chin-Sheng Pang

    2014-04-01

    Full Text Available In this study, the current conduction mechanisms of structures with tandem high-k dielectric in illumination are discussed. Samples of Al/SiO2/Si (S, Al/HfO2/SiO2/Si (H, and Al/3HfO2/SiO2/Si (3H were examined. The significant observation of electron traps of sample H compares to sample S is found under the double bias capacitance-voltage (C-V measurements in illumination. Moreover, the photo absorption sensitivity of sample H is higher than S due to the formation of HfO2 dielectric layer, which leads to larger numbers of carriers crowded through the sweep of VG before the domination of tunneling current. Additionally, the HfO2 dielectric layer would block the electrons passing through oxide from valance band, which would result in less electron-hole (e−-h+ pairs recombination effect. Also, it was found that both of the samples S and H show perimeter dependency of positive bias currents due to strong fringing field effect in dark and illumination; while sample 3H shows area dependency of positive bias currents in strong illumination. The non-uniform tunneling current through thin dielectric and through HfO2 stacking layers are importance to MOS(p tunneling photo diodes.

  1. Ethers on Si(001): A Prime Example for the Common Ground between Surface Science and Molecular Organic Chemistry.

    Science.gov (United States)

    Pecher, Lisa; Laref, Slimane; Raupach, Marc; Tonner, Ralf

    2017-11-20

    By using computational chemistry it has been shown that the adsorption of ether molecules on Si(001) under ultrahigh vacuum conditions can be understood with classical concepts of organic chemistry. Detailed analysis of the two-step reaction mechanism-1) formation of a dative bond between the ether oxygen atom and a Lewis acidic surface atom and 2) nucleophilic attack of a nearby Lewis basic surface atom-shows that it mirrors acid-catalyzed ether cleavage in solution. The O-Si dative bond is the strongest of its kind, and the reactivity in step 2 defies the Bell-Evans-Polanyi principle. Electron rearrangement during C-O bond cleavage has been visualized with a newly developed method for analyzing bonding, which shows that the mechanism of nucleophilic substitutions on semiconductor surfaces is identical to molecular S N 2 reactions. Our findings illustrate how surface science and molecular chemistry can mutually benefit from each other and unexpected insight can be gained. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. CoSi2 growth on Si(001) by reactive deposition epitaxy: Effects of high-flux, low-energy ion irradiation

    International Nuclear Information System (INIS)

    Lim, C. W.; Greene, J. E.; Petrov, I.

    2006-01-01

    CoSi 2 layers, CoSi 2 (parallel sign)(001) Si and [100] CoSi 2 (parallel sign)[100] Si , contain fourfold symmetric (111) twinned domains oriented such that (221) CoSi 2 (parallel sign)(001) Si and CoSi 2 (parallel sign)[110] Si . We demonstrate that high-flux low-energy (E Ar + =9.6 eV) Ar + ion irradiation during deposition dramatically increases the area fraction f u of untwinned regions from 0.17 in films grown under standard magnetically balanced conditions in which the ratio J Ar + /J Co of the incident Ar + to Co fluxes is 1.4 to 0.72 with J Ar + /J Co =13.3. TEM analyses show that the early stages of RDE CoSi 2 (001) film growth proceed via the Volmer-Weber mode with independent nucleation of both untwinned and twinned islands. Increasing J Ar + /J Co results in larger values of both the number density and area of untwinned with respect to twinned islands. The intense Ar + ion bombardment creates additional low-energy adsorption sites that favor the nucleation of untwinned islands while collisionally enhancing Co surface mobilities which, in turn, increases the probability of itinerant Co adatoms reaching these sites

  3. Thermally-driven H interaction with HfO2 films deposited on Ge(100) and Si(100)

    Science.gov (United States)

    Soares, G. V.; Feijó, T. O.; Baumvol, I. J. R.; Aguzzoli, C.; Krug, C.; Radtke, C.

    2014-01-01

    In the present work, we investigated the thermally-driven H incorporation in HfO2 films deposited on Si and Ge substrates. Two regimes for deuterium (D) uptake were identified, attributed to D bonded near the HfO2/substrate interface region (at 300 °C) and through the whole HfO2 layer (400-600 °C). Films deposited on Si presented higher D amounts for all investigated temperatures, as well as, a higher resistance for D desorption. Moreover, HfO2 films underwent structural changes during annealings, influencing D incorporation. The semiconductor substrate plays a key role in this process.

  4. Single-source-precursor synthesis of dense SiC/HfC(x)N(1-x)-based ultrahigh-temperature ceramic nanocomposites.

    Science.gov (United States)

    Wen, Qingbo; Xu, Yeping; Xu, Binbin; Fasel, Claudia; Guillon, Olivier; Buntkowsky, Gerd; Yu, Zhaoju; Riedel, Ralf; Ionescu, Emanuel

    2014-11-21

    A novel single-source precursor was synthesized by the reaction of an allyl hydrido polycarbosilane (SMP10) and tetrakis(dimethylamido)hafnium(iv) (TDMAH) for the purpose of preparing dense monolithic SiC/HfC(x)N(1-x)-based ultrahigh temperature ceramic nanocomposites. The materials obtained at different stages of the synthesis process were characterized via Fourier transform infrared (FT-IR) as well as nuclear magnetic resonance (NMR) spectroscopy. The polymer-to-ceramic transformation was investigated by means of MAS NMR and FT-IR spectroscopy as well as thermogravimetric analysis (TGA) coupled with in situ mass spectrometry. Moreover, the microstructural evolution of the synthesized SiHfCN-based ceramics annealed at different temperatures ranging from 1300 °C to 1800 °C was characterized by elemental analysis, X-ray diffraction, Raman spectroscopy and transmission electron microscopy (TEM). Based on its high temperature behavior, the amorphous SiHfCN-based ceramic powder was used to prepare monolithic SiC/HfC(x)N(1-x)-based nanocomposites using the spark plasma sintering (SPS) technique. The results showed that dense monolithic SiC/HfC(x)N(1-x)-based nanocomposites with low open porosity (0.74 vol%) can be prepared successfully from single-source precursors. The average grain size of both HfC0.83N0.17 and SiC phases was found to be less than 100 nm after SPS processing owing to a unique microstructure: HfC0.83N0.17 grains were embedded homogeneously in a β-SiC matrix and encapsulated by in situ formed carbon layers which acted as a diffusion barrier to suppress grain growth. The segregated Hf-carbonitride grains significantly influenced the electrical conductivity of the SPS processed monolithic samples. While Hf-free polymer-derived SiC showed an electrical conductivity of ca. 1.8 S cm(-1), the electrical conductivity of the Hf-containing material was analyzed to be ca. 136.2 S cm(-1).

  5. Comparison of HfCl4, HfI4, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO2 films deposited by ALD: A DFT study

    Science.gov (United States)

    Cortez-Valadez, M.; Fierro, C.; Farias-Mancilla, J. R.; Vargas-Ortiz, A.; Flores-Acosta, M.; Ramírez-Bon, R.; Enriquez-Carrejo, J. L.; Soubervielle-Montalvo, C.; Mani-Gonzalez, P. G.

    2016-06-01

    The final structure of HfO2 films grown by atomic layer deposition (ALD) after reaction with OH- ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl4 (hafnium tetrachloride), HfI4 (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO-H was studied employing the B3LYP (Becke 3-parameter, Lee-Yang-Parr) hybrid functional and the PBE (Perdew-Burke-Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  6. Ultrathin HfON/SiO2 dual tunneling layer for improving the electrical properties of metal–oxide–nitride–oxide–silicon memory

    International Nuclear Information System (INIS)

    Liu, L.; Xu, J.P.; Chen, J.X.; Ji, F.; Huang, X.D.; Lai, P.T.

    2012-01-01

    A high-k gate stack structure with ultrathin HfON/SiO 2 as dual tunneling layer (DTL), AlN as charge storage layer (CSL) and HfAlO as blocking layer (BL) is proposed to make a charge-trapping-type metal–oxide–nitride–oxide–silicon non-volatile memory device by employing in-situ sputtering method. The validity of the structure is examined and confirmed by transmission electron microscopy. The memory window, program/erase, endurance and retention properties are investigated and compared with similar gate stack structure with Si 3 N 4 /SiO 2 as DTL, HfO 2 as CSL and Al 2 O 3 as BL. Results show that a large memory window of 3.55 V at a program/erase (P/E) voltage of + 8 V/− 15 V, high P/E speed, and good endurance and retention characteristic can be achieved using the Au/ HfAlO/AlN/(HfON/SiO 2 )/Si gate stack structure. The main mechanisms lie in the enhanced electron injection through the ultrathin high-k HfON/SiO 2 DTL with suitable band offset, high trapping efficiency of the high-k AlN material, and effective blocking role of the high-k HfAlO BL. - Highlights: ► An Au/HfAlO/AlN/(HfON/SiO 2 )/Si high-k gate stack structure is proposed. ► A band-engineered dual tunneling layer (HfON/SiO 2 ) is proposed and prepared. ► A good trade-off among the memory characteristics is obtained. ► In-situ sputtering method is employed to fabricate the gate stack structure.

  7. Si(001):B gas-source molecular-beam epitaxy: Boron surface segregation and its effect on film growth kinetics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, H.; Glass, G.; Spila, T.; Taylor, N.; Park, S.Y.; Abelson, J.R.; Greene, J.E. [Department of Materials Science, Coordinated Science Laboratory, and Materials Research Laboratory, University of Illinois, 1101 West Springfield, Urbana, Illinois 61801 (United States)

    1997-09-01

    B-doped Si(001) films, with concentrations C{sub B} up to 1.7{times}10{sup 22}cm{sup {minus}3}, were grown by gas-source molecular-beam epitaxy from Si{sub 2}H{sub 6} and B{sub 2}H{sub 6} at T{sub s}=500{endash}800{degree}C. D{sub 2} temperature-programed desorption (TPD) spectra were then used to determine B coverages {theta}{sub B} as a function of C{sub B} and T{sub s}. In these measurements, as-deposited films were flash heated to desorb surface hydrogen, cooled, and exposed to atomic deuterium until saturation coverage. Strong B surface segregation was observed with surface-to-bulk B concentration ratios ranging up to 1200. TPD spectra exhibited {beta}{sub 2} and {beta}{sub 1} peaks associated with dideuteride and monodeuteride desorption as well as lower-temperature B-induced peaks {beta}{sub 2}{sup {asterisk}} and {beta}{sub 1}{sup {asterisk}}. Increasing {theta}{sub B} increased the area under {beta}{sub 2}{sup {asterisk}} and {beta}{sub 1}{sup {asterisk}} at the expense of {beta}{sub 2} and {beta}{sub 1} and decreased the total D coverage {theta}{sub D}. The TPD results were used to determine the B segregation enthalpy, {minus}0.53eV, and to explain and model the effects of high B coverages on Si(001) growth kinetics. Film deposition rates R increase by {ge}50{percent} with increasing C{sub B}{tilde {gt}}1{times}10{sup 19}cm{sup {minus}3} at T{sub s}{le}550{degree}C, due primarily to increased H desorption rates from B-backbonded Si adatoms, and decrease by corresponding amounts at T{sub s}{ge}600{degree}C due to decreased adsorption site densities. At T{sub s}{ge}700{degree}C, high B coverages also induce {l_brace}113{r_brace} facetting. {copyright} {ital 1997 American Institute of Physics.}

  8. Reaction of Tri-methylaluminum on Si (001) Surface for Initial Aluminum Oxide Thin-Film Growth

    International Nuclear Information System (INIS)

    Kim, Dae Hee; Kim, Dae Hyun; Jeong, Yong Chan; Seo, Hwa Il; Kim, Yeong Cheol

    2010-01-01

    We studied the reaction of tri-methylaluminum (TMA) on hydroxyl (OH)-terminated Si (001) surfaces for the initial growth of aluminum oxide thin-films using density functional theory. TMA was adsorbed on the oxygen atom of OH due to the oxygen atom's lone pair electrons. The adsorbed TMA reacted with the hydrogen atom of OH to produce a di-methylaluminum group (DMA) and methane with an energy barrier of 0.50 eV. Low energy barriers in the range of 0 - 0.11 eV were required for DMA migration to the inter-dimer, intra-dimer, and inter-row sites on the surface. A unimethylaluminum group (UMA) was generated at each site with low energy barriers in the range of 0.21 - 0.25 eV. Among the three sites, the inter-dimer site was the most probable for UMA formation

  9. Structure determination of the Si(001)-(2 x 1)-H reconstruction by surface X-ray diffraction: Weakening of the dimer bond by the addition of hydrogen

    DEFF Research Database (Denmark)

    Lauridsen, E.M.; Baker, J.; Nielsen, M.

    2000-01-01

    The atomic structure of the monohydride Si(001)-(2 x 1)-H reconstruction has been investigated by surface X-ray diffraction. Atomic relaxations down to the eighth layer have been determined. The bond length of the hydrogenated silicon dimers was found to be 2.47 +/- 0.02 Angstrom. which is longer...... than the dimer bond of the clean (2 x 1)-reconstructed Si(001) surface and also 5% longer than the bulk bond length of 2.35 Angstrom. The differences to the (2 x 1) structure of the clean surface are discussed in terms of the elimination of the weak pi-bond character of the dimer bond by the addition...

  10. Study of the La-related dipole in TiN/LaO{sub x}/HfSiON/SiON/Si gate stacks using hard X-ray photoelectron spectroscopy and backside medium energy ion scattering

    Energy Technology Data Exchange (ETDEWEB)

    Boujamaa, R. [STMicroelectronics, 850, rue Jean Monnet, 38926 Crolles (France); CEA-LETI, MINATEC Campus, F38054 Grenoble (France); Laboratoire des Matériaux et du Génie Physique, CNRS, Grenoble INP, 3 parvis L. Néel, BP 257, 38016 Grenoble (France); Martinez, E.; Pierre, F.; Renault, O. [CEA-LETI, MINATEC Campus, F38054 Grenoble (France); Detlefs, B.; Zegenhagen, J. [European Synchrotron Radiation Facility, 6 rue Jules Horowitz, F-38000 Grenoble (France); Baudot, S. [STMicroelectronics, 850, rue Jean Monnet, 38926 Crolles (France); Gros-Jean, M., E-mail: Mickael.Gros-Jean@st.com [STMicroelectronics, 850, rue Jean Monnet, 38926 Crolles (France); Bertin, F. [STMicroelectronics, 850, rue Jean Monnet, 38926 Crolles (France); Dubourdieu, C., E-mail: Catherine.Dubourdieu@ec-lyon.fr [Institut des Nanotechnologies de Lyon, CNRS, Ecole Centrale de Lyon, 36 avenue Guy de Collongue, 69134 Ecully (France)

    2015-04-30

    Highlights: • Precise La depth distribution in gate stacks before and after annealing by MEIS. • Analysis by HAXPES of the buried high K/SiO{sub 2} interface without removing TiN gate. • Formation of La-silicate at the HfSiON/SiON interface. • Internal electrical field induced at the HfSiON/SiON interface by the La diffusion. • Increase of electric field strength with initial LaO{sub x} thickness. - Abstract: In this paper, we report the effect of high temperature annealing on the chemical and electronic structure of technologically relevant TiN/LaO{sub x}/HfSiON/SiON/Si gate stacks. Using medium energy ion scattering from the backside of the samples, a non-destructive compositional depth profile of La has been obtained, revealing the lanthanum diffusion in the SiON interface layer upon annealing. To complement this analysis, hard X-ray photoelectron spectroscopy with synchrotron radiation has been performed to investigate the chemical and electronic structure of the gate stacks. The results show clear changes in the Hf and Ti core level energy positions with respect to Si bulk, with changes in the thickness of the LaO{sub x} capping layer. We infer that La diffusion generates an internal electrical field at the La-silicate interface between HfSiON and SiON, and that its strength increases with the increase of LaO{sub x} thickness. These findings support the band alignment model based on a La-induced interfacial dipole.

  11. Optical anisotropy of quasi-1D rare-earth silicide nanostructures on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Chandola, S., E-mail: sandhya.chandola@isas.de [Leibniz-Institut für Analytische Wissenschaften – ISAS – e.V., Schwarzschildstraße 8, 12489 Berlin (Germany); Speiser, E.; Esser, N. [Leibniz-Institut für Analytische Wissenschaften – ISAS – e.V., Schwarzschildstraße 8, 12489 Berlin (Germany); Appelfeller, S.; Franz, M.; Dähne, M. [Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstraße 36, 10623 Berlin (Germany)

    2017-03-31

    Highlights: • Reflectance anisotropy spectroscopy (RAS) is capable of distinguishing optically between the semiconducting wetting layer and the metallic nanowires of rare earth (Tb and Dy) silicide nanostructures grown on vicinal Si(001). • The spectra of the wetting layer show a distinctive line shape with a large peak appearing at 3.8 eV, which is assigned to the formation of 2 × 3 and 2 × 4-like subunits of the 2 × 7 reconstruction. The spectra of the metallic nanowires show peaks at the E{sub 1} and E{sub 2} transitions of bulk Si which is assigned to strong substrate strain induced by the nanowires. • The optical anisotropy of the Tb nanowires is larger than for the Dy nanowires, which is related to the preferential formation of more strained bundles as well as larger areas of clean Si surfaces in the case of Tb. • RAS is shown to be a powerful addition to surface science techniques for studying the formation of rare-earth silicide nanostructures. Its surface sensitivity and rapidity of response make it an ideal complement to the slower but higher resolution of scanning probes of STM and AFM. - Abstract: Rare earth metals are known to interact strongly with Si(001) surfaces to form different types of silicide nanostructures. Using STM to structurally characterize Dy and Tb silicide nanostructures on vicinal Si(001), it will be shown that reflectance anisotropy spectroscopy (RAS) can be used as an optical fingerprint technique to clearly distinguish between the formation of a semiconducting two-dimensional wetting layer and the metallic one-dimensional nanowires. Moreover, the distinctive spectral features can be related to structural units of the nanostructures. RAS spectra of Tb and Dy nanostructures are found to show similar features.

  12. Influence of the Localization of Ge Atoms within the Si(001(4 × 2 Surface Layer on Semicore One-Electron States

    Directory of Open Access Journals (Sweden)

    Olha I. Tkachuk

    2016-03-01

    Full Text Available Adsorption complexes of germanium on the reconstructed Si(001(4 × 2 surface have been simulated by the Si96Ge2Н84 cluster. For Ge atoms located on the surface layer, DFT calculations (B3LYP/6-31G** of their 3d semicore-level energies have shown a clear-cut correlation between the 3d5/2 chemical shifts and mutual arrangement of Ge atoms. Such a shift is positive when only one Ge atom penetrates into the crystalline substrate, while being negative for both penetrating Ge atoms. We interpret these results in terms of the charge distribution in clusters under consideration.

  13. A new Ti-Zr-Hf-Cu-Ni-Si-Sn bulk amorphous alloy with high glass-forming ability

    International Nuclear Information System (INIS)

    Huang, Y.J.; Shen, J.; Sun, J.F.; Yu, X.B.

    2007-01-01

    The effect of Sn substitution for Cu on the glass-forming ability was investigated in Ti 41.5 Zr 2.5 Hf 5 Cu 42.5-x Ni 7.5 Si 1 Sn x (x = 0, 1, 3, 5, 7) alloys by using differential scanning calorimetry (DSC) and X-ray diffractometry. The alloy containing 5% Sn shows the highest glass-forming ability (GFA) among the Ti-Zr-Hf-Cu-Ni-Si-Sn system. Fully amorphous rod sample with diameters up to 6 mm could be successfully fabricated by the copper mold casting Ti 41.5 Zr 2.5 Hf 5 Cu 37.5 Ni 7.5 Si 1 Sn 5 alloy. The activation energies for glass transition and crystallization for Ti 41.5 Zr 2.5 Hf 5 Cu 37.5 Ni 7.5 Si 1 Sn 5 amorphous alloy are both larger than those values for the Sn-free alloy. The enhancement in GFA and thermal stability after the partial replacement of Cu by Sn may be contributed to the strong atomic bonding nature between Ti and Sn and the increasing of atomic packing density. The amorphous Ti 41.5 Zr 2.5 Hf 5 Cu 37.5 Ni 7.5 Si 1 Sn 5 alloy also possesses superior mechanical properties

  14. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  15. Suppression of interfacial reaction for HfO2 on silicon by pre-CF4 plasma treatment

    International Nuclear Information System (INIS)

    Lai, C.S.; Wu, W.C.; Chao, T.S.; Chen, J.H.; Wang, J.C.; Tay, L.-L.; Rowell, Nelson

    2006-01-01

    In this letter, the effects of pre-CF 4 plasma treatment on Si for sputtered HfO 2 gate dielectrics are investigated. The significant fluorine was incorporated at the HfO 2 /Si substrate interface for a sample with the CF 4 plasma pretreatment. The Hf silicide was suppressed and Hf-F bonding was observed for the CF 4 plasma pretreated sample. Compared with the as-deposited sample, the effective oxide thickness was much reduced for the pre-CF 4 plasma treated sample due to the elimination of the interfacial layer between HfO 2 and Si substrate. These improved characteristics of the HfO 2 gate dielectrics can be explained in terms of the fluorine atoms blocking oxygen diffusion through the HfO 2 film into the Si substrate

  16. Early stage oxynitridation process of Si(001) surface by NO gas: Reactive molecular dynamics simulation study

    International Nuclear Information System (INIS)

    Cao, Haining; Kim, Seungchul; Lee, Kwang-Ryeol; Srivastava, Pooja; Choi, Keunsu

    2016-01-01

    Initial stage of oxynitridation process of Si substrate is of crucial importance in fabricating the ultrathin gate dielectric layer of high quality in advanced MOSFET devices. The oxynitridation reaction on a relaxed Si(001) surface is investigated via reactive molecular dynamics (MD) simulation. A total of 1120 events of a single nitric oxide (NO) molecule reaction at temperatures ranging from 300 to 1000 K are statistically analyzed. The observed reaction kinetics are consistent with the previous experimental or calculation results, which show the viability of the reactive MD technique to study the NO dissociation reaction on Si. We suggest the reaction pathway for NO dissociation that is characterized by the inter-dimer bridge of a NO molecule as the intermediate state prior to NO dissociation. Although the energy of the inter-dimer bridge is higher than that of the intra-dimer one, our suggestion is supported by the ab initio nudged elastic band calculations showing that the energy barrier for the inter-dimer bridge formation is much lower. The growth mechanism of an ultrathin Si oxynitride layer is also investigated via consecutive NO reactions simulation. The simulation reveals the mechanism of self-limiting reaction at low temperature and the time evolution of the depth profile of N and O atoms depending on the process temperature, which would guide to optimize the oxynitridation process condition.

  17. Early stage oxynitridation process of Si(001) surface by NO gas: Reactive molecular dynamics simulation study

    Energy Technology Data Exchange (ETDEWEB)

    Cao, Haining; Kim, Seungchul; Lee, Kwang-Ryeol, E-mail: krlee@kist.re.kr [Computational Science Research Center, Korea Institute of Science and Technology, 5, Hwarangno 14-gil, Seongbuk-gu, Seoul 02792 (Korea, Republic of); Department of Nanomaterial Science and Technology, Korea University of Science and Technology, 217 Gajeong-ro, Yuseong-gu, Daejeon 34113 (Korea, Republic of); Srivastava, Pooja; Choi, Keunsu [Computational Science Research Center, Korea Institute of Science and Technology, 5, Hwarangno 14-gil, Seongbuk-gu, Seoul 02792 (Korea, Republic of)

    2016-03-28

    Initial stage of oxynitridation process of Si substrate is of crucial importance in fabricating the ultrathin gate dielectric layer of high quality in advanced MOSFET devices. The oxynitridation reaction on a relaxed Si(001) surface is investigated via reactive molecular dynamics (MD) simulation. A total of 1120 events of a single nitric oxide (NO) molecule reaction at temperatures ranging from 300 to 1000 K are statistically analyzed. The observed reaction kinetics are consistent with the previous experimental or calculation results, which show the viability of the reactive MD technique to study the NO dissociation reaction on Si. We suggest the reaction pathway for NO dissociation that is characterized by the inter-dimer bridge of a NO molecule as the intermediate state prior to NO dissociation. Although the energy of the inter-dimer bridge is higher than that of the intra-dimer one, our suggestion is supported by the ab initio nudged elastic band calculations showing that the energy barrier for the inter-dimer bridge formation is much lower. The growth mechanism of an ultrathin Si oxynitride layer is also investigated via consecutive NO reactions simulation. The simulation reveals the mechanism of self-limiting reaction at low temperature and the time evolution of the depth profile of N and O atoms depending on the process temperature, which would guide to optimize the oxynitridation process condition.

  18. 120 MeV Ag ion induced effects in Au/HfO2/Si MOSCAPs

    Science.gov (United States)

    Manikanthababu, N.; Prajna, K.; Pathak, A. P.; Rao, S. V. S. Nageswara

    2018-05-01

    HfO2/Si thinfilms were deposited by RF sputtering technique. 120 MeV Ag ion irradiation has been used to study the electrical properties of Au/HfO2/Si MOSCAPs. SHI (120 MeV Ag) induced annealing, defects creation and intermixing effects on the electrical properties of these systems have been studied. Here, we have observed that the high electronic excitation can cause a significant reduction of leakage currents in these MOSCAP devices. Various quantum mechanical tunneling phenomenon has been observed from the I-V characteristics.

  19. Metal-Free CVD Graphene Synthesis on 200 mm Ge/Si(001) Substrates.

    Science.gov (United States)

    Lukosius, M; Dabrowski, J; Kitzmann, J; Fursenko, O; Akhtar, F; Lisker, M; Lippert, G; Schulze, S; Yamamoto, Y; Schubert, M A; Krause, H M; Wolff, A; Mai, A; Schroeder, T; Lupina, G

    2016-12-14

    Good quality, complementary-metal-oxide-semiconductor (CMOS) technology compatible, 200 mm graphene was obtained on Ge(001)/Si(001) wafers in this work. Chemical vapor depositions were carried out at the deposition temperatures of 885 °C using CH 4 as carbon source on epitaxial Ge(100) layers, which were grown on Si(100), prior to the graphene synthesis. Graphene layer with the 2D/G ratio ∼3 and low D mode (i.e., low concentration of defects) was measured over the entire 200 mm wafer by Raman spectroscopy. A typical full-width-at-half-maximum value of 39 cm -1 was extracted for the 2D mode, further indicating that graphene of good structural quality was produced. The study also revealed that the lack of interfacial oxide correlates with superior properties of graphene. In order to evaluate electrical properties of graphene, its 2 × 2 cm 2 pieces were transferred onto SiO 2 /Si substrates from Ge/Si wafers. The extracted sheet resistance and mobility values of transferred graphene layers were ∼1500 ± 100 Ω/sq and μ ≈ 400 ± 20 cm 2 /V s, respectively. The transferred graphene was free of metallic contaminations or mechanical damage. On the basis of results of DFT calculations, we attribute the high structural quality of graphene grown by CVD on Ge to hydrogen-induced reduction of nucleation probability, explain the appearance of graphene-induced facets on Ge(001) as a kinetic effect caused by surface step pinning at linear graphene nuclei, and clarify the orientation of graphene domains on Ge(001) as resulting from good lattice matching between Ge(001) and graphene nucleated on such nuclei.

  20. Threshold voltage control in TmSiO/HfO2 high-k/metal gate MOSFETs

    Science.gov (United States)

    Dentoni Litta, E.; Hellström, P.-E.; Östling, M.

    2015-06-01

    High-k interfacial layers have been proposed as a way to extend the scalability of Hf-based high-k/metal gate CMOS technology, which is currently limited by strong degradations in threshold voltage control, channel mobility and device reliability when the chemical oxide (SiOx) interfacial layer is scaled below 0.4 nm. We have previously demonstrated that thulium silicate (TmSiO) is a promising candidate as a high-k interfacial layer, providing competitive advantages in terms of EOT scalability and channel mobility. In this work, the effect of the TmSiO interfacial layer on threshold voltage control is evaluated, showing that the TmSiO/HfO2 dielectric stack is compatible with threshold voltage control techniques commonly used with SiOx/HfO2 stacks. Specifically, we show that the flatband voltage can be set in the range -1 V to +0.5 V by the choice of gate metal and that the effective workfunction of the stack is properly controlled by the metal workfunction in a gate-last process flow. Compatibility with a gate-first approach is also demonstrated, showing that integration of La2O3 and Al2O3 capping layers can induce a flatband voltage shift of at least 150 mV. Finally, the effect of the annealing conditions on flatband voltage is investigated, finding that the duration of the final forming gas anneal can be used as a further process knob to tune the threshold voltage. The evaluation performed on MOS capacitors is confirmed by the fabrication of TmSiO/HfO2/TiN MOSFETs achieving near-symmetric threshold voltages at sub-nm EOT.

  1. A new Ti-Zr-Hf-Cu-Ni-Si-Sn bulk amorphous alloy with high glass-forming ability

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Y.J. [School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China); Shen, J. [School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China)]. E-mail: junshen@hit.edu.cn; Sun, J.F. [School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China); Yu, X.B. [Lab of Energy Science and Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China)]. E-mail: yuxuebin@hotmail.com

    2007-01-16

    The effect of Sn substitution for Cu on the glass-forming ability was investigated in Ti{sub 41.5}Zr{sub 2.5}Hf{sub 5}Cu{sub 42.5-x}Ni{sub 7.5}Si{sub 1}Sn {sub x} (x = 0, 1, 3, 5, 7) alloys by using differential scanning calorimetry (DSC) and X-ray diffractometry. The alloy containing 5% Sn shows the highest glass-forming ability (GFA) among the Ti-Zr-Hf-Cu-Ni-Si-Sn system. Fully amorphous rod sample with diameters up to 6 mm could be successfully fabricated by the copper mold casting Ti{sub 41.5}Zr{sub 2.5}Hf{sub 5}Cu{sub 37.5}Ni{sub 7.5}Si{sub 1}Sn{sub 5} alloy. The activation energies for glass transition and crystallization for Ti{sub 41.5}Zr{sub 2.5}Hf{sub 5}Cu{sub 37.5}Ni{sub 7.5}Si{sub 1}Sn{sub 5} amorphous alloy are both larger than those values for the Sn-free alloy. The enhancement in GFA and thermal stability after the partial replacement of Cu by Sn may be contributed to the strong atomic bonding nature between Ti and Sn and the increasing of atomic packing density. The amorphous Ti{sub 41.5}Zr{sub 2.5}Hf{sub 5}Cu{sub 37.5}Ni{sub 7.5}Si{sub 1}Sn{sub 5} alloy also possesses superior mechanical properties.

  2. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    Science.gov (United States)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  3. Fermi surfaces of YRu2Si2 and LaRu2Si2

    International Nuclear Information System (INIS)

    Settai, R.; Ikezawa, H.; Toshima, H.; Takashita, M.; Ebihara, T.; Sugawara, H.; Kimura, T.; Motoki, K.; Onuki, Y.

    1995-01-01

    We have measured the de Haas-van Alphen effect of YRu 2 Si 2 and LaRu 2 Si 2 to clarify the Fermi surfaces and cyclotron masses. Main hole-Fermi surfaces of both compounds with a distorted ellipsoid shape are similar, occupying about half of the Brillouin zone. The small hole-Fermi surfaces with the shape of a rugby ball are three in number for LaRu 2 Si 2 , and one for YRu 2 Si 2 . An electron-Fermi surface consists of a doughnut like shape for LaRu 2 Si 2 , while a cylinder along the [001] direction and a multiply-connected shape exist for YRu 2 Si 2 . The cyclotron masses of YRu 2 Si 2 are a little larger than those of LaRu 2 Si 2 . ((orig.))

  4. Ordered GeSi nanorings grown on patterned Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Ma Yingjie

    2011-01-01

    Full Text Available Abstract An easy approach to fabricate ordered pattern using nanosphere lithography and reactive iron etching technology was demonstrated. Long-range ordered GeSi nanorings with 430 nm period were grown on patterned Si (001 substrates by molecular beam epitaxy. The size and shape of rings were closely associated with the size of capped GeSi quantum dots and the Si capping processes. Statistical analysis on the lateral size distribution shows that the high growth temperature and the long-term annealing can improve the uniformity of nanorings. PACS code1·PACS code2·more Mathematics Subject Classification (2000 MSC code1·MSC code2·more

  5. Friction and Wear of Unlubricated NiTiHf with Nitriding Surface Treatments

    Science.gov (United States)

    Stanford, Malcolm K.

    2018-01-01

    The unlubricated friction and wear properties of the superelastic materials NiTi and NiTiHf, treated by either gas nitriding or plasma nitriding, have been investigated. Pin on disk testing of the studied materials was performed at sliding speeds from 0.01 to 1m/s at normal loads of 1, 5 or 10N. For all of the studied friction pairs (NiTiHf pins vs. NiTi and NiTiHf disks) over the given parameters, the steady-state coefficients of friction varied from 0.22 to 1.6. Pin wear factors ranged from approximately 1E-6 against the NiTiHf and plasma nitrided disks to approximately 1E-4 for the gas nitrided disks. The plasma nitrided disks provided wear protection in several cases and tended to wear by adhesion. The gas nitrided treatment generated the most pin wear but had essentially no disk wear except at the most severe of the studied conditions (1N load and 1m/s sliding speed). The results of this study are expected to provide guidance for design of components such as gears and fasteners.

  6. Mechanisms for plasma etching of HfO{sub 2} gate stacks with Si selectivity and photoresist trimming

    Energy Technology Data Exchange (ETDEWEB)

    Shoeb, Juline; Kushner, Mark J. [Department of Electrical and Computer Engineering, Iowa State University, Ames, Iowa 50011 (United States); Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan 48109-2122 (United States)

    2009-11-15

    To minimize leakage currents resulting from the thinning of the insulator in the gate stack of field effect transistors, high-dielectric constant (high-k) metal oxides, and HfO{sub 2} in particular, are being implemented as a replacement for SiO{sub 2}. To speed the rate of processing, it is desirable to etch the gate stack (e.g., metal gate, antireflection layers, and dielectric) in a single process while having selectivity to the underlying Si. Plasma etching using Ar/BCl{sub 3}/Cl{sub 2} mixtures effectively etches HfO{sub 2} while having good selectivity to Si. In this article, results from integrated reactor and feature scale modeling of gate-stack etching in Ar/BCl{sub 3}/Cl{sub 2} plasmas, preceded by photoresist trimming in Ar/O{sub 2} plasmas, are discussed. It was found that BCl{sub n} species react with HfO{sub 2}, which under ion impact, form volatile etch products such as B{sub m}OCl{sub n} and HfCl{sub n}. Selectivity to Si is achieved by creating Si-B bonding as a precursor to the deposition of a BCl{sub n} polymer which slows the etch rate relative to HfO{sub 2}. The low ion energies required to achieve this selectivity then challenge one to obtain highly anisotropic profiles in the metal gate portion of the stack. Validation was performed with data from literature. The effect of bias voltage and key reactant probabilities on etch rate, selectivity, and profile are discussed.

  7. Theoretical modelling of tip effects in the pushing manipulation of C60 on the Si(001) surface

    International Nuclear Information System (INIS)

    Martsinovich, N; Kantorovich, L

    2008-01-01

    We present the results of our theoretical studies on the repulsive (pushing) manipulation of a C 60 molecule on the Si(001) surface with several scanning tunnelling microscopy tips. We show that, for silicon tips, tip-C 60 bonds are formed even with tips that do not initially have dangling bonds, and this tip-C 60 interaction drives the manipulation of the molecule. The details of the atomic structure of the tip and its position relative to the molecule do not have a significant effect on the mechanism and the sequence of adsorption configurations during the pushing manipulation of C 60 along the trough, where the trough itself provides a guiding effect. The pushing manipulation is thus a very robust process that occurs largely independently of the tip structure. On the other hand, the pushing manipulation across an Si-Si dimer row into the neighbouring trough proceeds in a more complex way, with tip deformation and detachment more likely to occur. We demonstrate the role of tip deformation and tip-molecule bond rearrangement in the continuous manipulation of the molecule. Finally, we calculate and analyse the forces acting on the tip during manipulation and identify characteristic patterns

  8. Recovery Process of Li, Al and Si from Lepidolite by Leaching with HF

    Directory of Open Access Journals (Sweden)

    Gustavo D. Rosales

    2017-03-01

    Full Text Available This work describes the development of a new process for the recovery of Li, Al and Si along with the proposal of a flow sheet for the precipitation of those metals. The developed process is comprised of lepidolite acid digestion with hydrofluoric acid, and the subsequent precipitation of the metals present in the leach liquor. The leaching operational parameters studied were: reaction time, temperature and HF concentration. The experimental results indicate that the optimal conditions to achieve a Li extraction higher than 90% were: solid-liquid ratio, 1.82% (w/v; temperature, 123 °C; HF concentration, 7% (v/v; stirring speed, 330 rpm; and reaction time, 120 min. Al and Si can be recovered as Na3AlF6 and K2SiF6. LiF was separated from the leach liquor during water evaporation, with recovery values of 92%.

  9. Improvement on the electrical characteristics of Pd/HfO2/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    Science.gov (United States)

    Esakky, Papanasam; Kailath, Binsu J.

    2017-08-01

    HfO2 as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO2/SiC capacitors offer higher sensitivity than SiO2/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO2/SiC interface. Effect of post deposition annealing in N2O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO2/SiC MIS capacitors are reported in this work. N2O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N2 result in formation of Hf silicate at the HfO2/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N2O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO2/SiC capacitors.

  10. Transmission electron microscopy assessment of conductive-filament formation in Ni-HfO2-Si resistive-switching operational devices

    Science.gov (United States)

    Martín, Gemma; González, Mireia B.; Campabadal, Francesca; Peiró, Francesca; Cornet, Albert; Estradé, Sònia

    2018-01-01

    Resistive random-access memory (ReRAM) devices are currently the object of extensive research to replace flash non-volatile memory. However, elucidation of the conductive-filament formation mechanisms in ReRAM devices at nanoscale is mandatory. In this study, the different states created under real operation conditions of HfO2-based ReRAM devices are characterized through transmission electron microscopy and electron energy-loss spectroscopy. The physical mechanism behind the conductive-filament formation in Ni/HfO2/Si ReRAM devices based on the diffusion of Ni from the electrode to the Si substrate and of Si from the substrate to the electrode through the HfO2 layer is demonstrated.

  11. In-situ atomic layer deposition growth of Hf-oxide

    International Nuclear Information System (INIS)

    Karavaev, Konstantin

    2010-01-01

    We have grown HfO 2 on Si(001) by atomic layer deposition (ALD) using HfCl 4 , TEMAHf, TDMAHf and H 2 O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl 4 experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO 2 . The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO 2 : contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  12. In-situ atomic layer deposition growth of Hf-oxide

    Energy Technology Data Exchange (ETDEWEB)

    Karavaev, Konstantin

    2010-06-17

    We have grown HfO{sub 2} on Si(001) by atomic layer deposition (ALD) using HfCl{sub 4}, TEMAHf, TDMAHf and H{sub 2}O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl{sub 4} experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO{sub 2}. The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO{sub 2}: contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  13. Growth, morphology, and conductivity in semimetallic/metallic films on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Jnawali, Giriraj

    2009-06-09

    This dissertation deals with the study of epitaxial growth of semimetallic (Bi) and metallic (Ag) films on Si(001) as well as in situ electrical transport study of those films via surface manipulation. The focus of the transport measurements is to study the influence of the surface morphology or structure on the resistance of the film. In spite of the large lattice mismatch and different lattice geometry, it is possible to grow epitaxial Bi(111) films on Si(001) substrates, which are surprisingly smooth, relaxed and almost free of defects. Due to the two-fold symmetry of the substrates, the Bi(111) film is composed of crystallites rotated by 90 with respect to each other. Annealing of 6 nm film from 150 K to 450 K enables the formation of a periodic interfacial misfit dislocations, which accommodates a remaining lattice mismatch of 2.3 %. The surface/interface roughness and the bulk defect density of the film found to be extremely low, indicating the high crystalline quality of the film with atomically smooth surface and abrupt interface. Similar to the Bi films, Ag grows in a (111) orientation on Si(001) with two 90 rotated domains. The remaining strain of 2.2 % (tensile) is accommodated by the formation of an ordered network of dislocations. The Ag film exhibits atomically smooth surface. Those Bi films and Ag films were used as model systems to study the influence of the surface morphology on the electrical resistance. Surprisingly, all the Bi films (3-170 nm thicknesses) have shown an anomalous behavior of conductance with temperature and thickness. As in the case of doped semiconductor, the conductance increases exponentially from 150 K to 300 K and saturates at 350 K before finally decreasing with temperature. In situ measurements of the resistance during additional Bi deposition on the smooth Bi(111) films exhibit a square root dependent with coverage after a linear increase at very low coverage (1 % of a BL). During additional deposition of Bi, carriers are

  14. Development and Performance Evaluations of HfO2-Si and Rare Earth-Si Based Environmental Barrier Bond Coat Systems for SiC/SiC Ceramic Matrix Composites

    Science.gov (United States)

    Zhu, Dongming

    2014-01-01

    Ceramic environmental barrier coatings (EBC) and SiCSiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiCSiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, high strength and high temperature capable environmental barrier coating bond coat systems, since the current silicon bond coat cannot meet the advanced EBC-CMC temperature and stability requirements. In this paper, advanced NASA HfO2-Si based EBC bond coat systems for SiCSiC CMC combustor and turbine airfoil applications are investigated. The coating design approach and stability requirements are specifically emphasized, with the development and implementation focusing on Plasma Sprayed (PS) and Electron Beam-Physic Vapor Deposited (EB-PVD) coating systems and the composition optimizations. High temperature properties of the HfO2-Si based bond coat systems, including the strength, fracture toughness, creep resistance, and oxidation resistance were evaluated in the temperature range of 1200 to 1500 C. Thermal gradient heat flux low cycle fatigue and furnace cyclic oxidation durability tests were also performed at temperatures up to 1500 C. The coating strength improvements, degradation and failure modes of the environmental barrier coating bond coat systems on SiCSiC CMCs tested in simulated stress-environment interactions are briefly discussed and supported by modeling. The performance enhancements of the HfO2-Si bond coat systems with rare earth element dopants and rare earth-silicon based bond coats are also highlighted. The advanced bond coat systems, when

  15. Structure of 3 at.% and 9 at.% Si-doped HfO{sub 2} from combined refinement of X-ray and neutron diffraction patterns

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Lili [Department of Materials Science and Engineering, North Carolina State University, NC 27695 (United States); School of Information Science and Technology, Northwest University, Xi' an 710127 (China); Hou, Dong; Usher, Tedi-Marie; Iamsasri, Thanakorn; Fancher, Chris M.; Forrester, Jennifer S. [Department of Materials Science and Engineering, North Carolina State University, NC 27695 (United States); Nishida, Toshikazu [Department of Electrical and Computer Engineering, University of Florida, FL 32611 (United States); Moghaddam, Saeed [Department of Mechanical and Aerospace Engineering, University of Florida, FL 32611 (United States); Jones, Jacob L., E-mail: jacobjones@ncsu.edu [Department of Materials Science and Engineering, North Carolina State University, NC 27695 (United States)

    2015-10-15

    The crystal structure of 3 at.% and 9 at.% Si-doped HfO{sub 2} powder was determined through refinements using X-ray and neutron diffraction patterns. The lattice parameters, atomic positions, dopant occupancy, and the second phase fraction were determined with high precision using a combined full pattern fitting via the Rietveld method. The results show that both 3 at.% and 9 at.% Si-doped HfO{sub 2} powder exhibit the monoclinic crystal structure with P 1 2{sub 1}/c 1 space group. Through the combined refinement, the crystal structure parameters, especially for the positions and occupancies of the lighter atoms, were more precisely determined compared to independent X-ray diffraction refinement. Although the ionic radius of Si{sup 4+} is smaller than Hf{sup 4+}, with increasing Si occupancy, the unit cell volume slightly increases; possible mechanisms for this effect are discussed. Moreover, the refined results provide evidence of the existence of a non-equilibrium phase of Hf{sub x}Si{sub 1−x}O{sub 2}. The second phase (SiO{sub 2}) fraction is determined as 0.17 at.% for 3 at.% Si-doped HfO{sub 2} powders and 1.7 at.% for 9 at.% Si-doped HfO{sub 2} powders. - Highlights: • X-ray and neutron diffraction patterns were used to determine crystal structure. • Results from independent refinements and a combined refinement were compared. • Highly precise structural parameters were obtained by the combined refinement. • Structural data of 3 at.% and 9 at.% Si-doped HfO{sub 2} are provided. • The unit cell volume slightly increases with Si concentration from 3 to 9 at.%.

  16. Germanium growth on electron beam lithography patterned Si3N4/Si(001) substrate using molecular beam epitaxy

    Science.gov (United States)

    Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar

    2018-04-01

    It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.

  17. Optical properties of a HfO2/Si stack with a trace amount of nitrogen incorporation

    Science.gov (United States)

    Ye, Li; Tingting, Jiang; Qingqing, Sun; Pengfei, Wang; Shijin, Ding; Wei, Zhang

    2012-03-01

    HfO2 films were deposited by atomic layer deposition through alternating pulsing of Hf[N(C2H5)(CH3)]4 and H2O2. A trace amount of nitrogen was incorporated into the HfO2 through ammonia annealing. The composition, the interface stability of the HfO2/Si stack and the optical properties of the annealed films were analyzed to investigate the property evolution of HfO2 during thermal treatment. With a nitrogen concentration increase from 1.41 to 7.45%, the bandgap of the films decreased from 5.82 to 4.94 eV.

  18. Effect of La doping on interface barrier between Si-passivated Ge and insulating HfO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Kolomiiets, Nadiia M.; Afanas' ev, Valery V.; Madia, Oreste; Stesmans, Andre [Department of Physics and Astronomy, University of Leuven, Celestijnenlaan 200D, 3001 Leuven (Belgium); Cott, Daire J.; Collaert, Nadine [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Thean, Aaron [Imec, Kapeldreef 75, 3001 Leuven (Belgium); National University of Singapore (Singapore)

    2016-12-15

    By analyzing internal photoemission of electrons from Si/SiO{sub x}-passivated Ge into insulating HfO{sub 2} we found that insertion of additional La interlayer between SiO{sub x} and HfO{sub 2} leads to dramatic increase (more than by factor of 20) of the barrier transparency. However, no measurable variation of the interface barrier height is observed suggesting that La induces intermixing of near-interface oxide stack resulting in development of additional density of states corresponding to conduction band of LaO{sub x} and HfO{sub x} sub-networks. At the same time, photoemission results indicate the presence of discrete positive charges in the near-interface oxide layer which may explain the observed ∝1 V shift of capacitance-voltage curves. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Mechanical properties of ultra-thin HfO2 films studied by nano scratches tests

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Yong-Qing; Chang, Chia-Wei; Yao, Chih-Kai; Liao, Jiunn-Der

    2013-01-01

    10-nm-thick atomic layer deposited HfO 2 films were characterized in terms of wear resistance and indentation hardness to investigate the thermal annealing induced impacts on mechanical properties. The wear resistance of ultra-thin films at low loads was characterized using nano-scratch tests with an atomic force microscope. The depth of the nano-scratches decreases with increasing annealing temperature, indicating that the hardness of the annealed films increases with the annealing temperatures. Surface nanoindentation was also performed to confirm the nanoscratch test results. The hardness variation of the annealed films is due to the generation of HfSi x O y induced by the thermal annealing. X-ray photoelectron spectroscopy measurements proved that the hardness of formed HfSi x O y with increasing annealing temperatures. The existence of HfSi x O y broadens the interface, and causes the increase of the interfacial layer thickness. As a result, the surface hardness increases with the increasing HfSi x O y induced by the thermal annealing. - Highlights: ► Mechanical properties of HfO 2 films were assessed by nano-scratch and indentation. ► Scratch depth of HfO 2 films decreased with the increase of annealing temperatures. ► Nano-hardness of HfO 2 films increased with the increase of annealing temperatures

  20. Structural And Energetic Changes of Si (100 Surface With Fluorine in Presence of Water – A Density Functional Study

    Directory of Open Access Journals (Sweden)

    Takeo Ebina

    2001-05-01

    Full Text Available Abstract: We report density functional electronic structure calculations to monitor the change in the surface characteristics of the Si (100-2x1 surface after fluorination followed by interaction with water. Embedded finite silicon clusters are used to model an extended Si (100-2x1 surface. Two high symmetry pathways and subsequent adsorption sites were examined: (i adsorption of an fluorine atom directing onto a silicon dangling bond to form a monocoordinated fluorine atom (ii adsorption of a fluorine atom directing on top of silicon dimer to form a bridging dicoordinated fluorine atom. However, in the later case we find that no barrier exists for the bridging fluorine atom to slide towards silicon dimer dangling bond to form more stable mono coordinated Si-F bond. We calculated activation barriers and equilibrium surface configuration as a function of fluorine coverage upto 2.0 ML. We compared the stability of the fluorinated surface. The results were compared with existing experimental and theoretical results. The reaction of water with HF treated Si surface is monitored. It produces, as a first step, the exchange of Si-F with water to form Si-OH groups reducing the concentration of the fluorine on the surface, followed by a rapture of Si-Si bonds and finally the Si-O-Si bridge formation in the lattice.

  1. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  2. Growth and characterization of epitaxial anatase TiO2(001) on SrTiO3-buffered Si(001) using atomic layer deposition

    International Nuclear Information System (INIS)

    McDaniel, M.D.; Posadas, A.; Wang, T.; Demkov, A.A.; Ekerdt, J.G.

    2012-01-01

    Epitaxial anatase titanium dioxide (TiO 2 ) films have been grown by atomic layer deposition (ALD) on Si(001) substrates using a strontium titanate (STO) buffer layer grown by molecular beam epitaxy (MBE) to serve as a surface template. The growth of TiO 2 was achieved using titanium isopropoxide and water as the co-reactants at a substrate temperature of 225–250 °C. To preserve the quality of the MBE-grown STO, the samples were transferred in-situ from the MBE chamber to the ALD chamber. After ALD growth, the samples were annealed in-situ at 600 °C in vacuum (10 −7 Pa) for 1–2 h. Reflection high-energy electron diffraction was performed during the MBE growth of STO on Si(001), as well as after deposition of TiO 2 by ALD. The ALD films were shown to be highly ordered with the substrate. At least four unit cells of STO must be present to create a stable template on the Si(001) substrate for epitaxial anatase TiO 2 growth. X-ray diffraction revealed that the TiO 2 films were anatase with only the (004) reflection present at 2θ = 38.2°, indicating that the c-axis is slightly reduced from that of anatase powder (2θ = 37.9°). Anatase TiO 2 films up to 100 nm thick have been grown that remain highly ordered in the (001) direction on STO-buffered Si(001) substrates. - Highlights: ► Epitaxial anatase films are grown by atomic layer deposition (ALD) on Si(001). ► Four unit cells of SrTiO 3 on silicon create a stable template for ALD. ► TiO 2 thin films have a compressed c-axis and an expanded a-axis. ► Up to 100 nm thick TiO 2 films remain highly ordered in the (001) direction.

  3. Characterization of stain etched p-type silicon in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Mogoda, A.S., E-mail: awad_mogoda@hotmail.com [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt); Ahmad, Y.H.; Badawy, W.A. [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt)

    2011-04-15

    Research highlights: {yields} Stain etching of p-Si in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4} was investigated. {yields} The electrical conductivity of the etched Si surfaces was measured using impedance technique. {yields} Scanning electron microscope and energy disperse X-ray were used to analyze the etched surfaces. {yields} Etching in aqueous HF solution containing HNO{sub 3} led to formation of a porous silicon layer. {yields} The formation of the porous silicon layer in HF/KMnO{sub 4} was accompanied by deposition of K{sub 2}SiF{sub 6} on the pores surfaces. - Abstract: Stain etching of p-type silicon in hydrofluoric acid solutions containing nitric acid or potassium permanganate as an oxidizing agent has been examined. The effects of etching time, oxidizing agent and HF concentrations on the electrochemical behavior of etched silicon surfaces have been investigated by electrochemical impedance spectroscopy (EIS). An electrical equivalent circuit was used for fitting the impedance data. The morphology and the chemical composition of the etched Si surface were studied using scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) techniques, respectively. A porous silicon layer was formed on Si etched in HF solutions containing HNO{sub 3}, while etching in HF solutions containing KMnO{sub 4} led to the formation of a porous layer and simultaneous deposition of K{sub 2}SiF{sub 6} inside the pores. The thickness of K{sub 2}SiF{sub 6} layer increases with increasing the KMnO{sub 4} concentration and decreases as the concentration of HF increases.

  4. Improvement on the electrical characteristics of Pd/HfO{sub 2}/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    Energy Technology Data Exchange (ETDEWEB)

    Esakky, Papanasam, E-mail: papanasamte@gmail.com; Kailath, Binsu J

    2017-08-15

    Highlights: • Post deposition annealing (PDA) and post metallization annealing (PMA) on the electrical characteristics of Pd/HfO{sub 2}/6H-SiC MIS capacitors. • Post deposition N{sub 2}O plasma annealing inhibits crystallization of HfO{sub 2} during high temperature annealing. • Plasma annealing followed by RTA in N{sub 2} results in formation of hafnium silicate at the HfO{sub 2}-SiC interface. • PDA reduces interface state density (D{sub it}) and gate leakage current density (J{sub g}) by order. • PMA in forming gas for 40 min results in better passivation and reduces D{sub it} by two orders and J{sub g} by thrice. - Abstract: HfO{sub 2} as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO{sub 2}/SiC capacitors offer higher sensitivity than SiO{sub 2}/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO{sub 2}/SiC interface. Effect of post deposition annealing in N{sub 2}O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO{sub 2}/SiC MIS capacitors are reported in this work. N{sub 2}O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N{sub 2} result in formation of Hf silicate at the HfO{sub 2}/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N{sub 2}O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO{sub 2}/SiC capacitors.

  5. Mechanical properties of ultra-thin HfO{sub 2} films studied by nano scratches tests

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Wei-En; Chang, Yong-Qing [Center for Measurement Standards, Industrial Technology Research Institute, Room 216, Building 8, 321, Kuang Fu Road Sec. 2, Hsinchu, Taiwan (China); Chang, Chia-Wei; Yao, Chih-Kai [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China); Liao, Jiunn-Der, E-mail: jdliao@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China); Center for Micro/Nano Science and Technology, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China)

    2013-02-01

    10-nm-thick atomic layer deposited HfO{sub 2} films were characterized in terms of wear resistance and indentation hardness to investigate the thermal annealing induced impacts on mechanical properties. The wear resistance of ultra-thin films at low loads was characterized using nano-scratch tests with an atomic force microscope. The depth of the nano-scratches decreases with increasing annealing temperature, indicating that the hardness of the annealed films increases with the annealing temperatures. Surface nanoindentation was also performed to confirm the nanoscratch test results. The hardness variation of the annealed films is due to the generation of HfSi{sub x}O{sub y} induced by the thermal annealing. X-ray photoelectron spectroscopy measurements proved that the hardness of formed HfSi{sub x}O{sub y} with increasing annealing temperatures. The existence of HfSi{sub x}O{sub y} broadens the interface, and causes the increase of the interfacial layer thickness. As a result, the surface hardness increases with the increasing HfSi{sub x}O{sub y} induced by the thermal annealing. - Highlights: ► Mechanical properties of HfO{sub 2} films were assessed by nano-scratch and indentation. ► Scratch depth of HfO{sub 2} films decreased with the increase of annealing temperatures. ► Nano-hardness of HfO{sub 2} films increased with the increase of annealing temperatures.

  6. HBr Formation from the Reaction between Gas-phase Bromine Atom and Vibrationally Excited Chemisorbed Hydrogen Atoms on a Si(001)-(2 x 1) Surface

    International Nuclear Information System (INIS)

    Ree, J.; Yoon, S. H.; Park, K. G.; Kim, Y. H.

    2004-01-01

    We have calculated the probability of HBr formation and energy disposal of the reaction exothermicity in HBr produced from the reaction of gas-phase bromine with highly covered chemisorbed hydrogen atoms on a Si (001)-(2 x 1) surface. The reaction probability is about 0.20 at gas temperature 1500 K and surface temperature 300 K. Raising the initial vibrational state of the adsorbate(H)-surface(Si) bond from the ground to v = 1, 2 and 3 states causes the vibrational, translational and rotational energies of the product HBr to increase equally. However, the vibrational and translational motions of product HBr share most of the reaction energy. Vibrational population of the HBr molecules produced from the ground state adsorbate-surface bond (vHSi = 0) follows the Boltzmann distribution, but it deviates seriously from the Boltzmann distribution when the initial vibrational energy of the adsorbate-surface bond increases. When the vibration of the adsorbate-surface bond is in the ground state, the amount of energy dissipated into the surface is negative, while it becomes positive as vHSi increases. The energy distributions among the various modes weakly depends on surface temperature in the range of 0-600 K, regardless of the initial vibrational state of H(ad)-Si(s) bond

  7. Nanosized Hydroxyapatite Precipitation on the Ti—30Ta—xHf Alloys.

    Science.gov (United States)

    Lee, Kang; Jang, Jae- In; Han-Cheol, Choe

    2017-04-01

    In this study, we prepared hydroxyapatite (HAp) layer on the alkali treated Ti–30Ta–xHf alloys using electrochemical deposition method. Ti–30Ta–xHf alloys was anodized in 5 M NaOH solution at 0.3 A for 10 min. Alkali treated Ti–30Ta–xHf surface formed by anodization step which acted as templates and anchorage for growth of the HAp during subsequent pulsed electrochemical deposition process at 85 °C. The phase and morphologies of deposited HAp layer were affected by the Hf contents of Ti–30Ta–xHf alloys. The nano-scale rod-like HAp layer was formed on untreated Ti–30Ta–xHf alloys with partially low crystallinity. In the case of alkali treated Ti–30Ta–xHf, nano-sized needle-like layers were transferred to nano-flake surface and denser morphology as Hf content increased.

  8. Polarized luminescence of nc-Si-SiO x nanostructures on silicon substrates with patterned surface

    Science.gov (United States)

    Michailovska, Katerina; Mynko, Viktor; Indutnyi, Ivan; Shepeliavyi, Petro

    2018-05-01

    Polarization characteristics and spectra of photoluminescence (PL) of nc-Si-SiO x structures formed on the patterned and plane c-Si substrates are studied. The interference lithography with vacuum chalcogenide photoresist and anisotropic wet etching are used to form a periodic relief (diffraction grating) on the surface of the substrates. The studied nc-Si-SiO x structures were produced by oblique-angle deposition of Si monoxide in vacuum and the subsequent high-temperature annealing. The linear polarization memory (PM) effect in PL of studied structure on plane substrate is manifested only after the treatment of the structures in HF and is explained by the presence of elongated Si nanoparticles in the SiO x nanocolumns. But the PL output from the nc-Si-SiO x structure on the patterned substrate depends on how this radiation is polarized with respect to the grating grooves and is much less dependent on the polarization of the exciting light. The measured reflection spectra of nc-Si-SiO x structure on the patterned c-Si substrate confirmed the influence of pattern on the extraction of polarized PL.

  9. Comparison of HF radar measurements with Eulerian and Lagrangian surface currents

    Science.gov (United States)

    Röhrs, Johannes; Sperrevik, Ann Kristin; Christensen, Kai Håkon; Broström, Göran; Breivik, Øyvind

    2015-05-01

    High-frequency (HF) radar-derived ocean currents are compared with in situ measurements to conclude if the radar observations include effects of surface waves that are of second order in the wave amplitude. Eulerian current measurements from a high-resolution acoustic Doppler current profiler and Lagrangian measurements from surface drifters are used as references. Directional wave spectra are obtained from a combination of pressure sensor data and a wave model. Our analysis shows that the wave-induced Stokes drift is not included in the HF radar-derived currents, that is, HF radars measure the Eulerian current. A disputed nonlinear correction to the phase velocity of surface gravity waves, which may affect HF radar signals, has a magnitude of about half the Stokes drift at the surface. In our case, this contribution by nonlinear dispersion would be smaller than the accuracy of the HF radar currents, hence no conclusion can be made. Finally, the analysis confirms that the HF radar data represent an exponentially weighted vertical average where the decay scale is proportional to the wavelength of the transmitted signal.

  10. Plastic relaxation of GeSi/Si(001) films grown by molecular-beam epitaxy in the presence of the Sb surfactant

    International Nuclear Information System (INIS)

    Bolkhovityanov, Yu. B.; Deryabin, A. S.; Gutakovskii, A. K.; Kolesnikov, A. V.; Sokolov, L. V.

    2007-01-01

    Plastically relaxed GeSi films with the Ge fraction equal to 0.29-0.42 and thickness as large as 0.5 μm were grown on Si (001) substrates using the low-temperature (350 deg. C) buffer Si layer and Sb as a surfactant. It is shown that introduction of Sb that smoothens the film surface at the stage of pseudomorphic growth lowers the density of threading dislocations in the plastically relaxed heterostructure by 1-1.5 orders of magnitude and also reduces the final roughness of the surface. The root-mean-square value of roughness smaller than 1 nm was obtained for a film with the Ge content of 0.29 and the density of threading dislocations of about 10 6 cm -2 . It is assumed that the effect of surfactant is based on the fact that the activity of surface sources of dislocations is reduced in the presence of Sb

  11. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface

    International Nuclear Information System (INIS)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-01-01

    Silicon nanowire field effect transistor sensors with SiO 2 /HfO 2 as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO 2 as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  12. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    Science.gov (United States)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  13. Study of strained-Si p-channel MOSFETs with HfO2 gate dielectric

    Science.gov (United States)

    Pradhan, Diana; Das, Sanghamitra; Dash, Tara Prasanna

    2016-10-01

    In this work, the transconductance of strained-Si p-MOSFETs with high-K dielectric (HfO2) as gate oxide, has been presented through simulation using the TCAD tool Silvaco-ATLAS. The results have been compared with a SiO2/strained-Si p-MOSFET device. Peak transconductance enhancement factors of 2.97 and 2.73 has been obtained for strained-Si p-MOSFETs in comparison to bulk Si channel p-MOSFETs with SiO2 and high-K dielectric respectively. This behavior is in good agreement with the reported experimental results. The transconductance of the strained-Si device at low temperatures has also been simulated. As expected, the mobility and hence the transconductance increases at lower temperatures due to reduced phonon scattering. However, the enhancements with high-K gate dielectric is less as compared to that with SiO2.

  14. Electrical isolation of dislocations in Ge layers on Si(001 substrates through CMOS-compatible suspended structures

    Directory of Open Access Journals (Sweden)

    Vishal Ajit Shah, Maksym Myronov, Chalermwat Wongwanitwatana, Lewis Bawden, Martin J Prest, James S Richardson-Bullock, Stephen Rhead, Evan H C Parker, Terrance E Whall and David R Leadley

    2012-01-01

    Full Text Available Suspended crystalline Ge semiconductor structures are created on a Si(001 substrate by a combination of epitaxial growth and simple patterning from the front surface using anisotropic underetching. Geometric definition of the surface Ge layer gives access to a range of crystalline planes that have different etch resistance. The structures are aligned to avoid etch-resistive planes in making the suspended regions and to take advantage of these planes to retain the underlying Si to support the structures. The technique is demonstrated by forming suspended microwires, spiderwebs and van der Pauw cross structures. We finally report on the low-temperature electrical isolation of the undoped Ge layers. This novel isolation method increases the Ge resistivity to 280 Ω cm at 10 K, over two orders of magnitude above that of a bulk Ge on Si(001 layer, by removing material containing the underlying misfit dislocation network that otherwise provides the main source of electrical conduction.

  15. Improved light emission from n-ZnO/p-Si heterojunction with HfO{sub 2} as an electron blocking layer

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Zhao; Li, Borui [Key Lab of Artificial Micro- and Nano- structures of Ministry of Education of China, Department of Electronic Science and Technology, School of Physics and Technology, Wuhan University, Wuhan 430072 (China); Mo, Xiaoming [School of Physical Science and Technology, Guangxi University, Nanning, Guangxi 530004 (China); Zhou, Kai [Key Lab of Artificial Micro- and Nano- structures of Ministry of Education of China, Department of Electronic Science and Technology, School of Physics and Technology, Wuhan University, Wuhan 430072 (China); Li, Songzhan [School of Electronic and Electrical Engineering, Wuhan Textile University, Wuhan 430073 (China); Song, Zengcai; Lei, Hongwei; Wen, Jian; Zhu, Ziqiang [Key Lab of Artificial Micro- and Nano- structures of Ministry of Education of China, Department of Electronic Science and Technology, School of Physics and Technology, Wuhan University, Wuhan 430072 (China); Fang, Guojia, E-mail: gjfang@whu.edu.cn [Key Lab of Artificial Micro- and Nano- structures of Ministry of Education of China, Department of Electronic Science and Technology, School of Physics and Technology, Wuhan University, Wuhan 430072 (China)

    2017-04-15

    Light-emitting diodes (LEDs) based on ZnO were fabricated on a p-Si substrate by using a pulsed laser deposition system. Significant electroluminescence (EL) improvement was demonstrated with the insertion of an HfO{sub 2} electron blocking layer (EBL) in n-ZnO/p-Si heterojunctions. Distinct near-band-edge emission at around 392 nm accompanying by a broadly strong visible emission was achieved when a proper thickness of HfO{sub 2} EBL was used. Current-voltage and capacitance-voltage measurements confirmed that a proper thickness of the HfO{sub 2} EBL can effectively balance the injection of electrons and holes, resulting in an increase of radiative recombination in the ZnO active layer and thus enhancing the EL performance of the devices. Five independent emissions corresponding to five different transition processes were proposed to clarify the EL origination of the n-ZnO/HfO{sub 2}/p-Si heterojunction LEDs by Gaussian deconvolutions. It is hoped that results in this work should be helpful for the development of ZnO-based LEDs that can integrate ZnO with the Si planar technology.

  16. Epitaxial condition and polarity in GaN grown on a HfN-buffered Si(111) wafer

    Science.gov (United States)

    Xu, X.; Armitage, R.; Shinkai, Satoko; Sasaki, Katsutaka; Kisielowski, C.; Weber, E. R.

    2005-05-01

    Single-crystal GaN thin films have been deposited epitaxially on a HfN-buffered Si(111) substrates by molecular-beam epitaxy. The microstructural and compositional characteristics of the films were studied in detail by transmission electron microscopy (TEMs). Cross-sectional TEM investigations have revealed the crystallographic orientation relationship in different GaN /HfN/Si layers. GaN film polarity is studied by conventional TEM and convergent beam electron diffraction simulations, and the results show that the GaN film has a Ga polarity with relatively high density of inversion domains. Based on our observations, growth mechanisms related to the structural properties are discussed.

  17. Reliability assessment of ultra-thin HfO{sub 2} films deposited on silicon wafer

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Wei-En [Center for Measurement Standards, Industrial Technology Research Institute, Room 216, Building 8, 321 Kuang Fu Road Sec. 2, Hsinchu, Taiwan (China); Chang, Chia-Wei [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China); Chang, Yong-Qing [Center for Measurement Standards, Industrial Technology Research Institute, Room 216, Building 8, 321 Kuang Fu Road Sec. 2, Hsinchu, Taiwan (China); Yao, Chih-Kai [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China); Liao, Jiunn-Der, E-mail: jdliao@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Nano-mechanical properties on annealed ultra-thin HfO{sub 2} film are studied. Black-Right-Pointing-Pointer By AFM analysis, hardness of the crystallized HfO{sub 2} film significantly increases. Black-Right-Pointing-Pointer By nano-indention, the film hardness increases with less contact stiffness. Black-Right-Pointing-Pointer Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO{sub 2}) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO{sub 2} films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO{sub 2} films deposited on silicon wafers (HfO{sub 2}/SiO{sub 2}/Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO{sub 2} (nominal thickness Almost-Equal-To 10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO{sub 2} phases for the atomic layer deposited HfO{sub 2}. The HfSi{sub x}O{sub y} complex formed at the interface between HfO{sub 2} and SiO{sub 2}/Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO{sub 2} film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically

  18. HF Surface Wave Radar Operation in Adverse Conditions

    National Research Council Canada - National Science Library

    Ponsford, Anthony M; Dizaji, Reza M; McKerracher, Richard

    2005-01-01

    ...) system based on HF Surface Wave Radar (HFSWR). the primary objective behind the programme was to demonstrate the capability of HFSWR to continuously detect and track surface targets (ships and icebergs...

  19. Metal-assisted chemical etching in HF/Na2S2O8 OR HF/KMnO4 produces porous silicon

    NARCIS (Netherlands)

    Hadjersi, T.; Gabouze, N.; Kooij, Ernst S.; Zinine, A.; Zinine, A.; Ababou, A.; Chergui, W.; Cheraga, H.; Belhousse, S.; Djeghri, A.

    2004-01-01

    A new metal-assisted chemical etching method using Na2S2O8 or KMnO4 as an oxidizing agent was proposed to form a porous silicon layer on a highly resistive p-type silicon. A thin layer of Ag or Pd is deposited on the Si(100) surface prior to immersion in a solution of HF and Na2S2O8 or HF and KMnO4.

  20. TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films

    International Nuclear Information System (INIS)

    Lomenzo, Patrick D.; Nishida, Toshikazu; Takmeel, Qanit; Zhou, Chuanzhen; Fancher, Chris M.; Jones, Jacob L.; Lambers, Eric; Rudawski, Nicholas G.; Moghaddam, Saeed

    2015-01-01

    Ferroelectric HfO 2 -based thin films, which can exhibit ferroelectric properties down to sub-10 nm thicknesses, are a promising candidate for emerging high density memory technologies. As the ferroelectric thickness continues to shrink, the electrode-ferroelectric interface properties play an increasingly important role. We investigate the TaN interface properties on 10 nm thick Si-doped HfO 2 thin films fabricated in a TaN metal-ferroelectric-metal stack which exhibit highly asymmetric ferroelectric characteristics. To understand the asymmetric behavior of the ferroelectric characteristics of the Si-doped HfO 2 thin films, the chemical interface properties of sputtered TaN bottom and top electrodes are probed with x-ray photoelectron spectroscopy. Ta-O bonds at the bottom electrode interface and a significant presence of Hf-N bonds at both electrode interfaces are identified. It is shown that the chemical heterogeneity of the bottom and top electrode interfaces gives rise to an internal electric field, which causes the as-grown ferroelectric domains to preferentially polarize to screen positively charged oxygen vacancies aggregated at the oxidized bottom electrode interface. Electric field cycling is shown to reduce the internal electric field with a concomitant increase in remanent polarization and decrease in relative permittivity. Through an analysis of pulsed transient switching currents, back-switching is observed in Si-doped HfO 2 thin films with pinched hysteresis loops and is shown to be influenced by the internal electric field

  1. Extent of hydrogen coverage of Si(001) under chemical vapor deposition conditions from ab initio approaches

    International Nuclear Information System (INIS)

    Rosenow, Phil; Tonner, Ralf

    2016-01-01

    The extent of hydrogen coverage of the Si(001) c(4 × 2) surface in the presence of hydrogen gas has been studied with dispersion corrected density functional theory. Electronic energy contributions are well described using a hybrid functional. The temperature dependence of the coverage in thermodynamic equilibrium was studied computing the phonon spectrum in a supercell approach. As an approximation to these demanding computations, an interpolated phonon approach was found to give comparable accuracy. The simpler ab initio thermodynamic approach is not accurate enough for the system studied, even if corrections by the Einstein model for surface vibrations are considered. The on-set of H 2 desorption from the fully hydrogenated surface is predicted to occur at temperatures around 750 K. Strong changes in hydrogen coverage are found between 1000 and 1200 K in good agreement with previous reflectance anisotropy spectroscopy experiments. These findings allow a rational choice for the surface state in the computational treatment of chemical reactions under typical metal organic vapor phase epitaxy conditions on Si(001).

  2. Extent of hydrogen coverage of Si(001) under chemical vapor deposition conditions from ab initio approaches

    Energy Technology Data Exchange (ETDEWEB)

    Rosenow, Phil; Tonner, Ralf, E-mail: tonner@chemie.uni-marburg.de [Fachbereich Chemie and Wissenschaftliches Zentrum für Materialwissenschaften, Philipps-Universität Marburg, Hans-Meerwein-Straße, Marburg 35032 (Germany)

    2016-05-28

    The extent of hydrogen coverage of the Si(001) c(4 × 2) surface in the presence of hydrogen gas has been studied with dispersion corrected density functional theory. Electronic energy contributions are well described using a hybrid functional. The temperature dependence of the coverage in thermodynamic equilibrium was studied computing the phonon spectrum in a supercell approach. As an approximation to these demanding computations, an interpolated phonon approach was found to give comparable accuracy. The simpler ab initio thermodynamic approach is not accurate enough for the system studied, even if corrections by the Einstein model for surface vibrations are considered. The on-set of H{sub 2} desorption from the fully hydrogenated surface is predicted to occur at temperatures around 750 K. Strong changes in hydrogen coverage are found between 1000 and 1200 K in good agreement with previous reflectance anisotropy spectroscopy experiments. These findings allow a rational choice for the surface state in the computational treatment of chemical reactions under typical metal organic vapor phase epitaxy conditions on Si(001).

  3. Extent of hydrogen coverage of Si(001) under chemical vapor deposition conditions from ab initio approaches

    Science.gov (United States)

    Rosenow, Phil; Tonner, Ralf

    2016-05-01

    The extent of hydrogen coverage of the Si(001) c(4 × 2) surface in the presence of hydrogen gas has been studied with dispersion corrected density functional theory. Electronic energy contributions are well described using a hybrid functional. The temperature dependence of the coverage in thermodynamic equilibrium was studied computing the phonon spectrum in a supercell approach. As an approximation to these demanding computations, an interpolated phonon approach was found to give comparable accuracy. The simpler ab initio thermodynamic approach is not accurate enough for the system studied, even if corrections by the Einstein model for surface vibrations are considered. The on-set of H2 desorption from the fully hydrogenated surface is predicted to occur at temperatures around 750 K. Strong changes in hydrogen coverage are found between 1000 and 1200 K in good agreement with previous reflectance anisotropy spectroscopy experiments. These findings allow a rational choice for the surface state in the computational treatment of chemical reactions under typical metal organic vapor phase epitaxy conditions on Si(001).

  4. Growth and relaxation processes in Ge nanocrystals on free-standing Si(001) nanopillars.

    Science.gov (United States)

    Kozlowski, G; Zaumseil, P; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-03-23

    We study the growth and relaxation processes of Ge crystals selectively grown by chemical vapour deposition on free-standing 90 nm wide Si(001) nanopillars. Epi-Ge with thickness ranging from 4 to 80 nm was characterized by synchrotron based x-ray diffraction and transmission electron microscopy. We found that the strain in Ge nanostructures is plastically released by nucleation of misfit dislocations, leading to degrees of relaxation ranging from 50 to 100%. The growth of Ge nanocrystals follows the equilibrium crystal shape terminated by low surface energy (001) and {113} facets. Although the volumes of Ge nanocrystals are homogeneous, their shape is not uniform and the crystal quality is limited by volume defects on {111} planes. This is not the case for the Ge/Si nanostructures subjected to thermal treatment. Here, improved structure quality together with high levels of uniformity of the size and shape is observed.

  5. Memory characteristics and tunneling mechanism of Ag nanocrystal embedded HfAlOx films on Si83Ge17/Si substrate

    International Nuclear Information System (INIS)

    Qiu, X.Y.; Zhou, G.D.; Li, J.; Chen, Y.; Wang, X.H.; Dai, J.Y.

    2014-01-01

    A nano-floating gate memory capacitor consisting of a stack of 3 nm-thick HfAlO x tunneling layer, self-organized Ag nanocrystals (NCs), and a 6 nm-thick HfAlO x control layer, has been fabricated on compressively strained p-type Si 83 Ge 17 /Si(100) substrates by radio-frequency magnetron sputtering. The Ag-NCs with a size of 5–8 nm and a density of 5.7 × 10 12 /cm 2 are well dispersed in the amorphous HfAlO x matrix. Counterclockwise hysteresis capacitance–voltage curve with a memory window of ∼ 2 V, corresponding to a charge storage density of about 1.3 × 10 13 electrons/cm 2 , is observed in this memory capacitor. The accumulation capacitance of this memory capacitor has no obvious decrease during electrical stressing process within a period of 10 4 s, but the memory window gradually becomes narrower, and only 54% stored charges are retained in the Ag-NCs after 10 5 s stressing. Defect-enhanced Poole–Frenkel tunneling is found to be responsible for the degradation of memory properties. - Highlights: • Dispersed Ag nanocrystals act as memory nodes. • Realize a 2 V memory window • Illustrate the memory degradation process • Identify a defect-enhanced tunneling mechanism

  6. Vertical and lateral ordering of Ge islands grown on Si(001): theory and experiments

    International Nuclear Information System (INIS)

    Montalenti, F; Marzegalli, A; Capellini, G; Seta, M De; Miglio, Leo

    2007-01-01

    A set of recent results concerning lateral and vertical ordering of Ge islands grown on Si(001) is reviewed. Experimental data generated by chemical vapour deposition and analysed by atomic force microscopy and photoelectron spectroscopy are compared with computer simulations and modelling based on atomistic approaches and continuum theory. In particular, we show that it is possible to probe experimentally the detailed strain field generated by buried Ge islands at the surface of the Si capping layer. The observed arrangement of small Ge islands grown over the capping layer is demonstrated to be very close to the one predicted by a simple model where the local chemical potential is inferred from the strain field at the atomic scale, as given by Tersoff-potential molecular dynamics simulations. Moreover, we review recent experimental evidence for lateral ordering, triggered by partial Si capping, in the first layer of Ge islands on Si(001). Theoretical support is given by showing that when two islands lie in close proximity the elastic field is likely to generate a flow of atoms leading to an effective gliding motion along opposite directions of both islands, eventually stopped by the presence of further neighbouring islands

  7. Electronic structure and magnetism of new ilmenite compounds for spintronic devices: FeBO{sub 3} (B = Ti, Hf, Zr, Si, Ge, Sn)

    Energy Technology Data Exchange (ETDEWEB)

    Ribeiro, R.A.P. [Department of Chemistry, State University of Ponta Grossa, Av. General Carlos Cavalcanti, 4748, 84030-900 Ponta Grossa, PR (Brazil); Camilo, A. [Department of Physics, State University of Ponta Grossa, Av. General Carlos Cavalcanti, 4748, 84030-900 Ponta Grossa, PR (Brazil); Lazaro, S.R. de, E-mail: srlazaro@uepg.br [Department of Chemistry, State University of Ponta Grossa, Av. General Carlos Cavalcanti, 4748, 84030-900 Ponta Grossa, PR (Brazil)

    2015-11-15

    First-principles calculations were performed in the framework of Density Functional Theory (DFT) within hybrid functional (B3LYP) to study the electronic structure and magnetic properties of new ilmenite FeBO{sub 3} (B=Ti, Hf, Zr, Si, Ge, Sn) materials. In particular, the magnetic exchange interaction between Fe{sup 2+} layers is dependent on the interlayer distance and it can be controlled by ionic radius of B-site cation. Thus, Fe(Ti, Si, Ge)O{sub 3} are antiferromagnetic materials, while Fe(Zr, Hf, Sn)O{sub 3} are ferromagnetic. We also argue that antiferromagnetic materials and FeZrO{sub 3} are convectional semiconductors, whereas FeHfO{sub 3} and FeSnO{sub 3} exhibit intrinsic half-metallic behavior, making them promising candidates for spintronic devices. - Highlights: • We study electronic structure and magnetism of new FeBO{sub 3} (B=Ti, Hf, Zr, Si, Ge, Sn) ilmenite materials. • We found that magnetic ordering of Fe-based ilmenite materials can be controlled by size of B-site cation. • Fe(Ti, Zr, Si, Ge)O{sub 3} are convectional semiconductors. • FeHfO{sub 3} and FeSnO{sub 3} exhibit intrinsic half-metallic behavior with potential application for spintronic devices.

  8. Laser conditioning effect on HfO2/SiO2 film

    International Nuclear Information System (INIS)

    Wei Yaowei; Zhang Zhe; Liu Hao; Ouyang Sheng; Zheng Yi; Tang Gengyu; Chen Songlin; Ma Ping

    2013-01-01

    Laser conditioning is one of the important methods to improve the laser damage threshold of film optics. Firstly, a large aperture laser was used to irradiate the HfO 2 /SiO 2 reflectors, which were evaporated from hafnia and silica by e-beam. Secondly, a laser calorimeter was used to test the film absorption before and after laser irradiation. Focused ion beam (FIB) was few reported using on laser film, it was used to study the damage morphology and explore the cause of damage. The shooting of the partial ejection on nodule was obtained for the first time, which provided the basis for study the damage process. The results show that film absorption was decreased obviously after the laser irradiation, laser conditioning can raise the laser damage threshold by the 'cleaning mechanism'. For the HfO 2 /SiO 2 reflectors, laser conditioning was effective to eject the nodules on substrate. It resulted from the nodule residue not to affect the subsequent laser. In addition, laser conditioning was not effective to the nodule in the film, which might be from the material spatter in coating process. In this case, other method could be used to get rid of the nodules. (authors)

  9. Contact resistance and stability study for Au, Ti, Hf and Ni contacts on thin-film Mg2Si

    KAUST Repository

    Zhang, Bo; Zheng, Tao; Wang, Qingxiao; Zhu, Yihan; Alshareef, Husam N.; Kim, Moon J.; Gnade, Bruce E.

    2016-01-01

    We present a detailed study of post-deposition annealing effects on contact resistance of Au, Ti, Hf and Ni electrodes on Mg2Si thin films. Thin-film Mg2Si and metal contacts were deposited using magnetron sputtering. Various post

  10. Investigating the effect of silicon surface chemical treatment on Al/Si contact properties in GaP/Si solar cells

    Science.gov (United States)

    Kudryashov, D.; Gudovskikh, A.

    2018-03-01

    In the present work, experimental studies have been carried out to reveal how chemical treatment of a silicon surface affects the properties of the Al/Si contact. It has been shown that for p-type monocrystalline silicon substrates with a resistivity of 10 ohm cm, it is possible to form an ohmic Al/Si contact by magnetron sputtering of an aluminum thin film and its further annealing at temperatures of 400 - 450 °C. In the range of annealing temperatures of 250 - 400 °C, the Si substrate treatment in the HF solution leads to a significant increase in currents on the current-voltage curves of the Al/Si contact, while in the range of 450 - 700 °C, the effect of chemical treatment of the silicon is not detected.

  11. Adsorption of Na on Ge(001)(2x1) surface

    International Nuclear Information System (INIS)

    Xiao, H.Y.; Zu, X.T.

    2006-01-01

    The adsorption of sodium on the Ge(001)(2x1) surface at the coverage (Θ) of 0.5 and 1ML has been investigated by using ab initio total energy calculations. It was found that at Θ=0.5ML T3 and T4 sites are nearly degenerate and Na adatoms preferred to adsorb at T3 and T4 sites. This finding agrees well with Meyerheim et al.'s experimental results, but does not support theoretical investigations of Spiess et al., who found HH site was the most stable. For 1ML coverage the most stable configurations are a combination of the HH and T3 or T4 sites. Work function and dipole moment analysis showed that upon Na adsorption on Ge(001)(2x1) and Si(001)(2x1) surface the dipole-dipole repulsion is small and no depolarization effect occurs as the coverage increases from 0.5 to 1ML

  12. Optical second-harmonic and reflectance-anisotropy spectroscopy of molecular adsorption at Si(001) step-edges

    Energy Technology Data Exchange (ETDEWEB)

    Ehlert, Robert; Kwon, Jinhee; Downer, Michael C. [University of Texas at Austin, Department of Physics, Austin, TX 78712-1081 (United States)

    2008-07-01

    Reflectance-anisotropy spectroscopy (RAS) and spectroscopic second harmonic generation (SHG) are used to probe a single-domain reconstructed stepped Si(001) surface offcut 6 toward[110] before and after dissociative adsorption of H{sub 2} at the D{sub B} step edges. Preliminary analysis with a simplified bond hyperpolarizability model supports the mutual consistency of RA and SHG spectra and suggests that hydrogen termination redistributes oscillator strength from the chemically active step dangling bond into the step back bonds. The data provide a benchmark for first-principles calculations of the optical response of stepped Si surfaces to step edge molecular adsorption. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Effect of different post-treatments on the bioactivity of alkali-treated Ti-5Si alloy.

    Science.gov (United States)

    Hsu, Hsueh-Chuan; Wu, Shih-Ching; Hsu, Shih-Kuang; Liao, Yi-Hang; Ho, Wen-Fu

    2017-01-01

    As titanium (Ti) alloys are bioinert, various chemically-modified Ti surface has been developed to promote bioactivity and bone ingrowth. In this study, various post treatments (water aging, hydrothermal, and heat treatments) were applied to NaOH-treated Ti-5Si to improve its bioactivity. The bioactivity of surface-modified Ti-5Si was access by using the apatite formation ability of Ti-5Si surfaces soaking in a simulated body fluid (SBF). The results showed that the NaOH-treated surface formed a porous network structure composed of sodium titanate hydrogel, which was changed to sodium titanate after subsequent post treatments, whereas sodium titanate, anatase and rutile phases were found on the Ti-5Si surfaces after heat treatment. After immersion in SBF for 14 days, compact apatite layers were observed on the surfaces of all the Ti-5Si tested. The results of XRD and FTIR indicated that the apatite deposited on the Ti-5Si substrate with various surface modified conditions was carbonate-substituted hydroxyapatite. The apatite-forming ability of the surface of the Ti-5Si was excellent, even though Ti-5Si was not subjected to surface modifications. As a result, the bioactivity of Ti-5Si alloy was verified by the apatite-forming ability, making it suitable for use in orthopedic and dental implants.

  14. In situ photoemission spectroscopy using synchrotron radiation for O2 translational kinetic energy induced oxidation processes of partially-oxidized Si(001) surfaces

    International Nuclear Information System (INIS)

    Teraoka, Yuden; Yoshigoe, Akitaka

    2001-01-01

    The influence of translational kinetic energy of incident O 2 molecules for the passive oxidation process of partially-oxidized Si(001) surfaces has been studied by photoemission spectroscopy. The translational kinetic energy of O 2 molecules was controlled up to 3 eV by a supersonic seed beam technique using a high temperature nozzle. Two translational kinetic energy thresholds (1.0 eV and 2.6 eV) were found out in accordance with the first-principles calculation for the oxidation of clean surfaces. Si-2p photoemission spectra measured in representative translational kinetic energies revealed that the translational kinetic energy dependent oxidation of dimers and the second layer (subsurface) backbonds were caused by the direct dissociative chemisorption of O 2 molecules. Moreover, the difference in chemical bonds for oxygen atoms was found out to be as low and high binding energy components in O-1s photoemission spectra. Especially, the low binding energy component increased with increasing the translational kinetic energy that indicates the translational kinetic energy induced oxidation in backbonds. (author)

  15. Oxidation Behavior of HfB2-SiC Materials in Dissociated Environments

    Science.gov (United States)

    Ellerby, Don; Irby, Edward; Johnson, Sylvia M.; Beckman, Sarah; Gusman, Michael; Gasch, Matthew

    2002-01-01

    Hafnium diboride based materials have shown promise for use in extremely high temperature applications, such as sharp leading edges on future reentry vehicles. During reentry, the oxygen and nitrogen in the atmosphere are dissociated by the shock layer ahead of the sharp leading edge such that surface reactions are determined by reactions of monatomic oxygen and nitrogen rather than O2, and N2. Simulation of the reentry environment on the ground requires the use of arc jet (plasma jet) facilities that provide monatomic species and are the closest approximation to actual flight conditions. Simple static or flowing oxidation studies under ambient pressures and atmospheres are not adequate to develop an understanding of a materials behavior in flight. Arc jet testing is required to provide the appropriate stagnation pressures, heat fluxes, enthalpies, heat loads and atmospheres encountered during flight. This work looks at the response of HfB2/SiC materials exposed to various simulated reentry environments.

  16. Crystal structure and band gap determination of HfO2 thin films

    NARCIS (Netherlands)

    Cheynet, M.C.; Pokrant, S.; Tichelaar, F.D.; Rouvière, J.L.

    2007-01-01

    Valence electron energy loss spectroscopy (VEELS) and high resolution transmission electron microscopy (HRTEM) are performed on three different HfO2 thin films grown on Si (001) by chemical vapor deposition (CVD) or atomic layer deposition (ALD). For each sample the band gap (Eg) is determined by

  17. Luminescence properties of Si-capped β-FeSi{sub 2} nanodots epitaxially grown on Si(001) and (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Amari, Shogo; Ichikawa, Masakazu [Department of Applied Physics, Graduate School of Engineering, The University of Tokyo, Bunkyo-ku, Tokyo 113-8656 (Japan); Nakamura, Yoshiaki, E-mail: nakamura@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama-cho, Toyonaka, Osaka 560-8531 (Japan); PRESTO, JST, 4-1-8 Honcho Kawaguchi, Saitama 332-0012 (Japan)

    2014-02-28

    We studied the luminescence properties of Si-capped β-FeSi{sub 2} nanodots (NDs) epitaxially grown on Si substrates by using photoluminescence (PL) and electroluminescence (EL) spectroscopies. Codepositing Fe and Si on ultrathin SiO{sub 2} films induced the self-assembly of epitaxial β-FeSi{sub 2} NDs. The PL spectra of the Si/β-FeSi{sub 2} NDs/Si structure depended on the crystal orientation of the Si substrate. These structures exhibited a broad PL peak near 0.8 eV on both Si(001) and (111) substrates. The PL intensity depended on the shape of the β-FeSi{sub 2} NDs. For the flat NDs, which exhibited higher PL intensity, we also recorded EL spectra. We explained the luminescence properties of these structures by the presence of nanostructured Si offering radiative electronic states in the Si cap layers, generated by nano-stressors for upper Si layer: the strain-relaxed β-FeSi{sub 2} NDs.

  18. Surface and interfacial reaction study of half cycle atomic layer deposited HfO{sub 2} on chemically treated GaSb surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Zhernokletov, D. M. [Department of Physics, University of Texas at Dallas, Richardson, Texas 75080 (United States); Dong, H.; Brennan, B.; Kim, J. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, Texas 75080 (United States); Yakimov, M.; Tokranov, V.; Oktyabrsky, S. [College of Nanoscale Science and Engineering, University at Albany - SUNY, Albany, New York 12203 (United States); Wallace, R. M. [Department of Physics, University of Texas at Dallas, Richardson, Texas 75080 (United States); Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, Texas 75080 (United States)

    2013-04-01

    An in situ half-cycle atomic layer deposition/X-ray photoelectron spectroscopy (XPS) study was conducted in order to investigate the evolution of the HfO{sub 2} dielectric interface with GaSb(100) surfaces after sulfur passivation and HCl etching, designed to remove the native oxides. With the first pulses of tetrakis(dimethylamido)hafnium(IV) and water, a decrease in the concentration of antimony oxide states present on the HCl-etched surface is observed, while antimony sulfur states diminished below the XPS detection limit on sulfur passivated surface. An increase in the amount of gallium oxide/sulfide is seen, suggesting oxygen or sulfur transfers from antimony to gallium during antimony oxides/sulfides decomposition.

  19. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    Science.gov (United States)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  20. Surface modification of Al–Si alloy by excimer laser pulse processing

    Energy Technology Data Exchange (ETDEWEB)

    Mahanty, S., E-mail: soumitro@iitk.ac.in; Gouthama

    2016-04-15

    The laser irradiation on Al-Si alloy sample is carried out by excimer laser in ambient conditions for 30 or 45 pulses. Microstructural investigation of laser treated sample is done by OM, SEM and TEM and the surface hardness is evaluated by Vickers micro indentation. Laser treated, samples suggested the dissolution of coarse primary Si and β-AlFeSi particle in α-Al matrix. The SEM/EDS study shows the enhancement of retained Si in α-Al matrix. The interface analysis of laser treated sample suggested the effected modified depth is ∼6 μm. TEM investigation shows the formation of nanocrystalline Si in size ∼2–15 nm. The cellular structures of size range ∼30–50 nm are observed after 45 pulses. The α-Al cells and Si precipitates sizes were considerably refined at higher number of pulses. The fine Si precipitates are found to be dispersed in the intercellular boundaries. An improvement in surface hardness from ∼1.6 to 1.8 is observed 30 and 45 pulse treatment, respectively. The mechanism involves for improvement in surface properties are non-equilibrium solidification, metastable phase formation and microstructural refinement. - Highlights: • Coarse Si and β phase intermetallic are melted and the constituent elements dispersed into the matrix during re-solidification. • The solid solubility of the Si at the surface enhanced after the laser treatment. • The Cellular structure with the size range ∼30–50 nm observed in α-Al after 45 laser pulses. • Si nano particles in size ∼ 2–15 nm were observed in the intercellular region. • Surface hardness increased after laser processing.

  1. Aperture-time of oxygen-precursor for minimum silicon incorporation into the interface-layer in atomic layer deposition-grown HfO{sub 2}/Si nanofilms

    Energy Technology Data Exchange (ETDEWEB)

    Mani-Gonzalez, Pierre Giovanni [CINVESTAV-Unidad Querétaro, Querétaro 76230, Querétaro, Mexico and Departamento de Física y Matemáticas, Instituto de Ingeniería y Tecnología, Universidad Autónoma de Ciudad Juárez, Ave. Del Charro 450, Cd. Juárez C.P. 32310, Chihuahua (Mexico); Vazquez-Lepe, Milton Oswaldo [CINVESTAV-Unidad Querétaro, Querétaro 76230, Querétaro, Mexico and Departamento de Ingeniería de Proyectos, Universidad de Guadalajara, Guadalajara 45100, Jalisco (Mexico); Herrera-Gomez, Alberto, E-mail: aherrera@qro.cinvestav.mx [CINVESTAV-Unidad Querétaro, Querétaro 76230, Querétaro (Mexico)

    2015-01-15

    Hafnium oxide nanofilms were grown with atomic layer deposition on H-terminated Si (001) wafers employing tetrakis dimethyl amino hafnium (TDMA-Hf) and water as precursors. While the number of cycles (30) and the aperture-time for TDMA-Hf (0.08 s) were kept constant, the aperture-time (τ{sub H{sub 2O}}) for the oxidant-agent (H{sub 2}O) was varied from 0 to 0.10 s. The structure of the films was characterized with robust analysis employing angle-resolved x-ray photoelectron spectroscopy. In addition to a ∼1 nm hafnium oxide layer, a hafnium silicate interface layer, also ∼1 nm thick, is formed for τ{sub H{sub 2O}} > 0. The incorporation degree of silicon into the interface layer (i.e., the value of 1 − x in Hf{sub x}Si{sub 1−x}O{sub y}) shows a minimum of 0.32 for τ{sub H{sub 2O}} = 0.04 s. By employing the simultaneous method during peak-fitting analysis, it was possible to clearly resolve the contribution from the silicate and from oxide to the O 1s spectra, allowing for the assessment of the oxygen composition of each layer as a function of oxidant aperture time. The uncertainties of the peak areas and on the thickness and composition of the layers were calculated employing a rigorous approach.

  2. Kirkendall void formation in reverse step graded Si1-xGex/Ge/Si(001) virtual substrates

    Science.gov (United States)

    Sivadasan, Vineet; Rhead, Stephen; Leadley, David; Myronov, Maksym

    2018-02-01

    Formation of Kirkendall voids is demonstrated in the Ge underlayer of reverse step graded Si1-xGex/Ge buffer layers grown on Si(001) using reduced pressure chemical vapour deposition (RP-CVD). This phenomenon is seen when the constant composition Si1-xGex layer is grown at high temperatures and for x ≤ 0.7. The density and size of the spherical voids can be tuned by changing Ge content in the Si1-xGex and other growth parameters.

  3. Self-assembly of InAs and Si/Ge quantum dots on structured surfaces

    International Nuclear Information System (INIS)

    Patella, F; Sgarlata, A; Arciprete, F; Nufris, S; Szkutnik, P D; Placidi, E; Fanfoni, M; Motta, N; Balzarotti, A

    2004-01-01

    We discuss the self-aggregation process of InAs and Si-Ge quantum dots (QDs) on natural and patterned GaAs(001) and Si(001) and Si(111) surfaces, with reference to our recent studies with scanning tunnelling and atomic force microscopy and current experimental and theoretical works. Various methods for obtaining naturally structured surfaces are briefly surveyed, as the patterning formed by the surface instability and by the strain in mismatched heteroepitaxy, and the latest methods of pre-patterning and growth at selected sites are discussed. Basic topics are also addressed that determine the final morphology of QDs, such as the wetting layer formation, the elastic strain field and the two-dimensional to three-dimensional phase transition

  4. Mechanism of the nanoscale localization of Ge quantum dot nucleation on focused ion beam templated Si(001) surfaces

    International Nuclear Information System (INIS)

    Portavoce, A; Kammler, M; Hull, R; Reuter, M C; Ross, F M

    2006-01-01

    We investigate the fundamental mechanism by which self-assembled Ge islands can be nucleated at specific sites on Si(001) using ultra-low-dose focused ion beam (FIB) pre-patterning. Island nucleation is controlled by a nanotopography that forms after the implantation of Ga ions during subsequent thermal annealing of the substrate. This nanotopography evolves during the annealing stage, changing from a nanoscale annular depression associated with each focused ion beam spot to a nanoscale pit, and eventually disappearing (planarizing). The correspondence of Ge quantum dot nucleation sites to the focused ion beam features requires a growth surface upon which the nanotopography is preserved. A further key observation is that the Ge wetting layer thickness is reduced in patterned regions, allowing the formation of islands on the templated regions without nucleation elsewhere. These results provide routes to the greatly enhanced design and control of quantum dot distributions and dimensions

  5. Separative recovery with lime of phosphate and fluoride from an acidic effluent containing H3PO4, HF and/or H2SiF6.

    Science.gov (United States)

    Gouider, Mbarka; Feki, Mongi; Sayadi, Sami

    2009-10-30

    Fluoride content and flow-rate of fertilizer plant wastewater from phosphoric acid and/or triple superphosphate (TSP) production lead to the discharge of several thousand tons of fluoride (F(-)) per year and even more for phosphate (PO4(3-)). Since sustainability is an important environmental concern, the removal methods should allow phosphorus and fluoride to be recycled as a sustainable products for use as raw materials either in agricultural or industrial applications. In the present work, separative recovery with lime of these two target species was investigated. A preliminary speciation study, carried out on the crude effluent, showed that two forms of fluoride: HF and H2SiF6 are present in a highly acidic medium (pH approximately 2). Evidence that fluoride is present under both free (HF) and combined (H2SiF6) forms, in the phosphate-containing effluent, was provided by comparing potentiometric titration curves of a crude wastewater sample and synthetic acid mixtures containing H3PO4, HF and H2SiF6. In a second step synthetic effluent containing mixtures of the following acids: HF, H2SiF6 and H3PO4, were treated with lime. The behaviour of these compounds under lime treatment was analysed. The data showed that fluoride has a beneficial effect on phosphate removal. Moreover, by acting on the precipitation pH, a "selective" recovery of fluoride and phosphate ions was possible either from phosphoric acid/hydrofluoric acid or phosphoric acid/hexafluorosilicic acid mixtures. Indeed, the first stage of the separative recovery, led to a fluoride removal efficiency of 97-98% from phosphoric acid/hydrofluoric acid mixture. It was of 93-95% from phosphoric acid/hexafluorosilicic acid mixture. During the second stage, the phosphate precipitation reached 99.8% from both acidic mixtures whereas it did not exceed 82% from a solution containing H3PO4 alone. The XRD and IR analyses showed that during lime treatment, a H2SiF6 hydrolysis occurred, instead of CaSiF6 solid

  6. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  7. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  8. "Equilibrium structure of monatomic steps on vicinal Si(001)

    NARCIS (Netherlands)

    Zandvliet, Henricus J.W.; Elswijk, H.B.; van Loenen, E.J.; Dijkkamp, D.

    1992-01-01

    The equilibrium structure of monatomic steps on vicinal Si(001) is described in terms of anisotropic nearest-neighbor and isotropic second-nearest-neighbor interactions between dimers. By comparing scanning-tunneling-microscopy data and this equilibrium structure, we obtained interaction energies of

  9. Dislocation behavior of surface-oxygen-concentration controlled Si wafers

    International Nuclear Information System (INIS)

    Asazu, Hirotada; Takeuchi, Shotaro; Sannai, Hiroya; Sudo, Haruo; Araki, Koji; Nakamura, Yoshiaki; Izunome, Koji; Sakai, Akira

    2014-01-01

    We have investigated dislocation behavior in the surface area of surface-oxygen-concentration controlled Si wafers treated by a high temperature rapid thermal oxidation (HT-RTO). The HT-RTO process allows us to precisely control the interstitial oxygen concentration ([O i ]) in the surface area of the Si wafers. Sizes of rosette patterns, generated by nano-indentation and subsequent thermal annealing at 900 °C for 1 h, were measured for the Si wafers with various [O i ]. It was found that the rosette size decreases in proportion to the − 0.25 power of [O i ] in the surface area of the Si wafers, which were higher than [O i ] of 1 × 10 17 atoms/cm 3 . On the other hand, [O i ] of lower than 1 × 10 17 atoms/cm 3 did not affect the rosette size very much. These experimental results demonstrate the ability of the HT-RTO process to suppress the dislocation movements in the surface area of the Si wafer. - Highlights: • Surface-oxygen-concentration controlled Si wafers have been made. • The oxygen concentration was controlled by high temperature rapid thermal oxidation. • Dislocation behavior in the surface area of the Si wafers has been investigated. • Rosette size decreased with increasing of interstitial oxygen atoms. • The interstitial oxygen atoms have a pinning effect of dislocations at the surface

  10. Electrochemical investigation of the surface energy: Effect of the HF concentration on electroless silver deposition onto p-Si (1 1 1)

    International Nuclear Information System (INIS)

    Ye Weichun; Chang Yanlong; Ma Chuanli; Jia Bingyu; Cao Guiyan; Wang Chunming

    2007-01-01

    Electroless silver deposition onto p-silicon (1 1 1) from 0.005 mol l -1 AgNO 3 solutions with different HF concentration was investigated by using an electrochemical direct current polarization method and open circuit potential-time (Ocp-t) technique. The fact that three-dimensional (3D) growth of silver onto silicon is favored with increasing the HF concentration was ascribed to the drop of the surface energy and approved by electrochemical direct current polarization, Ocp-t technique and atomic force microscopy (AFM). The drop slope of open-circuit potential, K -ΔE(OCP)/t , was educed from the mixed-potential theory. K -ΔE(OCP)/t as well as the deposition rate determined by an inductively coupled plasma atomic emission spectrometry (ICP-AES), increased with the HF concentration, yet was not a linear function. Results were explained by the stress generation and relaxation mechanisms

  11. [Influence of different surface treatments on porcelain surface topography].

    Science.gov (United States)

    Tai, Yinxia; Zhu, Xianchun; Sen, Yan; Liu, Chang; Zhang, Xian; Shi, Xueming

    2013-02-01

    To evaluate the influence of different surface treatments on porcelain surface topography. Metal ceramic prostheses in 6 groups were treated according to the different surface treatment methods, and the surface topography was observed through scanning electron microscope (SEM). Group A was the control one (untreated), group B was etched by 9.6% hydrofluoric acid(HF), group C was deglazed by grinding and then etched by 9.6% HF, group D was treated with Nd: YAG laser irradiation(0.75 W) and HF etching, group E was treated with Nd: YAG laser irradiation (1.05 W) and HF etching, and group F was treated with laser irradiation (1.45 W) and HF etching. Surface topography was different in different groups. A lot of inerratic cracks with the shapes of rhombuses and grid, and crater with a shape of circle were observed on the ceramic surface after treatment with energy parameters of 1.05 W Nd: YAG laser irradiation and 9.6% HF etching (group E). Surface topography showed a lot of concaves on the inner wall of the cracks, and the concaves with diameter of 1-5 microm could be observed on the inner wall of the holes, which had a diameter of 20 microm under SEM. The use of Nd: YAG laser irradiation with the energy parameters of 1.05 W and the HF with a concentration of 9.6% can evenly coarsen the porcelain surface, that is an effective surface treatment method.

  12. Theoretical study on photon-phonon coupling at (001)-(2 x 1) surfaces of Ge and {alpha}-Sn

    Energy Technology Data Exchange (ETDEWEB)

    Perez-Sanchez, F.L. [Escuela de Ciencias, Universidad Autonoma ' ' Benito Juarez' ' de Oaxaca, Av. Universidad S/N, Ex-Hacienda de Cinco Senores, Ciudad Universitaria, Oaxaca de Juarez, Oax., 68120 (Mexico); Perez-Rodriguez, F. [Instituto de Fisica, Benemerita Universidad Autonoma de Puebla, Apdo. Post. J-48, Puebla, Pue. 72570 (Mexico)

    2011-06-15

    We present a study of the far-infrared reflectance anisotropy spectra for (001) surfaces of Ge and {alpha}-Sn in the (2 x 1) asymmetric dimer geometry, which exhibit a resonance structure associated with the excitation of surface phonon modes. We have employed a theoretical formalism, based on the adiabatic bond-charge model (ABCM), for computing the far-infrared reflectance anisotropy spectra. In comparison with previous theoretical results for silicon and diamond surfaces, the resonance structure in the reflectance anisotropy spectrum for Ge(001)-(2 x 1) turns out to be similar to that observed in the spectrum for the Si(001)-(2 x 1) surface, whereas the spectrum for {alpha}-Sn(001)-(2 x 1) surface is noticeably different from the others. We have established a trend of far-infrared reflectance anisotropy spectra for IV(001) surfaces: the weaker dimer strength, the stronger resonances of low-frequency surface phonons. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Potential energy landscape of an interstitial O2 molecule in a SiO2 film near the SiO2/Si(001) interface

    Science.gov (United States)

    Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao

    2008-10-01

    Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.

  14. Determination of preferential rare earth adatom adsorption geometries on Si(001)

    International Nuclear Information System (INIS)

    Shinde, Aniketa; Cao Juexian; Ouyang Wenjie; Wu Ruqian; Ragan, Regina

    2009-01-01

    The adsorption patterns of rare earth atoms on Si(001) were investigated using scanning tunneling microscopy measurements and density functional calculations. Stable configurations were systematically determined via calculation of binding energies of various adatom coverage and adsorption geometry. Competition between inter-adatom hybridization and Coulomb repulsion is the mechanism contributing to binding energy minima associated with commonly observed rare earth adsorption geometries. Comparison of stable configurations with experimental scanning tunneling microscopy images demonstrated accuracy of the theoretical models. This paves a way for the understanding of self-assembly of rare earth disilicide nanowires on vicinal Si(001) substrates.

  15. The effect of neodymium on the microcracks generated on the Al–17.5Si alloy surface treated by high current pulsed electron beam

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Liang; Gao, Bo, E-mail: gaob@smm.neu.edu.cn; Zhu, Guanglin; Hao, Yi; Sun, Shuchen; Tu, Ganfeng

    2016-02-28

    Graphical abstract: SEM results demonstrate that microcracks are remarkably removed from the Al–17.5Si alloy surface after HCPEB treatment due to Nd element, and they decrease in length at high pulse number, showing a decrease in the stress concentration in the primary Si phase during the cooling process of HCPEB, as shown in Fig. 1. Therefore, the microcrack elimination is attributed to reducing the stress concentration. - Highlights: • The main highlights in this paper are summarized as follows: (1) After adding rare earth Nd, the microcracks are remarkably removed from an Al–17.5Si alloy surface by HCPEB, resulting in an improved corrosion resistance of the alloy surface. (2) A site-fixed observation of SEM is first proposed in HCPEB-treated technology, and a microcrack evolution with increasing pulsed numbers is observed for alloy surfaces using this method. (3) The elimination mechanism of microcracks with rare earth Nd is first presented in the present work. (4) Compound modification of rare earth Nd and HCPEB technology on the Al–17.5Si alloy is first investigated. - Abstract: In the present work, the effect of Nd on the microcracks generated on an Al–17.5Si alloy surface by a high current pulsed electron beam (HCPEB) was investigated. By a newly proposed site-fixed observation, the propagation of microcracks with increasing pulsed numbers first increases and then decreases due to the Nd element. The crack density decreases from 0.0669 mm/mm{sup 2} of 5 pulses to 0.00687 mm/mm{sup 2} of 50 pulses. EPMA analysis results indicate that Nd is uniformly distributed on the HCPEB-treated alloy surface. Nano-silicon particles and nano-Al cellular structures were formed by TEM observation, showing grain refinement of the alloy surface. The microcrack elimination is attributed to a decrease in the stress concentration in the primary Si phase during the cooling process of HCPEB. The electrochemical measurement shows that the corrosion current density

  16. A study of the anomalous behaviour of the glass electrode in solutions containing hydrofluoric acid

    DEFF Research Database (Denmark)

    Sørensen, Emil; Lundgaard, T.

    1965-01-01

    A defined surface hydration of the glass electrode is secured by pre-treatment with 0.1 N HF followed by rinsing with pure 0.1 N HCl for a few minutes. On subsequent contact with 0.1 N HCl containing HF, the electrode potential shows a change which is determined by the [HF]. The immediate reaction...... is an adsorption of HF by the glass surface. This is followed, at [HF] higher than 0.01 N, by the substitution of two F− for OH− per Si atom. With increasing HF the attack on the Si-O-Si bonds becomes severe, but it can be tolerated to a considerable degree because the newly formed surface is identical...

  17. Creep Properties of NiAl-1Hf Single Crystals Re-Investigated

    Science.gov (United States)

    Whittenberger, J. Daniel; Locci, Ivan E.; Darolia, Ram; Bowman, Randy R.

    2000-01-01

    ingots were subjected to several heat treatment schedules, examined by transmission electron microscopy, and tested in both compression and tension. An example of the microstructure found in a [001]-oriented NiAl-1Hf specimen after a solution treatment at 1317 C for 50 hr followed by air cooling is illustrated in the image on the left, where the NiAl matrix contains a uniform distribution of nanometer-scale Gphase (Ni16Hf6Si7) precipitates. Other heat treating schedules produced microstructures with nanometer-sized G-phase cubes and plates or, in an extreme case, produced a microstructure with all the G-phase converted to Heusler (Ni2AlHf) particles. The results of 1027 C creep strength and strain rate testing are illustrated which summarizes data from tensile and compressive testing of samples cut from all four NiAl-1Hf ingots and subjected to a variety of heat treatment schedules. With one exception, all the strength values lie in a narrow band that spans six orders of magnitude in strain rate. The only factor that produced results outside of this band was the heat treatment schedule that dissolved all the G-phase and replaced it with Heusler precipitates. The results portrayed in this figure lead to the important practical conclusion that the elevated-temperature creep properties of NiAl-1Hf single crystals are reproducible and are not affected by small variations in alloy chemistry from ingot to ingot or by different initial distributions of G-phase in the heat-treated alloy. The only variable in this study that produced a significant and delerious effect on mechanical strength was a post-solution heat treatment that lead to the complete disappearance of the G-phase in favor of Heusler precipitates.

  18. The water adsorption on the surfaces of SrMO3 (M= Ti, Zr, and Hf) crystalline oxides: quantum and classical modelling

    International Nuclear Information System (INIS)

    Evarestov, R A; Bandura, A V; Blokhin, E N

    2007-01-01

    Hybrid HF-DFT LCAO simulations of (001) surface properties and water adsorption on cubic SrTiO 3 , SrZrO 3 , and SrHfO 3 perovskites are performed in a single-slab model framework. The optimized atomic structures and water adsorption energies have been calculated for a single water molecule per the surface unit cell. The possibility of the water molecular dissociation was investigated. Basing on the experimental data and results of the ab initio calculations the new interatomic potentials have been developed to describe the bulk and surface properties of the binary and ternary titanium and zirconium oxides. The proposed force-field takes into account the polarization effects via the shell model. The force-field suggested was used in the molecular mechanics calculations with the extended unit cells to study the possible surface reconstruction upon relaxation and hydroxylation of cubic perovskites

  19. HF/H2O2 treated graphite felt as the positive electrode for vanadium redox flow battery

    Science.gov (United States)

    He, Zhangxing; Jiang, Yingqiao; Meng, Wei; Jiang, Fengyun; Zhou, Huizhu; Li, Yuehua; Zhu, Jing; Wang, Ling; Dai, Lei

    2017-11-01

    In order to improve the electrochemical performance of the positive graphite felt electrode in vanadium flow redox battery, a novel method is developed to effectively modify the graphite felt by combination of etching of HF and oxidation of H2O2. After the etching of HF for the graphite felt at ambient temperature, abundant oxygen-containing functional groups were further introduced on the surface of graphite felt by hydrothermal treatment using H2O2 as oxidant. Benefiting from the surface etching and introduction of functional groups, mass transfer and electrode process can be improved significantly on the surface of graphite felt. VO2+/VO2+ redox reaction on the graphite felt modified by HF and H2O2 jointly (denote: GF-HF/H2O2) exhibits superior electrochemical kinetics in comparison with the graphite felt modified by single HF or H2O2 treatment. The cell using GF-HF/H2O2 as the positive electrode was assembled and its electrochemical properties were evaluated. The increase of energy efficiency of 4.1% for GF-HF/H2O2 at a current density of 50 mA cm-2 was obtained compared with the pristine graphite felt. The cell using GF-HF/H2O2 also demonstrated higher discharge capacity. Our study revealed that HF/H2O2 treatment is an efficient method to enhance the electrochemical performance of graphite felt, further improving the comprehensive energy storage performance of the vanadium flow redox battery.

  20. Critical Shape and Size for Dislocation Nucleation in Si1-xGex Islands on Si(001)

    International Nuclear Information System (INIS)

    Marzegalli, A.; Zinovyev, V. A.; Montalenti, F.; Miglio, Leo; Rastelli, A.; Schmidt, O. G.; Stoffel, M.; Merdzhanova, T.

    2007-01-01

    The critical volume for the onset of plastic strain relaxation in SiGe islands on Si(001) is computed for different Ge contents and realistic shapes by using a three-dimensional model, with position-dependent dislocation energy. It turns out that the critical bases for dome- and barnlike islands are different for any composition. By comparison to extensive atomic force microscopy measurements of the footprints left on the Si substrates by islands grown at different temperatures (and compositions), we conclude that, in contrast with planar films, dislocation nucleation in 3D islands is fully thermodynamic

  1. Thickness independent reduced forming voltage in oxygen engineered HfO{sub 2} based resistive switching memories

    Energy Technology Data Exchange (ETDEWEB)

    Sharath, S. U., E-mail: sharath@oxide.tu-darmstadt.de; Kurian, J.; Komissinskiy, P.; Hildebrandt, E.; Alff, L. [Institute of Materials Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); Bertaud, T.; Walczyk, C.; Calka, P. [IHP, Im Technologiepark 25, 15236 Frankfurt Oder (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt Oder (Germany); Brandenburgische Technische Universität, Konrad-Zuse-Strasse 1, 03046 Cottbus (Germany)

    2014-08-18

    The conducting filament forming voltage of stoichiometric hafnium oxide based resistive switching layers increases linearly with layer thickness. Using strongly reduced oxygen deficient hafnium oxide thin films grown on polycrystalline TiN/Si(001) substrates, the thickness dependence of the forming voltage is strongly suppressed. Instead, an almost constant forming voltage of about 3 V is observed up to 200 nm layer thickness. This effect suggests that filament formation and switching occurs for all samples in an oxidized HfO{sub 2} surface layer of a few nanometer thickness while the highly oxygen deficient thin film itself merely serves as a oxygen vacancy reservoir.

  2. Impact of growth and annealing conditions on the parameters of Ge/Si(001) relaxed layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Daniltsev, V. M.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yunin, P. A. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-11-15

    Influence of the Ge layer thickness and annealing conditions on the parameters of relaxed Ge/Si(001) layers grown by molecular beam epitaxy via two-stage growth is investigated. The dependences of the threading dislocation density and surface roughness on the Ge layer thickness, annealing temperature and time, and the presence of a hydrogen atmosphere are obtained. As a result of optimization of the growth and annealing conditions, relaxed Ge/Si(001) layers which are thinner than 1 μm with a low threading dislocation density on the order of 10{sup 7} cm{sup –2} and a root mean square roughness of less than 1 nm are obtained.

  3. Chemical and electrical passivation of Si(1 1 1) surfaces

    International Nuclear Information System (INIS)

    Tian Fangyuan; Yang Dan; Opila, Robert L.; Teplyakov, Andrew V.

    2012-01-01

    This paper compares the physical and chemical properties of hydrogen-passivated Si(1 1 1) single crystalline surfaces prepared by two main chemical preparation procedures. The modified RCA cleaning is commonly used to prepare atomically flat stable surfaces that are easily identifiable spectroscopically and are the standard for chemical functionalization of silicon. On the other hand electronic properties of these surfaces are sometimes difficult to control. A much simpler silicon surface preparation procedure includes HF dipping for a short period of time. This procedure yields an atomically rough surface, whose chemical identity is not well-defined. However, the surfaces prepared by this approach often exhibit exceptionally attractive electronic properties as determined by long charge carrier lifetimes. This work utilizes infrared spectroscopy and X-ray photoelectron spectroscopy to investigate chemical modification of the surfaces prepared by these two different procedures with PCl 5 (leading to surface chlorination) and with short- and long-alkyl-chain alkenes (1-decene and 1-octodecene, respectively) and follows the electronic properties of the starting surfaces produced by measuring charge-carrier lifetimes.

  4. Chemical and electrical passivation of Si(1 1 1) surfaces

    Science.gov (United States)

    Tian, Fangyuan; Yang, Dan; Opila, Robert L.; Teplyakov, Andrew V.

    2012-01-01

    This paper compares the physical and chemical properties of hydrogen-passivated Si(1 1 1) single crystalline surfaces prepared by two main chemical preparation procedures. The modified RCA cleaning is commonly used to prepare atomically flat stable surfaces that are easily identifiable spectroscopically and are the standard for chemical functionalization of silicon. On the other hand electronic properties of these surfaces are sometimes difficult to control. A much simpler silicon surface preparation procedure includes HF dipping for a short period of time. This procedure yields an atomically rough surface, whose chemical identity is not well-defined. However, the surfaces prepared by this approach often exhibit exceptionally attractive electronic properties as determined by long charge carrier lifetimes. This work utilizes infrared spectroscopy and X-ray photoelectron spectroscopy to investigate chemical modification of the surfaces prepared by these two different procedures with PCl5 (leading to surface chlorination) and with short- and long-alkyl-chain alkenes (1-decene and 1-octodecene, respectively) and follows the electronic properties of the starting surfaces produced by measuring charge-carrier lifetimes.

  5. Study of structure and antireflective properties of LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 trilayers for UV applications

    Science.gov (United States)

    Marszalek, K.; Jaglarz, J.; Sahraoui, B.; Winkowski, P.; Kanak, J.

    2015-01-01

    The aim of this paper is to study antireflective properties of the tree-layer systems LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 deposited on heated optical glass substrates. The films were evaporated by the use two deposition techniques. In first method oxide films were prepared by means of e-gun evaporation in vacuum of 5 × 10-5 mbar in the presence of oxygen. The second was used for the deposition of fluoride films. They were obtained by means of thermal source evaporation. Simulation of reflectance was performed for 1M2H1L (Quarter Wavelength Optical Thickness) film stack on an optical quartz glass with the refractive index n = 1.46. The layer thickness was optimized to achieve the lowest light scattering from glass surface covered with dioxide and fluoride films. The values of the interface roughness were determined through atomic force microscopy measurements. The essence of performed calculation was to find minimum reflectance of light in wide ultraviolet region. The spectral dispersion of the refractive index needed for calculations was determined from ellipsometric measurements using the spectroscopic ellipsometer M2000. Additionally, the total reflectance measurements in integrating sphere coupled with Perkin Elmer 900 spectrophotometer were performed. These investigations allowed to determine the influence of such film features like surface and interface roughness on light scattering.

  6. The water adsorption on the surfaces of SrMO{sub 3} (M= Ti, Zr, and Hf) crystalline oxides: quantum and classical modelling

    Energy Technology Data Exchange (ETDEWEB)

    Evarestov, R A; Bandura, A V; Blokhin, E N [Department of Quantum Chemistry, St. Petersburg State University 26 University Ave., Petergoff, St. Petersburg, 198504 (Russian Federation)

    2007-12-15

    Hybrid HF-DFT LCAO simulations of (001) surface properties and water adsorption on cubic SrTiO{sub 3}, SrZrO{sub 3}, and SrHfO{sub 3} perovskites are performed in a single-slab model framework. The optimized atomic structures and water adsorption energies have been calculated for a single water molecule per the surface unit cell. The possibility of the water molecular dissociation was investigated. Basing on the experimental data and results of the ab initio calculations the new interatomic potentials have been developed to describe the bulk and surface properties of the binary and ternary titanium and zirconium oxides. The proposed force-field takes into account the polarization effects via the shell model. The force-field suggested was used in the molecular mechanics calculations with the extended unit cells to study the possible surface reconstruction upon relaxation and hydroxylation of cubic perovskites.

  7. Surface and interfacial chemistry of high-k dielectric and interconnect materials on silicon

    Science.gov (United States)

    Kirsch, Paul Daniel

    Surfaces and interfaces play a critical role in the manufacture and function of silicon based integrated circuits. It is therefore reasonable to study the chemistries at these surfaces and interfaces to improve existing processes and to develop new ones. Model barium strontium titanate high-k dielectric systems have been deposited on ultrathin silicon oxynitride in ultrahigh vacuum. The resulting nanostructures are characterized with secondary ion mass spectroscopy (SIMS) and X-ray photoelectron spectroscopy (XPS). An interfacial reaction between Ba and Sr atoms and SiOxNy was found to create silicates, BaSixOy or SrSi xOy. Inclusion of N in the interfacial oxide decreased silicate formation in both Ba and Sr systems. Furthermore, inclusion of N in the interfacial oxide decreased the penetration of Ba and Sr containing species, such as silicides and silicates. Sputter deposited HfO2 was studied on nitrided and unnitrided Si(100) surfaces. XPS and SIMS were used to verify the presence of interfacial HfSixOy and estimate its relative amount on both nitrided and unnitrided samples. More HfSixOy formed without the SiNx interfacial layer. These interfacial chemistry results are then used to explain the electrical measurements obtained from metal oxide semiconductor (MOS) capacitors. MOS capacitors with interfacial SiNx exhibit reduced leakage current and increased capacitance. Lastly, surface science techniques were used to develop a processing technique for reducing thin films of copper (II) and copper (I) oxide to copper. Deuterium atoms (D*) and methyl radicals (CH3*) were shown to reduce Cu 2+ and/or Cu1+ to Cu0 within 30 min at a surface temperature of 400 K under a flux of 1 x 1015 atoms/cm2s. Temperature programmed desorption experiments suggest that oxygen leaves the surface as D2O and CO2 for the D* and CH3* treated surfaces, respectively.

  8. Investigation of HF-plasma-treated soft x-ray optical elements

    Science.gov (United States)

    Eggenstein, F.; Krivenkov, M.; Rudolph, I.; Sertsu, M. G.; Sokolov, A.; Varykhalov, A.; Wolf, J.; Zeschke, T.; Schäfers, F.

    2017-09-01

    The contamination of optical elements (mirrors and gratings) with carbon still is an issue when using soft x-ray synchrotron radiation. With an in-house developed HF-plasma treatment we are able to decontaminate our optics in-situ from carbon very efficiently. The cleaning device, a simple Al-antenna, is mounted in situ inside the mirror- and grating vacuum chambers. A systematic study of the HF-plasma cleaning efficiency was performed acquired with in-situ and exsitu methods for monitoring: An atomic force microscope (AFM) and a scanning tunneling microscope (STM) were used before and after the cleaning process to determine the surface morphology and roughness. Reflectivity angular scans using the reflectometer at the BESSY-II Metrology Station [1-3] allowed to estimate the thickness of the remaining Clayer after different cleaning steps and thereby helped us to determine the etching rate. Reflection spectra measurements in the range of 200 eV - 900 eV show the complete removal of Carbon from the optics without contaminating it with any other elements due to the plasma treatment. The data show that the plasma process improves the reflectivity and reduces the roughness of the surface. In addition to that, the region of the optical surface where the carbon has been removed becomes passivated.

  9. Evolution of a Native Oxide Layer at the a-Si:H/c-Si Interface and Its Influence on a Silicon Heterojunction Solar Cell.

    Science.gov (United States)

    Liu, Wenzhu; Meng, Fanying; Zhang, Xiaoyu; Liu, Zhengxin

    2015-12-09

    The interface microstructure of a silicon heterojunction (SHJ) solar cell was investigated. We found an ultrathin native oxide layer (NOL) with a thickness of several angstroms was formed on the crystalline silicon (c-Si) surface in a very short time (∼30 s) after being etched by HF solution. Although the NOL had a loose structure with defects that are detrimental for surface passivation, it acted as a barrier to restrain the epitaxial growth of hydrogenated amorphous silicon (a-Si:H) during the plasma-enhanced chemical vapor deposition (PECVD). The microstructure change of the NOL during the PECVD deposition of a-Si:H layers with different conditions and under different H2 plasma treatments were systemically investigated in detail. When a brief H2 plasma was applied to treat the a-Si:H layer after the PECVD deposition, interstitial oxygen and small-size SiO2 precipitates were transformed to hydrogenated amorphous silicon suboxide alloy (a-SiO(x):H, x ∼ 1.5). In the meantime, the interface defect density was reduced by about 50%, and the parameters of the SHJ solar cell were improved due to the post H2 plasma treatment.

  10. Fabrication and electrical properties of metal-oxide semiconductor capacitors based on polycrystalline p-Cu{sub x}O and HfO{sub 2}/SiO{sub 2} high-{kappa} stack gate dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Zou Xiao [Department of Electronic Science and Technology, School of Physical Science and Technology, Wuhan University, Wuhan, 430074 (China); Department of Electromachine Engineering, Jianghan University, Wuhan, 430056 (China); Fang Guojia, E-mail: gjfang@whu.edu.c [Department of Electronic Science and Technology, School of Physical Science and Technology, Wuhan University, Wuhan, 430074 (China); Yuan Longyan; Liu Nishuang; Long Hao; Zhao Xingzhong [Department of Electronic Science and Technology, School of Physical Science and Technology, Wuhan University, Wuhan, 430074 (China)

    2010-05-31

    Polycrystalline p-type Cu{sub x}O films were deposited after the growth of HfO{sub 2} dielectric on Si substrate by pulsed laser deposition, and Cu{sub x}O metal-oxide-semiconductor (MOS) capacitors with HfO{sub 2}/SiO{sub 2} stack gate dielectric were primarily fabricated and investigated. X-ray diffraction and X-ray photoelectron spectroscopy were applied to analyze crystalline structure and Cu{sup +}/Cu{sup 2+} ratios of Cu{sub x}O films respectively. SiO{sub 2} interlayer formed between the high-{kappa} dielectric and substrate was estimated by the transmission electron microscope. Results of electrical characteristic measurement indicate that the permittivity of HfO{sub 2} is about 22, and the gate leakage current density of MOS capacitor with 11.3 nm HfO{sub 2}/SiO{sub 2} stack dielectrics is {approx} 10{sup -4} A/cm{sup 2}. Results also show that the annealing in N{sub 2} can improve the quality of Cu{sub x}O/HfO{sub 2} interface and thus reduce the gate leakage density.

  11. Fabrication mechanism of friction-induced selective etching on Si(100) surface.

    Science.gov (United States)

    Guo, Jian; Song, Chenfei; Li, Xiaoying; Yu, Bingjun; Dong, Hanshan; Qian, Linmao; Zhou, Zhongrong

    2012-02-23

    As a maskless nanofabrication technique, friction-induced selective etching can easily produce nanopatterns on a Si(100) surface. Experimental results indicated that the height of the nanopatterns increased with the KOH etching time, while their width increased with the scratching load. It has also found that a contact pressure of 6.3 GPa is enough to fabricate a mask layer on the Si(100) surface. To understand the mechanism involved, the cross-sectional microstructure of a scratched area was examined, and the mask ability of the tip-disturbed silicon layer was studied. Transmission electron microscope observation and scanning Auger nanoprobe analysis suggested that the scratched area was covered by a thin superficial oxidation layer followed by a thick distorted (amorphous and deformed) layer in the subsurface. After the surface oxidation layer was removed by HF etching, the residual amorphous and deformed silicon layer on the scratched area can still serve as an etching mask in KOH solution. The results may help to develop a low-destructive, low-cost, and flexible nanofabrication technique suitable for machining of micro-mold and prototype fabrication in micro-systems.

  12. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001) substrates.

    Science.gov (United States)

    Zhong, Zhenyang; Gong, Hua; Ma, Yingjie; Fan, Yongliang; Jiang, Zuimin

    2011-04-11

    : Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001) substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications.PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps.

  13. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Zhong Zhenyang

    2011-01-01

    Full Text Available Abstract Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001 substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications. PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps

  14. Controlled surface chemistry of diamond/β-SiC composite films for preferential protein adsorption.

    Science.gov (United States)

    Wang, Tao; Handschuh-Wang, Stephan; Yang, Yang; Zhuang, Hao; Schlemper, Christoph; Wesner, Daniel; Schönherr, Holger; Zhang, Wenjun; Jiang, Xin

    2014-02-04

    Diamond and SiC both process extraordinary biocompatible, electronic, and chemical properties. A combination of diamond and SiC may lead to highly stable materials, e.g., for implants or biosensors with excellent sensing properties. Here we report on the controllable surface chemistry of diamond/β-SiC composite films and its effect on protein adsorption. For systematic and high-throughput investigations, novel diamond/β-SiC composite films with gradient composition have been synthesized using the hot filament chemical vapor deposition (HFCVD) technique. As revealed by scanning electron microscopy (SEM), the diamond/β-SiC ratio of the composite films shows a continuous change from pure diamond to β-SiC over a length of ∼ 10 mm on the surface. X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) was employed to unveil the surface termination of chemically oxidized and hydrogen treated surfaces. The surface chemistry of the composite films was found to depend on diamond/β-SiC ratio and the surface treatment. As observed by confocal fluorescence microscopy, albumin and fibrinogen were preferentially adsorbed from buffer: after surface oxidation, the proteins preferred to adsorb on diamond rather than on β-SiC, resulting in an increasing amount of proteins adsorbed to the gradient surfaces with increasing diamond/β-SiC ratio. By contrast, for hydrogen-treated surfaces, the proteins preferentially adsorbed on β-SiC, leading to a decreasing amount of albumin adsorbed on the gradient surfaces with increasing diamond/β-SiC ratio. The mechanism of preferential protein adsorption is discussed by considering the hydrogen bonding of the water self-association network to OH-terminated surfaces and the change of the polar surface energy component, which was determined according to the van Oss method. These results suggest that the diamond/β-SiC gradient film can be a promising material for biomedical applications which

  15. O-band electrically injected quantum dot micro-ring lasers on on-axis (001) GaP/Si and V-groove Si.

    Science.gov (United States)

    Wan, Yating; Jung, Daehwan; Norman, Justin; Shang, Chen; MacFarlane, Ian; Li, Qiang; Kennedy, M J; Gossard, Arthur C; Lau, Kei May; Bowers, John E

    2017-10-30

    We report statistical comparisons of lasing characteristics in InAs quantum dot (QD) micro-rings directly grown on on-axis (001) GaP/Si and V-groove (001) Si substrates. CW thresholds as low as 3 mA and high temperature operation exceeding 80 °C were simultaneously achieved on the GaP/Si template template with an outer-ring radius of 50 µm and a ring width of 4 μm, while a sub-milliamp threshold of 0.6 mA was demonstrated on the V-groove Si template with a smaller cavity size of 5-μm outer-ring radius and 3-μm ring width. Evaluations were also made with devices fabricated simultaneously on native GaAs substrates over a significant sampling analysis. The overall assessment spotlights compelling insights in exploring the optimum epitaxial scheme for low-threshold lasing on industry standard Si substrates.

  16. Experimental study of structural and optical properties of integrated MOCVD GaAs/Si(001) heterostructures

    Science.gov (United States)

    Seredin, P. V.; Lenshin, A. S.; Zolotukhin, D. S.; Arsentyev, I. N.; Nikolaev, D. N.; Zhabotinskiy, A. V.

    2018-02-01

    This is the first report of the control of the structural and optical functional characteristics of integrated GaAs/Si(001) heterostructures due to the use of misoriented Si(001) substrates with protoporous sublayer. The growth of the epitaxial GaAs layer on silicon substrates without formation of the antiphase domains can be performed on substrates deviating less than 4°-6° from the singular (001) plane or without the use of a transition layer of GaAs nano-columns. Preliminary etching of the silicon substrate with protoporous Si sublayer formation facilitated the acquisition of an epitaxial GaAs film in a single-crystalline state with a considerably less residual strain factor using MOCVD, which has a positive effect on the structural quality of the film. These data are in a good agreement with the results of IR reflection spectroscopy as well as PL and UV spectroscopy. The optical properties of the integrated GaAs/Si (001) heterostructures in the IR and UV spectral regions were also determined by the residual strain value.

  17. The fabrication and application of patterned Si(001) substrates with ordered pits via nanosphere lithography

    International Nuclear Information System (INIS)

    Chen Peixuan; Fan Yongliang; Zhong Zhenyang

    2009-01-01

    A new scalable approach has been developed for fabricating large-scale pit patterns with controllable periodicity on Si(001) substrates. The fabrication processes start with self-assembling a monolayer of polystyrene (PS) spheres on hydrogenated Si(001) substrates. A novel net-like mask in combination of the Au pattern thermally evaporated in between the PS spheres and the Au-catalyzed SiO 2 around them is naturally formed. After selective etching of Si by KOH solution, two-dimensionally ordered pits with a periodicity equal to the diameter of the PS spheres in the range from micrometers to less than 100 nm can be obtained. The shape of the pits can be modulated by controlling the chemical etching time. Such pit-patterned Si substrates facilitate the formation of ordered Si-based nanostructures, such as ordered self-assembled GeSi quantum dots, by deposition of Ge using molecular beam epitaxy.

  18. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  19. Band Alignment and Optical Properties of (ZrO20.66(HfO20.34 Gate Dielectrics Thin Films on p-Si (100

    Directory of Open Access Journals (Sweden)

    Dahlang Tahir

    2011-11-01

    Full Text Available (ZrO20.66(HfO20.34 dielectric films on p-Si (100 were grown by atomic layer deposition method, for which the conduction band offsets, valence band offsets and band gaps were obtained by using X-ray photoelectron spectroscopy and reflection electron energy loss spectroscopy. The band gap, valence and conduction band offset values for (ZrO20.66(HfO20.34 dielectric thin film, grown on Si substrate were about 5.34, 2.35 and 1.87 eV respectively. This band alignment was similar to that of ZrO2. In addition, The dielectric function ε (k, ω, index of refraction n and the extinction coefficient k for the (ZrO20.66(HfO20.34 thin films were obtained from a quantitative analysis of REELS data by comparison to detailed dielectric response model calculations using the QUEELS-ε (k,ω-REELS software package. These optical properties are similar with ZrO2 dielectric thin films.

  20. Influence of Optimization of Process Parameters on Threshold Voltage for Development of HfO2/TiSi2 18 nm PMOS

    Directory of Open Access Journals (Sweden)

    Atan N.

    2016-01-01

    Full Text Available Manufacturing a 18-nm transistor requires a variety of parameters, materials, temperatures, and methods. In this research, HfO2 was used as the gate dielectric ad TiO2 was used as the gate material. The transistor HfO2/TiSi2 18-nm PMOS was invented using SILVACO TCAD. Ion implantation was adopted in the fabrication process for the method’s practicality and ability to be used to suppress short channel effects. The study involved ion implantation methods: compensation implantation, halo implantation energy, halo tilt, and source–drain implantation. Taguchi method is the best optimization process for a threshold voltage of HfO2/TiSi2 18-nm PMOS. In this case, the method adopted was Taguchi orthogonal array L9. The process parameters (ion implantations and noise factors were evaluated by examining the Taguchi’s signal-to-noise ratio (SNR and nominal-the-best for the threshold voltage (VTH. After optimization, the result showed that the VTH value of the 18-nm PMOS device was -0.291339.

  1. Epitaxial growth of high purity cubic InN films on MgO substrates using HfN buffer layers by pulsed laser deposition

    International Nuclear Information System (INIS)

    Ohba, R.; Ohta, J.; Shimomoto, K.; Fujii, T.; Okamoto, K.; Aoyama, A.; Nakano, T.; Kobayashi, A.; Fujioka, H.; Oshima, M.

    2009-01-01

    Cubic InN films have been grown on MgO substrates with HfN buffer layers by pulsed laser deposition (PLD). It has been found that the use of HfN (100) buffer layers allows us to grow cubic InN (100) films with an in-plane epitaxial relationship of [001] InN //[001] HfN //[001] MgO . X-ray diffraction and electron back-scattered diffraction measurements have revealed that the phase purity of the cubic InN films was as high as 99%, which can be attributed to the use of HfN buffer layers and the enhanced surface migration of the film precursors by the use of PLD. - Graphical abstract: Cubic InN films have been grown on MgO substrates with HfN buffer layers by pulsed laser deposition (PLD). It has been revealed that the phase purity of the cubic InN films was as high as 99 %, which can be attributed to the use of HfN buffer layers and the enhanced surface migration of the film precursors by the use of PLD.

  2. Densification and properties of HfB2 based materials

    International Nuclear Information System (INIS)

    Sonber, J.K.; Ch Murthy, T.S.R.; Bedse, R.D.; Subramanian, C.; Kumar, Sunil; Fotedar, R.K.; Krishnamurthy, N.; Suri, A.K.

    2011-01-01

    This paper presents the results of investigation carried out on densification and properties of HfB 2 based materials. Densification study of HfB 2 with and without sinter additive was carried out by hot pressing. TiSi 2 and CrSi 2 were used as sinter additive. Monolithic HfB 2 was densified to only 80%ρ th at 1850 deg C with a pressure of 35 MPa. Addition of 10 wt% TiSi 2 resulted in a density of 95% TD at a relatively low temperature of 1650 deg C and a low pressure of 20 MPa. Addition of 10% CrSi 2 resulted in a density of 99% TD at the same operating conditions. All the samples were characterized by SEM/EDS and mechanical property measurement. (author)

  3. Surface band structures on Nb(001)

    International Nuclear Information System (INIS)

    Fang, B.; Lo, W.; Chien, T.; Leung, T.C.; Lue, C.Y.; Chan, C.T.; Ho, K.M.

    1994-01-01

    We report the joint studies of experimental and theoretical surface band structures of Nb(001). Angle-resolved photoelectron spectroscopy was used to determine surface-state dispersions along three high-symmetry axes bar Γ bar M, bar Γ bar X, and bar M bar X in the surface Brillouin zone. Ten surface bands have been identified. The experimental data are compared to self-consistent pseudopotential calculations for the 11-layer Nb(001) slabs that are either bulk terminated or fully relaxed (with a 12% contraction for the first interlayer spacing). The band calculations for a 12% surface-contracted slab are in better agreement with the experimental results than those for a bulk-terminated slab, except for a surface resonance near the Fermi level, which is related to the spin-orbit interaction. The charge profiles for all surface states or resonances have been calculated. Surface contraction effects on the charge-density distribution and the energy position of surface states and resonances will also be discussed

  4. Polarized micro-Raman scattering characterization of Mg2Si nanolayers in (001) Si matrix

    International Nuclear Information System (INIS)

    Zlateva, G; Atanassov, A; Baleva, M; Nikolova, L; Abrashev, M V

    2007-01-01

    An orientational growth of the Mg 2 Si lattice relative to the Si lattice is considered assuming minimum mismatch of their lattice parameters. The Raman scattering cross-sections are calculated for the four possible orientations of the Mg 2 Si lattice positioned in this way. The integral intensity ratios for the F 2g mode of Mg 2 Si in different polarization configurations, obtained from the experimental spectra, are compared with the calculated ratios. It is found that the Mg 2 Si nanolayer's morphology is sensitive to the implantation energy, which determines both the peak Mg concentration in the initial implantation profile and its position in the sample depth. At a peak concentration of the order of the stoichiometric concentration, the layers are highly oriented. When the peak concentration is higher and the peak is placed closer to the surface, the layers are polycrystalline

  5. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  6. Dimers at Ge/Si(001) surfaces: Ge coverage dependent quenching, reactivation of flip-flop motion, and interaction with dimer vacancy lines

    International Nuclear Information System (INIS)

    Hirayama, H.; Mizuno, H.; Yoshida, R.

    2002-01-01

    We studied Ge coverage (θ Ge ) dependent quenching, reactivation of the flip-flop motion, and interaction with dimer vacancy lines (DVLs) of dimers on Ge/Si(001) surfaces using a scanning tunneling microscope (STM) combined with a molecular beam epitaxy apparatus. Deposition of ∼0.3 ML (monolayer) Ge quenched the flip-flop motion, making all dimers asymmetric. Further deposition introduced DVLs at θ Ge ≥∼0.5 ML, and symmetric dimer domains appeared again locally at θ≥1.5 ML. High-resolution STM images indicated that asymmetric dimer rows always invert their phase in alternation with buckled dimer's up-end at the DVLs. Low-temperature STM images indicated that the symmetric dimer domains were due to flip-flopping of asymmetric dimers activated by large θ Ge at room temperature. The symmetric dimer domains extended along the dimer rows over the DVLs due to the phase correlation

  7. Low-temperature amorphous boron nitride on Si0.7Ge0.3(001), Cu, and HOPG from sequential exposures of N2H4 and BCl3

    Science.gov (United States)

    Wolf, Steven; Edmonds, Mary; Sardashti, Kasra; Clemons, Max; Park, Jun Hong; Yoshida, Naomi; Dong, Lin; Nemani, Srinivas; Yieh, Ellie; Holmes, Russell; Alvarez, Daniel; Kummel, Andrew C.

    2018-05-01

    Low-temperature sequential exposures of N2H4 and BCl3 have been performed on Si0.3Ge0.7(001), Cu, and HOPG surfaces at 350 °C. A novel BN ALD process has been achieved on Si0.3Ge0.7(001) with 60 cycles of BN ALD producing a uniform, pinhole-free thin film with low contamination, as characterized with XPS and AFM. On Cu and Si0.3Ge0.7(001), XPS spectra indicated a near stoichiometric BN film. While AFM imaging indicated the deposition on Cu yielded nanometer-scale etching, conformal deposition was observed on Si0.3Ge0.7(001). The BN ALD also nucleated on inert HOPG via step edges. In situ STM imaging showed that cyclic exposures at 350 °C were able to decorate step edges with features ∼2 nm tall and ∼200 nm wide, indicating the propensity for BN to grow in the planar direction. The N2H4 and BCl3 ALD allows for the deposition of low oxygen, low carbon films, but to avoid etching, the growth should be nucleated by N2H4, since exposure to BCl3 can result in the formation of volatile Cl-containing surface species on many substrates. Therefore, the formation of a stable surface nitride prior to BCl3 exposure is necessary to prevent formation and desorption of volatile species from the substrate.

  8. Growth of high-quality hexagonal InN on 3C-SiC (001) by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yaguchi, Hiroyuki; Hijikata, Yasuto; Yoshida, Sadafumi; Kitamura, Yoshihiro; Nishida, Kenji; Iwahashi, Yohei

    2005-01-01

    We have grown hexagonal InN (h-InN) films on 3C-SiC (001) substrates by RF-N 2 plasma molecular beam epitaxy taking account of small lattice mismatch between h-InN (10-10) and 3C-SiC (110). It was found from X-ray diffraction (XRD) measurements that h-InN grows with h-InN (0001) vertical stroke vertical stroke 3C-SiC (001) and h-InN (1-100) vertical stroke vertical stroke 3C-SiC (110). XRD measurements also revealed that the h-InN epitaxial layers grown on 3C-SiC (001) are composed of single domain. Strong and sharp photoluminescence from the h-InN was clearly observed at around 0.69 eV. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Tunneling magnetoresistance in Fe{sub 3}Si/MgO/Fe{sub 3}Si(001) magnetic tunnel junctions

    Energy Technology Data Exchange (ETDEWEB)

    Tao, L. L.; Liang, S. H.; Liu, D. P.; Wei, H. X.; Han, X. F., E-mail: xfhan@iphy.ac.cn [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Wang, Jian [Department of Physics and the Center of Theoretical and Computational Physics, The University of Hong Kong, Hong Kong (China)

    2014-04-28

    We present a theoretical study of the tunneling magnetoresistance (TMR) and spin-polarized transport in Fe{sub 3}Si/MgO/Fe{sub 3}Si(001) magnetic tunnel junction (MTJ). It is found that the spin-polarized conductance and bias-dependent TMR ratios are rather sensitive to the structure of Fe{sub 3}Si electrode. From the symmetry analysis of the band structures, we found that there is no spin-polarized Δ{sub 1} symmetry bands crossing the Fermi level for the cubic Fe{sub 3}Si. In contrast, the tetragonal Fe{sub 3}Si driven by in-plane strain reveals half-metal nature in terms of Δ{sub 1} state. The giant TMR ratios are predicted for both MTJs with cubic and tetragonal Fe{sub 3}Si electrodes under zero bias. However, the giant TMR ratio resulting from interface resonant transmission for the former decreases rapidly with the bias. For the latter, the giant TMR ratio can maintain up to larger bias due to coherent transmission through the majority-spin Δ{sub 1} channel.

  10. Si/C and H coadsorption at 4H-SiC{0001} surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wachowicz, E., E-mail: elwira@ifd.uni.wroc.pl [Institute of Experimental Physics, University of Wrocław, Plac M. Borna 9, PL-50-204 Wrocław (Poland); Interdisciplinary Centre for Mathematical and Computational Modelling, University of Warsaw, Pawińskiego 5a, PL-02-106 Warsaw (Poland)

    2016-06-15

    Highlights: • Si on C-terminated and C on Si-terminated surface adsorb in the H{sub 3} hollow site. • The preferred adsorption site is in contrary to the stacking order of bulk crystal. • The presence of hydrogen increases the adsorption energy of Si/C. • Hydrogen weakens the bonds between the adsorbed Si or C and the surface. • Carbon adsorbs on top of the surface carbon on the C-terminated surface. • With both C and H on Si-terminated surface the surface state vanishes. - Abstract: Density functional theory (DFT) study of adsorption of 0.25 monolayer of either Si or C on 4H-SiC{0001} surfaces is presented. The adsorption in high-symmetry sites on both Si- and C-terminated surfaces was examined and the influence of the preadsorbed 0.25 ML of hydrogen on the Si/C adsorption was considered. It was found out that for Si on C-terminated surface and C on Si-terminated the most favourable is threefolded adsorption site on both clean and H-precovered surface. This is contrary to the bulk crystal stacking order which would require adsorption on top of the topmost surface atom. In those cases, the presence of hydrogen weakens the bonding of the adsorbate. Carbon on the C-terminated surface, only binds on-top of the surface atom. The C−C bond-length is almost the same for the clean surface and for one with H and equals to ∼1.33 Å which is shorter by ∼0.2 than in diamond. The analysis of the electronic structure changes under adsorption is also presented.

  11. Wet cleaning and surface characterization of Si{sub 1-x}Ge{sub x} virtual substrates after a CMP step

    Energy Technology Data Exchange (ETDEWEB)

    Abbadie, A. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France)], E-mail: alexandra.abbadie@soitec.fr; Hartmann, J.M. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France); Besson, P. [ST Microelectronics, 850 Rue Jean Monnet, 38 921 Crolles Cedex (France); Rouchon, D.; Martinez, E.; Holliger, P.; Di Nardo, C. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France); Campidelli, Y. [ST Microelectronics, 850 Rue Jean Monnet, 38 921 Crolles Cedex (France); Billon, T. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France)

    2008-08-30

    New reactants such as ozone dissolved in ultra-pure water have been widely used the last few years instead of the original Radio Corporation of America (RCA) cleaning (which is a combination of the Standard Cleaning 1 (SC1) and the Standard Cleaning 2 (SC2)). In a first part of the study (Microelectron. Eng. 83 (2006) 1986), we had quantified the efficiency of a new cleaning sequence (that calls upon HF and H{sub 2}O/O{sub 3} solutions) on polished Si{sub 1-x}Ge{sub x} virtual substrates (x = 0.2-0.5). We are discussing here the surface morphology and wetability together with the oxide thickness and structure typically obtained after this so-called 'DDC-SiGe' wet cleaning. Flat surface morphologies are found after cleaning whatever the Ge content (from 20 to 50%). Typical root mean square roughness is around 0.4 nm. We have used X-ray Photoelectron Spectroscopy to determine the characteristics of the surface termination after this 'DDC-SiGe' cleaning. An oxide mainly composed of SiO{sub 2} is formed, with a low fraction of Ge sub-oxide and GeO{sub 2}. The distribution of chemical species is not that different from the one obtained after the use of a SC1 cleaning. However, the chemical oxide formed is slightly thicker. Such a HF/O{sub 3} cleaning leads, when used on thick Ge layers grown on Si, to the formation of a really thin Ge sub-oxide. Our oxidation model assumes a competition in O{sub 3} solutions between the oxidation rates of Si and Ge atoms (faster for Si) and the dissolution of the Ge oxide formed in solution. This mechanism, which implies the formation of a slightly porous oxide, is different from the one seeming to occur in SC1-based solutions. Indeed, the addition of surfactant in a SC1 solution modifies the oxidation rate compared to standard SC1 or O{sub 3}-based solutions, suggesting a diffusion of reactants towards the interface between the SiGe and the oxide in formation, assisted by the reactions of species within the cleaning

  12. Cross-sectional scanning tunneling microscopy of antiphase boundaries in epitaxially grown GaP layers on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Prohl, Christopher; Lenz, Andrea, E-mail: alenz@physik.tu-berlin.de [Technische Universität Berlin, Institut für Festkörperphysik, 10623 Berlin (Germany); Döscher, Henning; Kleinschmidt, Peter; Hannappel, Thomas [Helmholtz Center Berlin for Materials and Energy, 14109 Berlin (Germany)

    2016-05-15

    In a fundamental cross-sectional scanning tunneling microscopy investigation on epitaxially grown GaP layers on a Si(001) substrate, differently oriented antiphase boundaries are studied. They can be identified by a specific contrast and by surface step edges starting/ending at the position of an antiphase boundary. Moreover, a change in the atomic position of P and Ga atoms along the direction of growth is observed in agreement with the structure model of antiphase boundaries in the GaP lattice. This investigation opens the perspective to reveal the orientation and position of the antiphase boundaries at the atomic scale due to the excellent surface sensitivity of this method.

  13. Electronic structures of GeSi nanoislands grown on pit-patterned Si(001 substrate

    Directory of Open Access Journals (Sweden)

    Han Ye

    2014-11-01

    Full Text Available Patterning pit on Si(001 substrate prior to Ge deposition is an important approach to achieve GeSi nanoislands with high ordering and size uniformity. In present work, the electronic structures of realistic uncapped pyramid, dome, barn and cupola nanoislands grown in {105} pits are systematically investigated by solving Schrödinger equation for heavy-hole, which resorts to inhomogeneous strain distribution and nonlinear composition-dependent band parameters. Uniform, partitioned and equilibrium composition profile (CP in nanoisland and inverted pyramid structure are simulated separately. We demonstrate the huge impact of composition profile on localization of heavy-hole: wave function of ground state is confined near pit facets for uniform CP, at bottom of nanoisland for partitioned CP and at top of nanoisland for equilibrium CP. Moreover, such localization is gradually compromised by the size effect as pit filling ratio or pit size decreases. The results pave the fundamental guideline of designing nanoislands on pit-patterned substrates for desired applications.

  14. Effect of CH3COOH on Hydrometallurgical Purification of Metallurgical-Grade Silicon Using HCl-HF Leaching

    Science.gov (United States)

    Tian, Chunjin; Lu, Haifei; Wei, Kuixian; Ma, Wenhui; Xie, Keqiang; Wu, Jijun; Lei, Yun; Yang, Bin; Morita, Kazuki

    2018-04-01

    The present study investigated the effects of adding CH3COOH to HCl and HF used to purify metallurgical-grade Si (MG-Si). After 6 h of leaching MG-Si with an acid mixture consisting of 4 mol L-1 HCl, 3 mol L-1 HF, and 3 mol L-1 CH3COOH at 348 K, the total impurity removal efficiency was 88.5%, exceeding the 81.5% removal efficiency obtained without addition of CH3COOH. The microstructural evolution of Si after etching with the two lixiviants indicated better dissolution of metal impurities in MG-Si when using the HCl-HF-CH3COOH mixture. Furthermore, the leaching kinetics of Fe using the HCl-HF and HCl-HF-CH3COOH mixtures were observed to depend on the interfacial chemical reactions.

  15. Phase Stability and Thermal Conductivity of Composite Environmental Barrier Coatings on SiC/SiC Ceramic Matrix Composites

    Science.gov (United States)

    Benkel, Samantha; Zhu, Dongming

    2011-01-01

    Advanced environmental barrier coatings are being developed to protect SiC/SiC ceramic matrix composites in harsh combustion environments. The current coating development emphasis has been placed on the significantly improved cyclic durability and combustion environment stability in high-heat-flux and high velocity gas turbine engine environments. Environmental barrier coating systems based on hafnia (HfO2) and ytterbium silicate, HfO2-Si nano-composite bond coat systems have been processed and their stability and thermal conductivity behavior have been evaluated in simulated turbine environments. The incorporation of Silicon Carbide Nanotubes (SiCNT) into high stability (HfO2) and/or HfO2-silicon composite bond coats, along with ZrO2, HfO2 and rare earth silicate composite top coat systems, showed promise as excellent environmental barriers to protect the SiC/SiC ceramic matrix composites.

  16. All SiC Grid-Connected PV Supply with HF Link MPPT Converter: System Design Methodology and Development of a 20 kHz, 25 kVA Prototype

    Directory of Open Access Journals (Sweden)

    Serkan Öztürk

    2018-05-01

    Full Text Available Design methodology and implementation of an all SiC power semiconductor-based, grid-connected multi-string photovoltaic (PV supply with an isolated high frequency (HF link maximum power point tracker (MPPT have been described. This system configuration makes possible the use of a simple and reliable two-level voltage source inverter (VSI topology for grid connection, owing to the galvanic isolation provided by the HF transformer. This topology provides a viable alternative to the commonly used non-isolated PV supplies equipped with Si-based boost MPPT converters cascaded with relatively more complex inverter topologies, at competitive efficiency figures and a higher power density. A 20 kHz, 25 kVA prototype system was designed based on the dynamic model of the multi-string PV panels obtained from field tests. Design parameters such as input DC link capacitance, switching frequencies of MPPT converter and voltage source inverter, size and performance of HF transformer with nanocrystalline core, DC link voltage, and LCL filter of the VSI were optimized in view of the site dependent parameters such as the variation ranges of solar insolation, module surface temperature, and grid voltage. A modified synchronous reference frame control was implemented in the VSI by applying the grid voltage feedforward to the reference voltages in abc axes directly, so that zero-sequence components of grid voltages are taken into account in the case of an unbalanced grid. The system was implemented and the proposed design methodology verified satisfactorily in the field on a roof-mounted 23.7 kW multi-string PV system.

  17. Surface functionalization of HF-treated silicon nanowires

    Indian Academy of Sciences (India)

    Administrator

    place when silicon nanowires reacted with 2,2,2-trifluoroethyl acrylate, and reductive deposition reaction occurred in the ... detection of fM level of protein. 14 and DNA. 15 ... surfaces can be easily modified to act as both elec- tron-transfer ...

  18. Contact resistance and stability study for Au, Ti, Hf and Ni contacts on thin-film Mg2Si

    KAUST Repository

    Zhang, Bo

    2016-12-28

    We present a detailed study of post-deposition annealing effects on contact resistance of Au, Ti, Hf and Ni electrodes on Mg2Si thin films. Thin-film Mg2Si and metal contacts were deposited using magnetron sputtering. Various post-annealing temperatures were studied to determine the thermal stability of each contact metal. The specific contact resistivity (SCR) was determined using the Cross Bridge Kelvin Resistor (CBKR) method. Ni contacts exhibits the best thermal stability, maintaining stability up to 400 °C, with a SCR of approximately 10−2 Ω-cm2 after annealing. The increased SCR after high temperature annealing is correlated with the formation of a Mg-Si-Ni mixture identified by cross-sectional scanning transmission electron microscopy (STEM) characterization, X-ray diffraction characterization (XRD) and other elemental analyses. The formation of this Mg-Si-Ni mixture is attributed to Ni diffusion and its reaction with the Mg2Si film.

  19. Ge/Si(001) heterostructures with dense arrays of Ge quantum dots: morphology, defects, photo-emf spectra and terahertz conductivity.

    Science.gov (United States)

    Yuryev, Vladimir A; Arapkina, Larisa V; Storozhevykh, Mikhail S; Chapnin, Valery A; Chizh, Kirill V; Uvarov, Oleg V; Kalinushkin, Victor P; Zhukova, Elena S; Prokhorov, Anatoly S; Spektor, Igor E; Gorshunov, Boris P

    2012-07-23

    : Issues of Ge hut cluster array formation and growth at low temperatures on the Ge/Si(001) wetting layer are discussed on the basis of explorations performed by high resolution STM and in-situ RHEED. Dynamics of the RHEED patterns in the process of Ge hut array formation is investigated at low and high temperatures of Ge deposition. Different dynamics of RHEED patterns during the deposition of Ge atoms in different growth modes is observed, which reflects the difference in adatom mobility and their 'condensation' fluxes from Ge 2D gas on the surface for different modes, which in turn control the nucleation rates and densities of Ge clusters. Data of HRTEM studies of multilayer Ge/Si heterostructures are presented with the focus on low-temperature formation of perfect films.Heteroepitaxial Si p-i-n-diodes with multilayer stacks of Ge/Si(001) quantum dot dense arrays built in intrinsic domains have been investigated and found to exhibit the photo-emf in a wide spectral range from 0.8 to 5 μm. An effect of wide-band irradiation by infrared light on the photo-emf spectra has been observed. Photo-emf in different spectral ranges has been found to be differently affected by the wide-band irradiation. A significant increase in photo-emf is observed in the fundamental absorption range under the wide-band irradiation. The observed phenomena are explained in terms of positive and neutral charge states of the quantum dot layers and the Coulomb potential of the quantum dot ensemble. A new design of quantum dot infrared photodetectors is proposed.By using a coherent source spectrometer, first measurements of terahertz dynamical conductivity (absorptivity) spectra of Ge/Si(001) heterostructures were performed at frequencies ranged from 0.3 to 1.2 THz in the temperature interval from 300 to 5 K. The effective dynamical conductivity of the heterostructures with Ge quantum dots has been discovered to be significantly higher than that of the structure with the same amount of bulk

  20. Surface characteristics of hydroxyapatite-coated layer prepared on nanotubular Ti–35Ta–xHf alloys by EB-PVD

    Energy Technology Data Exchange (ETDEWEB)

    Jeong, Yong-Hoon [Department of Dental Materials and Research Center of Nano-Interface Activation for Biomaterials, School of Dentistry, Chosun University, Gwangju (Korea, Republic of); Biomechanics and Tissue Engineering Laboratory, Division of Orthodontics, College of Dentistry, The Ohio State University, Columbus, OH (United States); Moon, Byung-Hak [Department of Dental Materials and Research Center of Nano-Interface Activation for Biomaterials, School of Dentistry, Chosun University, Gwangju (Korea, Republic of); Choe, Han-Cheol, E-mail: hcchoe@chosun.ac.kr [Department of Dental Materials and Research Center of Nano-Interface Activation for Biomaterials, School of Dentistry, Chosun University, Gwangju (Korea, Republic of); Brantley, William A. [Division of Restorative, Prosthetic and Primary Care Dentistry, College of Dentistry, The Ohio State University, Columbus, OH (United States)

    2013-12-31

    In this study, we investigated the surface characteristics of hydroxyapatite (HA)-coated layers prepared by electron-beam physical vapor deposition (EB-PVD) on nanotubular Ti–35Ta–xHf alloys (x = 3, 7, and 15 wt.%). Ti–35Ta–xHf alloys were first prepared by arc melting. Formation of a nanotube structure on these alloys was achieved by an electrochemical method in 1 M H{sub 3}PO{sub 4} + 0.8 wt.% NaF electrolytes. The HA coatings were then deposited on the nanotubular surface by an EB-PVD method. The surface characteristics were analyzed by field-emission scanning electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray diffraction (XRD). The electrochemical behavior was examined using a potentiodynamic polarization test in 0.9% NaCl solution. The Ti–35Ta–xHf alloys had an equiaxed grain structure with α″ + β phases, and the α″ phase disappeared with increases in Hf content. The Ti–35Ta–15Hf alloy showed higher β-phase peak intensity in the XRD patterns than that for the lower Hf-content alloys. A highly ordered nanotubular oxide layer was formed on the Ti–35Ta–15Hf alloy, and the tube length depended on Hf content. The HA coating surface formed at traces of the nanotubular titanium oxide layer and completely covered the tips of the nanotubes with a cluster shape. From the potentiodynamic polarization tests, the incorporation of Hf element and formation of the nanotubular structure were the main factors for achieving lower current density. In particular, the surface of the HA coating on the nanotubular structure exhibited higher corrosion resistance than that of the nanotubular titanium oxide structure without an HA coating. - Highlights: • Hydroxyapatite (HA) was coated on nanotubular Ti–35Ta–xHf alloys, using EB-PVD. • Increasing the Hf content reduced the relative proportion of α″ martensite to β-Ti in the microstructures. • The detailed nanotubular structure formed by anodization depended on alloy composition

  1. Surface characteristics of hydroxyapatite-coated layer prepared on nanotubular Ti–35Ta–xHf alloys by EB-PVD

    International Nuclear Information System (INIS)

    Jeong, Yong-Hoon; Moon, Byung-Hak; Choe, Han-Cheol; Brantley, William A.

    2013-01-01

    In this study, we investigated the surface characteristics of hydroxyapatite (HA)-coated layers prepared by electron-beam physical vapor deposition (EB-PVD) on nanotubular Ti–35Ta–xHf alloys (x = 3, 7, and 15 wt.%). Ti–35Ta–xHf alloys were first prepared by arc melting. Formation of a nanotube structure on these alloys was achieved by an electrochemical method in 1 M H 3 PO 4 + 0.8 wt.% NaF electrolytes. The HA coatings were then deposited on the nanotubular surface by an EB-PVD method. The surface characteristics were analyzed by field-emission scanning electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray diffraction (XRD). The electrochemical behavior was examined using a potentiodynamic polarization test in 0.9% NaCl solution. The Ti–35Ta–xHf alloys had an equiaxed grain structure with α″ + β phases, and the α″ phase disappeared with increases in Hf content. The Ti–35Ta–15Hf alloy showed higher β-phase peak intensity in the XRD patterns than that for the lower Hf-content alloys. A highly ordered nanotubular oxide layer was formed on the Ti–35Ta–15Hf alloy, and the tube length depended on Hf content. The HA coating surface formed at traces of the nanotubular titanium oxide layer and completely covered the tips of the nanotubes with a cluster shape. From the potentiodynamic polarization tests, the incorporation of Hf element and formation of the nanotubular structure were the main factors for achieving lower current density. In particular, the surface of the HA coating on the nanotubular structure exhibited higher corrosion resistance than that of the nanotubular titanium oxide structure without an HA coating. - Highlights: • Hydroxyapatite (HA) was coated on nanotubular Ti–35Ta–xHf alloys, using EB-PVD. • Increasing the Hf content reduced the relative proportion of α″ martensite to β-Ti in the microstructures. • The detailed nanotubular structure formed by anodization depended on alloy composition. • The

  2. Charge storage characteristics and tunneling mechanism of amorphous Ge-doped HfO{sub x} films

    Energy Technology Data Exchange (ETDEWEB)

    Qiu, X.Y.; Zhang, S.Y.; Zhang, T.; Wang, R.X.; Li, L.T.; Zhang, Y. [Southwest University, School of Physical Science and Technology, Chongqing (China); Dai, J.Y. [The Hong Kong Polytechnic University, Department of Applied Physics, Hong Kong (China)

    2016-09-15

    Amorphous Ge-doped HfO{sub x} films have been deposited on p-Si(100) substrates by means of RF magnetron sputtering. Microstructural investigations reveal the partial oxidation of doped Ge atoms in the amorphous HfO{sub x} matrix and the existence of HfSiO{sub x} interfacial layer. Capacitance-voltage hysteresis of the Ag-/Ge-doped HfO{sub x}/Si/Ag memory capacitor exhibits a memory window of 3.15 V which can maintain for >5 x 10{sup 4} cycles. Current-voltage characteristics reveal that Poole-Frenkel tunneling is responsible for electron transport in the Ge-doped HfO{sub x} film. (orig.)

  3. (113) Facets of Si-Ge/Si Islands; Atomic Scale Simulation

    Science.gov (United States)

    Kassem, Hassan

    We have studied, by computer simulation, some static and vibrationnal proprieties of SiGe/Si islands. We have used a Valence Force Field combined to Monte Carlo technique to study the growth of Ge and SiGe on (001)Si substrates. We have focalised on the case of large pyramidal islands presenting (113) facets on the free (001)Si surface with various non uniform composition inside the islands. The deformation inside the islands and Raman spectroscopy are discussed.

  4. Effects of Rh on the thermoelectric performance of the p-type Zr0.5Hf0.5Co1-xRhxSb0.99Sn0.01 half-Heusler alloys

    International Nuclear Information System (INIS)

    Maji, Pramathesh; Takas, Nathan J.; Misra, Dinesh K.; Gabrisch, Heike; Stokes, Kevin; Poudeu, Pierre F.P.

    2010-01-01

    We show that Rh substitution at the Co site in Zr 0.5 Hf 0.5 Co 1-x Rh x Sb 0.99 Sn 0.01 (0≤x≤1) half-Heusler alloys strongly reduces the thermal conductivity with a simultaneous, significant improvement of the power factor of the materials. Thermoelectric properties of hot-pressed pellets of several compositions with various Rh concentrations were investigated in the temperature range from 300 to 775 K. The Rh 'free' composition shows n-type conduction, while Rh substitution at the Co site drives the system to p-type semiconducting behavior. The lattice thermal conductivity of Zr 0.5 Hf 0.5 Co 1-x Rh x Sb 0.99 Sn 0.01 alloys rapidly decreased with increasing Rh concentration and lattice thermal conductivity as low as 3.7 W/m*K was obtained at 300 K for Zr 0.5 Hf 0.5 RhSb 0.99 Sn 0.01 . The drastic reduction of the lattice thermal conductivity is attributed to mass fluctuation induced by the Rh substitution at the Co site, as well as enhanced phonon scattering at grain boundaries due to the small grain size of the synthesized materials. - Graphical abstract: Significant reduction of the lattice thermal conductivity with increasing Rh concentration in the p-type Zr 0.5 Hf 0.5 Co 1-x Rh x Sb 0.99 Sn 0.01 half-Heusler materials prepared by solid state reaction at 1173 K.

  5. Interfaces in Si/Ge atomic layer superlattices on (001)Si: Effect of growth temperature and wafer misorientation

    Science.gov (United States)

    Baribeau, J.-M.; Lockwood, D. J.; Syme, R. W. G.

    1996-08-01

    We have used x-ray diffraction, specular reflectivity, and diffuse scattering, complemented by Raman spectroscopy, to study the interfaces in a series of (0.5 nm Ge/2 nm Si)50 atomic layer superlattices on (001)Si grown by molecular beam epitaxy in the temperature range 150-650 °C. X-ray specular reflectivity revealed that the structures have a well-defined periodicity with interface widths of about 0.2-0.3 nm in the 300-590 °C temperature range. Offset reflectivity scans showed that the diffuse scattering peaks at values of perpendicular wave vector transfer corresponding to the superlattice satellite peaks, indicating that the interfaces are vertically correlated. Transverse rocking scans of satellite peaks showed a diffuse component corresponding to an interface corrugation of typical length scale of ˜0.5 μm. The wavelength of the undulations is a minimum along the miscut direction and is typically 30-40 times larger than the surface average terrace width assuming monolayer steps, independently of the magnitude of the wafer misorientation. The amplitude of the undulation evolves with growth temperature and is minimum for growth at ˜460 °C and peaks at ˜520 °C. Raman scattering showed the chemical abruptness of the interfaces at low growth temperatures and indicated a change in the growth mode near 450 °C.

  6. Tracking magmatic processes through Zr/Hf ratios in rocks and Hf and Ti zoning in zircons: An example from the Spirit Mountain batholith, Nevada

    Science.gov (United States)

    Lowery, Claiborne L.E.; Miller, C.F.; Walker, B.A.; Wooden, J.L.; Mazdab, F.K.; Bea, F.

    2006-01-01

    Zirconium and Hf are nearly identical geochemically, and therefore most of the crust maintains near-chondritic Zr/Hf ratios of ???35-40. By contrast, many high-silica rhyolites and granites have anomalously low Zr/Hf (15-30). As zircon is the primary reservoir for both Zr and Hf and preferentially incorporates Zr, crystallization of zircon controls Zr/ Hf, imprinting low Zr/Hf on coexisting melt. Thus, low Zr/Hf is a unique fingerprint of effective magmatic fractionation in the crust. Age and compositional zonation in zircons themselves provide a record of the thermal and compositional histories of magmatic systems. High Hf (low Zr/ Hf) in zircon zones demonstrates growth from fractionated melt, and Ti provides an estimate of temperature of crystallization (TTiZ) (Watson and Harrison, 2005). Whole-rock Zr/Hf and zircon zonation in the Spirit Mountain batholith, Nevada, document repeated fractionation and thermal fluctuations. Ratios of Zr/Hf are ???30-40 for cumulates and 18-30 for high-SiO2 granites. In zircons, Hf (and U) are inversely correlated with Ti, and concentrations indicate large fluctuations in melt composition and TTiZ (>100??C) for individual zircons. Such variations are consistent with field relations and ion-probe zircon geochronology that indicate a >1 million year history of repeated replenishment, fractionation, and extraction of melt from crystal mush to form the low Zr/Hf high-SiO2 zone. ?? 2006 The Mineralogical Society.

  7. Excellent Passivation of p-Type Si Surface by Sol-Gel Al2O3 Films

    International Nuclear Information System (INIS)

    Hai-Qing, Xiao; Chun-Lan, Zhou; Xiao-Ning, Cao; Wen-Jing, Wang; Lei, Zhao; Hai-Ling, Li; Hong-Wei, Diao

    2009-01-01

    Al 2 O 3 films with a thickness of about 100 nm synthesized by spin coating and thermally treated are applied for field-induced surface passivation of p-type crystalline silicon. The level of surface passivation is determined by techniques based on photoconductance. An effective surface recombination velocity below 100 cm/s is obtained on 10Ω ·cm p-type c-Si wafers (Cz Si). A high density of negative fixed charges in the order of 10 12 cm −2 is detected in the Al 2 O 3 films and its impact on the level of surface passivation is demonstrated experimentally. Furthermore, a comparison between the surface passivation achieved for thermal SiO 2 and plasma enhanced chemical vapor deposition SiN x :H films on the same c-Si is presented. The high negative fixed charge density explains the excellent passivation of p-type c-Si by Al 2 O 3 . (cross-disciplinary physics and related areas of science and technology)

  8. Advanced passivation techniques for Si solar cells with high-κ dielectric materials

    International Nuclear Information System (INIS)

    Geng, Huijuan; Lin, Tingjui; Letha, Ayra Jagadhamma; Hwang, Huey-Liang; Kyznetsov, Fedor A.; Smirnova, Tamara P.; Saraev, Andrey A.; Kaichev, Vasily V.

    2014-01-01

    Electronic recombination losses at the wafer surface significantly reduce the efficiency of Si solar cells. Surface passivation using a suitable thin dielectric layer can minimize the recombination losses. Herein, advanced passivation using simple materials (Al 2 O 3 , HfO 2 ) and their compounds H (Hf) A (Al) O deposited by atomic layer deposition (ALD) was investigated. The chemical composition of Hf and Al oxide films were determined by X-ray photoelectron spectroscopy (XPS). The XPS depth profiles exhibit continuous uniform dense layers. The ALD-Al 2 O 3 film has been found to provide negative fixed charge (−6.4 × 10 11  cm −2 ), whereas HfO 2 film provides positive fixed charge (3.2 × 10 12  cm −2 ). The effective lifetimes can be improved after oxygen gas annealing for 1 min. I-V characteristics of Si solar cells with high-κ dielectric materials as passivation layers indicate that the performance is significantly improved, and ALD-HfO 2 film would provide better passivation properties than that of the ALD-Al 2 O 3 film in this research work.

  9. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  10. Environmental Stability and Oxidation Behavior of HfO2-Si and YbGd(O) Based Environmental Barrier Coating Systems for SiCSiC Ceramic Matrix Composites

    Science.gov (United States)

    Zhu, Dongming; Farmer, Serene; McCue, Terry R.; Harder, Bryan; Hurst, Janet B.

    2017-01-01

    Ceramic environmental barrier coatings (EBC) and SiCSiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiCSiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, environmental durable environmental barrier coating systems. In this paper, the durability and performance of advanced Electron Beam-Physical Vapor Deposition (EB-PVD) NASA HfO2-Si and YbGdSi(O) EBC bond coat top coat systems for SiCSiC CMC have been summarized. The high temperature thermomechanical creep, fatigue and oxidation resistance have been investigated in the laboratory simulated high-heat-flux environmental test conditions. The advanced NASA EBC systems showed promise to achieve 1500C temperature capability, helping enable next generation turbine engines with significantly improved engine component temperature capability and durability.

  11. Adsorption of Zn(II) on the kaolinite(001) surfaces in aqueous environment: A combined DFT and molecular dynamics study

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Qiang; Kong, Xiang-Ping; Zhang, Bao-Hua; Wang, Juan, E-mail: juaner80@163.com

    2017-08-31

    Highlights: • Zn(II) adsorption on two types of neutral kaolinite(001) surfaces is investigated. • Surface “Ou” is found the preferred site for mono- and bi-dentate complexes. • Both Zn(II) and surface oxygen accept electrons from aqua oxygens. • Coupling of O 2p with Zn sp{sup 3}d{sup 2} (or sp{sup 3}) hybridization states is the bonding nature. - Abstract: Adsorption of Zn(II) on two types of neutral (001) surfaces of kaolinite, tetrahedral Si(t) and octahedral Al(o), was studied by means of DFT calculations and classical molecular dynamics simulations. The position and structure for both outer-sphere and mono-/bi-dentate inner-sphere complexes of Zn(II) in aqueous environment were examined, with binding energy and radial distribution function calculated. Outer-sphere complex on the Si(t) surface, monodentate inner-sphere complex of “O{sub u}” (surface oxygen with “upright” hydrogen) site and bidentate complex of “O{sub u}-O{sub u}” site of neighboring Al centers on the Al(o) surface are considered to be the dominant adsorption species. The outer-sphere complex is found six-coordinated with distorted octahedral geometry, while both the inner-sphere complexes exhibit the tetrahedral structure with coordination number of four. Hydrogen bonding interactions between oxygen or hydrogen of the kaolinite(001) surfaces and the aqua ligands of Zn(II) act as the key role for the structure and stability of adsorption complexes. Upon the Mulliken population analysis and partial density of states, both Zn(II) and surface oxygen accept electrons from aqua oxygens, and coupling of O 2p with the sp{sup 3}d{sup 2} or sp{sup 3} hybridization states of Zn(II) is the primary bonding nature of Zn(II) with oxygen in outer- and inner-sphere complexes, respectively.

  12. The role of SiGe buffer in growth and relaxation of Ge on free-standing Si(001) nano-pillars.

    Science.gov (United States)

    Zaumseil, P; Kozlowski, G; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-09-07

    We study the growth and relaxation processes of Ge nano-clusters selectively grown by chemical vapor deposition on free-standing 90 nm wide Si(001) nano-pillars with a thin Si(0.23)Ge(0.77) buffer layer. We found that the dome-shaped SiGe layer with a height of about 28 nm as well as the Ge dot deposited on top of it partially relaxes, mainly by elastic lattice bending. The Si nano-pillar shows a clear compliance behavior-an elastic response of the substrate on the growing film-with the tensile strained top part of the pillar. Additional annealing at 800 °C leads to the generation of misfit dislocation and reduces the compliance effect significantly. This example demonstrates that despite the compressive strain generated due to the surrounding SiO(2) growth mask it is possible to realize an overall tensile strain in the Si nano-pillar and following a compliant substrate effect by using a SiGe buffer layer. We further show that the SiGe buffer is able to improve the structural quality of the Ge nano-dot.

  13. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    Science.gov (United States)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  14. Onset of vertical threading dislocations in Si1−xGex/Si (001 at a critical Ge concentration

    Directory of Open Access Journals (Sweden)

    Fabio Isa

    2013-11-01

    Full Text Available We show that the Ge concentration in Si1−xGex alloys grown under strong out-of-equilibrium conditions determines the character of the population of threading dislocations (TDs. Above a critical value x ∼ 0.25 vertical TDs dominate over the common slanted ones. This is demonstrated by exploiting a statistically relevant analysis of TD orientation in micrometer-sized Si1−xGex crystals, deposited on deeply patterned Si(001 substrates. Experiments involving an abrupt change of composition in the middle of the crystals clarify the role of misfit-strain versus chemical composition in favoring the vertical orientation of TDs. A scheme invoking vacancy-mediated climb mechanism is proposed to rationalize the observed behavior.

  15. Electrochemically synthesized Si nano wire arrays and thermoelectric nano structures

    International Nuclear Information System (INIS)

    Khuan, N.I.; Ying, K.K.; Nur Ubaidah Saidin; Foo, C.T.

    2012-01-01

    Thermoelectric nano structures hold great promise for capturing and directly converting into electricity some vast amount of low-grade waste heats now being lost to the environment (for example from nuclear power plant, fossil fuel burning, automotive and household appliances). In this study, large-area vertically-aligned silicon nano wire (SiNW) arrays were synthesized in an aqueous solution containing AgNO 3 and HF on p-type Si (100) substrate by self-selective electroless etching process. The etching conditions were systematically varied in order to achieve different stages of nano wire formation. Diameters of the SiNWs obtained varied from approximately 50 to 200 nm and their lengths ranged from several to a few tens of μm. Te/ Bi 2 Te 3 -Si thermoelectric core-shell nano structures were subsequently obtained via galvanic displacement of SiNWs in acidic HF electrolytes containing HTeO 2 + and Bi 3+ / HTeO 2 + ions. The reactions were basically a nano-electrochemical process due to the difference in redox potentials between the materials. the surface-modified SiNWs of core-shell structures had roughened surface morphologies and therefore, higher surface-t-bulk ratios compared to unmodified SiNWs. They have potential applications in sensors, photovoltaic and thermoelectric nano devices. Growth study on the SiNWs and core-shell nano structures produced is presented using various microscopy, diffraction and probe-based techniques for microstructural, morphological and chemical characterizations. (Author)

  16. Subsurface dimerization in III-V semiconductor (001) surfaces

    DEFF Research Database (Denmark)

    Kumpf, C.; Marks, L.D.; Ellis, D.

    2001-01-01

    We present the atomic structure of the c(8 X 2) reconstructions of InSb-, InAs-, and GaAs-(001) surfaces as determined by surface x-ray diffraction using direct methods. Contrary to common belief, group III dimers are not prominent on the surface, instead subsurface dimerization of group m atoms ...... takes place in the second bilayer, accompanied by a major rearrangement of the surface atoms above the dimers to form linear arrays. By varying the occupancies of four surface sites the (001)-c(8 X 2) reconstructions of III-V semiconductors can be described in a unified model....

  17. Adsorption and surface reaction of bis-diethylaminosilane as a Si precursor on an OH-terminated Si (0 0 1) surface

    International Nuclear Information System (INIS)

    Baek, Seung-Bin; Kim, Dae-Hee; Kim, Yeong-Cheol

    2012-01-01

    The adsorption and the surface reaction of bis-diethylaminosilane (SiH 2 [N(C 2 H 5 ) 2 ] 2 , BDEAS) as a Si precursor on an OH-terminated Si (0 0 1) surface were investigated to understand the initial reaction mechanism of the atomic layer deposition (ALD) process using density functional theory. The bond dissociation energies between two atoms in BDEAS increased in the order of Si-H, Si-N, and the rest of the bonds. Therefore, the relatively weak Si-H and Si-N bonds were considered for bond breaking during the surface reaction. Optimum locations of BDEAS for the Si-H and Si-N bond breaking were determined on the surface, and adsorption energies of 0.43 and 0.60 eV, respectively, were obtained. The Si-H bond dissociation energy of the adsorbed BDEAS on the surface did not decrease, so that a high reaction energy barrier of 1.60 eV was required. On the other hand, the Si-N bond dissociation energy did decrease, so that a relatively low reaction energy barrier of 0.52 eV was required. When the surface reaction energy barrier was higher than the adsorption energy, BDEAS would be desorbed from the surface instead of being reacted. Therefore, the Si-N bond breaking would be dominantly involved during the surface reaction, and the result is in good agreement with the experimental data in the literature.

  18. The origin of narrowing of the Si 2p coincidence photoelectron spectroscopy main line of Si(1 0 0) surface

    International Nuclear Information System (INIS)

    Ohno, Masahide

    2011-01-01

    Highlights: → The Si 2p coincidence photoelectron spectroscopy (PES) main line of Si(1 0 0) is calculated. → The PES main line shows an asymmetric line shape change compared to the singles one. → The narrowing of the coincidence Si 2p PES main line is well reproduced. → The inherent mechanism of APECS is explained by a many-body theory. - Abstract: The Si 2p photoelectron spectroscopy (PES) main line of Si(1 0 0) surface measured in coincidence with the singles (noncoincidence) Si L 2,3 -VV Auger-electron spectroscopy (AES) elastic peak is calculated. The agreement with the experiment is good. The present work is the first many-body calculation of the experimental coincidence PES spectrum of solid surface. The narrowing of the coincidence Si 2p PES main line compared to the singles one is due to the mechanism inherent in the coincidence PES. The inherent mechanism is explained by a many-body theory by which photoemission and Auger-electron emission are treated on the same footing.

  19. Surface structure of AU3Cu(001)

    DEFF Research Database (Denmark)

    Eckstein, G.A.; Maupai, S.; Dakkouri, A.S.

    1999-01-01

    The surface morphology, composition, and structure of Au3Cu(001) as determined by scanning tunneling microscopy and surface x-ray diffraction are presented. Atomic resolution STM images reveal distinctive geometric features. The analysis of the surface x-ray diffraction data provides clear evidence...... for the surface structure. [S0163-1829(99)04535-X]....

  20. Diagnostic of corrosion–erosion evolution for [Hf-Nitrides/V-Nitrides]n structures

    Energy Technology Data Exchange (ETDEWEB)

    Escobar, C.; Villarreal, M. [Thin Film Group, Universidad del Valle, A.A. 25360, Cali (Colombia); Caicedo, J.C., E-mail: jcaicedoangulo1@gmail.com [Powder Metallurgy and Processing of Solid Recycled Research Group, Universidad del Valle, Cali (Colombia); Aperador, W. [Ingeniería Mecatrónica, Universidad Militar Nueva Granada, Bogotá (Colombia); Caicedo, H.H. [Department of Bioengineering, University of Illinois at Chicago, IL 60612 (United States); Department of Anatomy and Cell Biology, University of Illinois at Chicago, IL 60612 (United States); Prieto, P. [Thin Film Group, Universidad del Valle, A.A. 25360, Cali (Colombia); Center of Excellence for Novel Materials, CENM, Cali (Colombia)

    2013-10-31

    HfN/VN multilayered systems were grown on 4140 steel substrates with the aim to improve their electrochemical behavior. The multilayered coatings were grown via reactive r.f. magnetron sputtering technique by systematically varying the bilayer period (Λ) and the bilayer number (n) while maintaining constant the total coating thickness (∼ 1.2 μm). The coatings were characterized by X-ray diffraction (XRD), and electron microscopy. The electrochemical properties were studied by Electrochemical Impedance Spectroscopy and Tafel curves. XRD results showed preferential growth in the face-centered cubic (111) crystal structure for [HfN/VN]{sub n} multilayered coatings. The maximum corrosion resistance was obtained for coatings with (Λ) equal to 15 nm, corresponding to bilayer n = 80. Polarization resistance and corrosion rate was around 112.19 kΩ cm{sup 2} and 0.094*10{sup −3} mmy respectively; moreover, these multilayered system showed a decrease of 80% on mass loss due to the corrosive–erosive process, in relation to multilayered systems with n = 1 and Λ = 1200. HfN/VN multilayers have been designed and deposited on Si (100) and AISI 4140 steel substrates with bilayer periods (Λ) in a broad range, from nanometers to hundreds of nanometers to study the microstructural evolution and electrochemical progress with decreasing bilayer thickness. - Highlights: • Enhancements on surface electrochemical properties and response to surface corrosion attack. • Superficial phenomenon that occurs in corrosion surface of [Hf-Nitrides/V-Nitrides]n • Corrosion–erosion evolution for [Hf-Nitrides/V-Nitrides]n structures.

  1. Diagnostic of corrosion–erosion evolution for [Hf-Nitrides/V-Nitrides]n structures

    International Nuclear Information System (INIS)

    Escobar, C.; Villarreal, M.; Caicedo, J.C.; Aperador, W.; Caicedo, H.H.; Prieto, P.

    2013-01-01

    HfN/VN multilayered systems were grown on 4140 steel substrates with the aim to improve their electrochemical behavior. The multilayered coatings were grown via reactive r.f. magnetron sputtering technique by systematically varying the bilayer period (Λ) and the bilayer number (n) while maintaining constant the total coating thickness (∼ 1.2 μm). The coatings were characterized by X-ray diffraction (XRD), and electron microscopy. The electrochemical properties were studied by Electrochemical Impedance Spectroscopy and Tafel curves. XRD results showed preferential growth in the face-centered cubic (111) crystal structure for [HfN/VN] n multilayered coatings. The maximum corrosion resistance was obtained for coatings with (Λ) equal to 15 nm, corresponding to bilayer n = 80. Polarization resistance and corrosion rate was around 112.19 kΩ cm 2 and 0.094*10 −3 mmy respectively; moreover, these multilayered system showed a decrease of 80% on mass loss due to the corrosive–erosive process, in relation to multilayered systems with n = 1 and Λ = 1200. HfN/VN multilayers have been designed and deposited on Si (100) and AISI 4140 steel substrates with bilayer periods (Λ) in a broad range, from nanometers to hundreds of nanometers to study the microstructural evolution and electrochemical progress with decreasing bilayer thickness. - Highlights: • Enhancements on surface electrochemical properties and response to surface corrosion attack. • Superficial phenomenon that occurs in corrosion surface of [Hf-Nitrides/V-Nitrides]n • Corrosion–erosion evolution for [Hf-Nitrides/V-Nitrides]n structures

  2. Resistance change effect in SrTiO3/Si (001) isotype heterojunction

    Science.gov (United States)

    Huang, Xiushi; Gao, Zhaomeng; Li, Pei; Wang, Longfei; Liu, Xiansheng; Zhang, Weifeng; Guo, Haizhong

    2018-02-01

    Resistance switching has been observed in double and multi-layer structures of ferroelectric films. The higher switching ratio opens up a vast path for emerging ferroelectric semiconductor devices. An n-n+ isotype heterojunction has been fabricated by depositing an oxide SrTiO3 layer on a conventional n-type Si (001) substrate (SrTiO3/Si) by pulsed laser disposition. Rectification and resistive switching behaviors in the n-n+ SrTiO3/Si heterojunction were observed by a conductive atomic force microscopy, and the n-n+ SrTiO3/Si heterojunction exhibits excellent endurance and retention characteristics. The possible mechanism was proposed based on the band structure of the n-n+ SrTiO3/Si heterojunction, and the observed electrical behaviors could be attributed to the modulation effect of the electric field reversal on the width of accumulation and the depletion region, as well as the height of potential of the n-n+ junction formed at the STO/Si interface. Moreover, oxygen vacancies are also indicated to play a crucial role in causing insulator to semiconductor transition. These results open the way to potential application in future microelectronic devices based on perovskite oxide layers on conventional semiconductors.

  3. Analyses of desorbed H2O with temperature programmed desorption technique in sol-gel derived HfO2 thin films

    International Nuclear Information System (INIS)

    Shimizu, H.; Nemoto, D.; Ikeda, M.; Nishide, T.

    2009-01-01

    Hafnium oxide (HfO 2 ) is a promising material for the gate insulator in highly miniaturized silicon (Si) ultra-large-scale-integration (ULSI) devices (32 nm and beyond). In the field chemistry, a sol-gel processing has been used to fabricate HfO 2 thin film with the advantages of low cost, relative simplicity, and easy control of the composition of the layers formed. Temperature-programmed desorption (TPD) has been used not only for analyzing adsorbed gases on the surfaces of bulk sol-gel-derived HfO 2 of sol-gel-derived HfO 2 thin film fired at 350, 450, 550 and 700 deg C in sol-gel derived HfO 2 films in air is investigated using TPD, and also the material characterization of HfO 2 thin films is evaluated by X-ray diffraction (XRD) method. The dielectric constant of the films was also estimated using the capacitance-voltage (C-V) method. TPD is essentially a method of analyzing desorped gases from samples heated by infra-red light as a function of temperature under vacuum conditions using a detector of quadruple mass spectroscopy (QMS). Sol-gel-derived HfO 2 films were fabricated on 76-mm-diameter Si(100) wafers as follows. Hafnia sol solutions were prepared by dissolving HfCl 4 in NH 4 OH solution, followed by the of HCOOH. (author)

  4. On the compliant behaviour of free-standing Si nanostructures on Si(001) for Ge nanoheteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kozlowski, Grzegorz

    2012-04-24

    phenomenon was observed. In clear contradiction to the present NHE theory, no strain partitioning phenomenon was found even for {approx}50 nm wide Si pillars for which the compliant substrate effects are expected. The absence of the strain partitioning between Ge and Si is caused by the stress field exerted by the SiO{sub 2} growth mask on the Si nanopillar. In contrast to such nanostructures monolithically prepared from a Si(001) wafer, first results in this thesis clearly prove the strain partitioning phenomenon within Ge/Si nanostructures on Silicon-on-insulator substrate. Here, the compliant substrate effects were clearly observed for pillar widths even bigger than 50 nm. This experimental work demonstrates, that NHE with its compliant substrate effects, offers an interesting approach for high quality Ge nanostructures on Si, avoiding even the misfit dislocation network with its non-tolerable electrical activity in Ge nanodevices. However, the theory does not yet include important aspects of thin film growth on the nano-scale and must be further developed. It is the aim of this PhD thesis to provide this experimental basis for the Ge/Si heterosystem. Finally, it is noted that here developed growth approach is fully Si CMOS compatible and is not only relevant for Ge integration but also for other lattice mismatched alternative semiconductors (GaAs etc.) to enable higher performance / new functions in future Si microelectronics technologies.

  5. Developing Effective Separation of Feldspar and Quartz While Recycling Tailwater by HF Pretreatment

    Directory of Open Access Journals (Sweden)

    Weiqing Wang

    2018-04-01

    Full Text Available The effect of hydrofluoric acid (HF pretreatment on flotation of feldspar and quartz using dodecylamine (DDA as collector was investigated by micro-flotation, zeta potential, pyrene fluorescence spectroscopy, attenuated total reflection flourier transformed infrared spectroscopy (ATR-FTIR, scanning electron microscope (SEM, X-ray photoelectron spectroscopy (XPS and bench scale flotation. The micro-flotation tests revealed that there was little difference in the flotation of feldspar and quartz at pH 2, using H2SO4 as pH regulator. After HF pretreatment, the floatability of feldspar significantly increased while the floatability of quartz showed no change. HF pretreatment resulted in leaching of SiO2 and enrichment of Na, K and Al on the feldspar surface. Consequently, the negative surface charge of feldspar increased at pH 2, which allowed for the flotation separation of the feasible minerals. This took place via an increased electrostatic adsorption between DDA and Na, K, Al on the feldspar surface, which effectively increased its hydrophobicity and as a result, improved the floatability of feldspar. An alternative process which exhibited effective separation of quartz and feldspar while recycling the tailwater from the flotation was proposed.

  6. Hydrometallurgical extraction of Al and Si from kaolinitic clays

    Directory of Open Access Journals (Sweden)

    Eliana G. Pinna

    Full Text Available Abstract Herein is presented the results of a study on the hydrometallurgic extraction and recovery of aluminum and silicon by leaching of kaolinitic clays with HF. The studied extraction parameters were: temperature, reaction time, solid/liquid ratio, concentration, and precipitating agent mass. In the leaching process, mineral dissolutions near 100% were obtained when working at 348 K, solid/liquid ratio 2% w/v, HF 12% v/v, for 120 minutes. The HF leach liquor generated from the dissolution of kaolinitic clays contains H2SiF6and H3AlF6. Studies were conducted to recover the two valuable fluorides as K2SiF6and Na3AlF6by precipitation with alkaline salts from the leach liquor. Phases of precipitated fluorides were identified by XRD and surface morphology by SEM. The purity of the K2SiF6precipitate was 98.8%, whereas for Na3AlF6, it was 89.3%. Also, both synthesized solids are of high commercial value due to their industrial applications.

  7. A comparative study of charge trapping in HfO{sub 2}/Al{sub 2}O{sub 3} and ZrO{sub 2}/Al{sub 2}O{sub 3} based multilayered metal/high-k/oxide/Si structures

    Energy Technology Data Exchange (ETDEWEB)

    Spassov, D., E-mail: d_spassov@abv.bg [Institute of Solid State Physics, Bulgarian Academy of Sciences, Tzarigradsko Chaussee 72, Sofia 1784 (Bulgaria); Skeparovski, A. [Institute of Physics, Faculty of Natural Sciences and Mathematics, University “Ss. Cyril and Methodius”, Arhimedova 3, 1000 Skopje (Macedonia, The Former Yugoslav Republic of); Paskaleva, A. [Institute of Solid State Physics, Bulgarian Academy of Sciences, Tzarigradsko Chaussee 72, Sofia 1784 (Bulgaria); Novkovski, N. [Institute of Physics, Faculty of Natural Sciences and Mathematics, University “Ss. Cyril and Methodius”, Arhimedova 3, 1000 Skopje (Macedonia, The Former Yugoslav Republic of)

    2016-09-01

    The electrical properties of multilayered HfO{sub 2}/Al{sub 2}O{sub 3}/HfO{sub 2}/SiO{sub 2} and ZrO{sub 2}/Al{sub 2}O{sub 3}/ZrO{sub 2}/SiO{sub 2} metal-oxide semiconductor capacitors were investigated in order to evaluate the possibility of their application in charge-trapping non-volatile memory devices. The stacks were deposited by reactive radiofrequency magnetron sputtering on Si substrates with thermal SiO{sub 2} with a thickness ranging from 2 to 5 nm. Both types of stacks show negative initial oxide charge and its density is higher for HfO{sub 2}-based structures. Memory window up to 6V at sweeping voltage range of ± 16V was obtained for HfO{sub 2}-based stacks. The hysteresis in these structures is mainly due to a trapping of electrons injected from the Si substrate. The charge-trapping properties of ZrO{sub 2}-based samples are compromised by the high leakage currents and the dielectric breakdown. The conduction through the capacitors at low applied voltages results from hopping of thermally excited electrons from one isolated state to another. The energy depth of the traps participating in the hopping conduction was determined as ~ 0.7 eV for the HfO{sub 2}-based layers and ~ 0.6 eV for ZrO{sub 2}-based ones, originating from negatively charged oxygen vacancies. At high electric fields, the current voltage characteristics were interpreted in terms of space charge limited currents, Fowler–Nordheim tunneling, Schottky emission, and Poole–Frenkel mechanism. The charge retention characteristics do not depend on the thickness of the tunnel SiO{sub 2}. - Highlights: • Sputtered HfO{sub 2}/Al{sub 2}O{sub 3}/HfO{sub 2} and ZrO{sub 2}/Al{sub 2}O{sub 3}/ZrO{sub 2} charge-trapping layers were studied. • HfO{sub 2}/Al{sub 2}O{sub 3}/HfO{sub 2} stacks show memory window up to 6 V and good retention times. • Negatively charged oxygen vacancies were identified as main defects in the stacks. • Electrical breakdown compromise the charge-trapping properties

  8. One-dimensional electron liquid at a surface. Gold nanowires on Ge(001)

    Energy Technology Data Exchange (ETDEWEB)

    Blumenstein, Christian

    2012-09-11

    Self-organized nanowires at semiconductor surfaces offer the unique opportunity to study electrons in reduced dimensions. Notably the dimensionality of the system determines it's electronic properties, beyond the quasiparticle description. In the quasi-one-dimensional (1D) regime with weak lateral coupling between the chains, a Peierls instability can be realized. A nesting condition in the Fermi surface leads to a backfolding of the 1D electron band and thus to an insulating state. It is accompanied by a charge density wave (CDW) in real space that corresponds to the nesting vector. This effect has been claimed to occur in many surface-defined nanowire systems, such as the In chains on Si(111) or the Au reconstructions on the terraced Si(553) and Si(557) surfaces. Therefore a weak coupling between the nanowires in these systems has to be concluded. However theory proposes another state in the perfect 1D limit, which is completely destroyed upon slight coupling to higher dimensions. In this so-called Tomonaga-Luttinger liquid (TLL) state, the quasiparticle description of the Fermi liquid breaks down. Since the interaction between the electrons is enhanced due to the strong confinement, only collective excitations are allowed. This leads to novel effects like spin charge separation, where spin and charge degrees of freedom are decoupled and allowed to travel independently along the 1D-chain. Such rare state has not been realized at a surface until today. This thesis uses a novel approach to realize nanowires with improved confinement by studying the Au reconstructed Ge(001) surface. A new cleaning procedure using piranha solution is presented, in order to prepare a clean and long-range ordered substrate. To ensure optimal growth of the Au nanowires the phase diagram is extensively studied by scanning tunneling microscopy (STM) and low energy electron diffraction (LEED). The structural elements of the chains are revealed and described in high detail. Remarkably

  9. Influence of Sn on the optical anisotropy of single-domain Si(001)

    International Nuclear Information System (INIS)

    Astropekakis, A.; Power, J.R.; Fleischer, K.; Esser, N.; Richter, W.; Galata, S.; Papadimitriou, D.

    2001-01-01

    We apply reflectance anisotropy spectroscopy (RAS) and low-energy electron diffraction (LEED) to the study of Sn deposited on a single-domain vicinal Si(001) sample. Large variations in RAS are recorded when up to 5 monolayers (ML) of Sn is deposited on the Si substrate at room temperature. We observe (2x2) and (1x1) LEED patterns for the 0.5-ML and 1.0-ML Sn covered surfaces, respectively. The (1x1) LEED pattern exists beyond this coverage and up to 5.0-ML deposition. Even though a (1x1) LEED pattern is observed upon deposition of 1.5 ML, surprisingly, a significant optical anisotropy is observed. After annealing to 570 degree sign C for 2 min, we observe a progression of LEED pattern changes from c(4x4)→(6x2)→c(8x4)→(5x1) with increased Sn coverage up to 1.5 ML. Similar RAS line shapes are obtained for all reconstructions produced through annealing with the exception of the (5x1). For the (5x1) phase, a significant anisotropy appears in the region of 1.8 eV. Similarities in the RAS line shape for both the (5x1) phase and that obtained after deposition of 1.5 ML of Sn at room temperature may indicate a RAS sensitivity to Sn dimer orientation within the uppermost layer

  10. Investigation of the near-surface electronic structure of Cr(001)

    International Nuclear Information System (INIS)

    Klebanoff, L.E.; Robey, S.W.; Liu, G.; Shirley, D.A.

    1985-01-01

    An angle-resolved photoelectron spectroscopy (ARPES) study of Cr(001) near-surface electronic structure is presented. Measurements are reported for energy-band dispersions along the [010] direction parallel to the crystal surface. The periodicity of these band dispersions indicates that the valence electrons experience and self-consistently establish antiferromagnetism in the near-surface layers of Cr(001). We also present highly-surface-sensitive ARPES measurements of the energy-band dispersions along the [001] direction normal to the surface. The results suggest that the surface magnetic moments, which couple ferromagnetically to each other within the surface layer, couple antiferromagnetically to the moments of the atoms in the second layer. Temperature-dependent studies are presented that reveal the persistence of near-surface antiferromagnetic order for temperatures up to 2.5 times the bulk Neel temperature. The temperature dependence of this antiferromagnetic order suggests that its thermal stability derives in part from the stability of the Cr(001) ferromagnetic surface phase

  11. Magnetron sputtered Hf-B-Si-C-N films with controlled electrical conductivity and optical transparency, and with ultrahigh oxidation resistance

    Czech Academy of Sciences Publication Activity Database

    Šímová, V.; Vlček, J.; Zuzjaková, Š.; Houška, J.; Shen, Y.; Jiang, J. C.; Meletis, E. I.; Peřina, Vratislav

    2018-01-01

    Roč. 653, č. 5 (2018), s. 333-340 ISSN 0040-6090 R&D Projects: GA MŠk LM2015056 Institutional support: RVO:61389005 Keywords : Hf-B-Si-C-N films * pulsed reactive magnetron sputtering * electrical conductivitiy * optical transparency * high-temperature oxidation resistance Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders OBOR OECD: Nuclear physics Impact factor: 1.879, year: 2016

  12. Effects of various etching protocols on the flexural properties and surface topography of fiber-reinforced composite dental posts.

    Science.gov (United States)

    Aksornmuang, Juthatip; Chuenarrom, Chanya; Chittithaworn, Natjira

    2017-09-26

    The purpose of this study was to evaluate the flexural properties and surface topography of fiber posts surface-treated with various etching protocols. Seventy each of three types of fiber posts: RelyX Fiber Post, Tenax Fiber Trans, and D.T. Light-Post Illusion X-Ro, were randomly divided into 7 groups: no surface treatment, surface treated with hydrofluoric acid (HF) 4.5% for 60 s, HF 4.5% for 120 s, HF 9.6% for 15 s, HF 9.6% for 60 s, HF 9.6% for 120 s, and treated with H 2 O 2 24% for 10 min. The specimens were then subjected to a three-point bending test. Surface topographies of the posts were observed using a SEM. The results indicate that fiber post surface pretreatments had no adverse effects on the flexural properties. However, the fiber posts treated with high HF concentrations or long etching times seemed to have more surface irregularities.

  13. Effect of fiber surface state on mechanical properties of Cf/Si-O-C composites

    International Nuclear Information System (INIS)

    Wang Song; Chen Zhaohui; Ma Qingsong; Hu Haifeng; Zheng Wenwei

    2005-01-01

    Three-dimensional braided carbon fiber reinforced silicon oxycarbide composites (3D-B C f /Si-O-C) were fabricated via a polysiloxane infiltration and pyrolysis route. The effects of fiber surface state on microstructure and mechanical properties of C f /Si-O-C composites were investigated. The change of carbon fiber surface state was achieved via heat treatment in vacuum. The results showed that heat treatment decreased carbon fiber surface activity due to the decrease of the amount of oxygen and nitrogen atoms. The C f /Si-O-C composites fabricated from the carbon fiber with low surface activity had excellent mechanical properties, which resulted from perfect interfacial bonding and good in situ fiber strength. The flexural strength and fracture toughness of the C f /Si-O-C composites from the treated fiber were 534 MPa and 23.4 MPa m 1/2 , respectively, which were about 7 and 11 times more than those of the composites from the as-received carbon fiber, respectively

  14. CVD growth of (001) and (111)3C-SiC epilayers and their interface reactivity with praseodymium oxide dielectric layers

    International Nuclear Information System (INIS)

    Sohal, R.

    2006-01-01

    In this work, growth and characterisation of 3C-SiC thin films, investigation of oxidation of thus prepared layers and Pr-silicate and AlON based interface with SiC have been studied. Chemical vapor deposition of 3C-SiC thin films on Si(001) and Si(111) substrates has been investigated. Prior to the actual SiC growth, preparation of initial buffer layers of SiC was done. Using such a buffer layer, epitaxial growth of 3C-SiC has been achieved on Si(111) and Si(001) substrates. The temperature of 1100 C and 1150 C has been determined to be the optimal temperature for 3C-SiC growth on Si (111) and Si(001) substrates respectively. The oxidation studies on SiC revealed that a slow oxidation process at moderate temperatures in steps was useful in reducing and suppressing the g-C at the SiO 2 /SiC interface. Clean, graphite-free SiO 2 has been successfully grown on 3C-SiC by silicon evaporation and UHV anneal. For the application of high-k Pr 2 O 3 on silicon carbide, plausible interlayer, Pr-Silicate and AlON, have been investigated. Praseodymium silicate has been prepared successfully completely consuming the SiO2 and simultaneously suppressing the graphitic carbon formation. A comparatively more stable interlayer using AlON has been achieved. This interlayer mainly consists of stable phases of AlN along with some amount of Pr-aluminates and CN. Such layers act as a reaction barrier between Pr 2 O 3 and SiC, and simultaneously provide higher band offsets. (orig.)

  15. CVD growth of (001) and (111)3C-SiC epilayers and their interface reactivity with pradeodymium oxide dielectric layers

    Energy Technology Data Exchange (ETDEWEB)

    Sohal, R.

    2006-07-24

    In this work, growth and characterisation of 3C-SiC thin films, investigation of oxidation of thus prepared layers and Pr-silicate and AlON based interface with SiC have been studied. Chemical vapor deposition of 3C-SiC thin films on Si(001) and Si(111) substrates has been investigated. Prior to the actual SiC growth, preparation of initial buffer layers of SiC was done. Using such a buffer layer, epitaxial growth of 3C-SiC has been achieved on Si(111) and Si(001) substrates. The temperature of 1100 C and 1150 C has been determined to be the optimal temperature for 3C-SiC growth on Si (111) and Si(001) substrates respectively. The oxidation studies on SiC revealed that a slow oxidation process at moderate temperatures in steps was useful in reducing and suppressing the g-C at the SiO{sub 2}/SiC interface. Clean, graphite-free SiO{sub 2} has been successfully grown on 3C-SiC by silicon evaporation and UHV anneal. For the application of high-k Pr{sub 2}O{sub 3} on silicon carbide, plausible interlayer, Pr-Silicate and AlON, have been investigated. Praseodymium silicate has been prepared successfully completely consuming the SiO2 and simultaneously suppressing the graphitic carbon formation. A comparatively more stable interlayer using AlON has been achieved. This interlayer mainly consists of stable phases of AlN along with some amount of Pr-aluminates and CN. Such layers act as a reaction barrier between Pr{sub 2}O{sub 3} and SiC, and simultaneously provide higher band offsets. (orig.)

  16. Effects of SiO2 encapsulation and laser processing on single CdTe/ZnTe quantum dots grown on Si (001) substrates

    International Nuclear Information System (INIS)

    Lee, Hong Seok; Rastelli, Armando; Schmidt, Oliver G.; Kim, Tae Whan; Lee, In Won

    2011-01-01

    Micro-photoluminescence (μ-PL) measurements are carried out to investigate the effects of SiO 2 encapsulation and laser processing of single CdTe/ZnTe quantum dots (QDs) grown on Si (001) substrates by using molecular beam epitaxy and atomic layer epitaxy. After laser processing, the μ-PL peak shift for the 200-nm SiO 2 capped single QD is larger than that of the as-grown sample. The large μ-PL peak shift in the 200-nm SiO 2 capped sample is related to the compressive stress induced by the ZnTe cap layer during laser processing. These results indicate that SiO 2 encapsulation and laser processing represent effective methods for achieving local wavelength tuning in single QDs.

  17. Piezoelectric characterization of Sc0.26Al0.74N layers on Si (001) substrates

    Science.gov (United States)

    Sinusía Lozano, M.; Pérez-Campos, A.; Reusch, M.; Kirste, L.; Fuchs, Th; Žukauskaitė, A.; Chen, Z.; Iriarte, G. F.

    2018-03-01

    Scandium aluminum nitride (ScAlN) films have been synthesized by pulsed-DC reactive magnetron sputtering. The degree of c-axis orientation as well as piezoelectric characteristics of the Sc0.26Al0.74N thin films grown on Si (001) at various discharge powers and processing pressures values have been investigated. According to x-ray diffraction (XRD) measurements, the texture of the as-grown Sc0.26Al0.74N thin films becomes more prominent in the [0001]-direction at the highest target power (700 W) and at the lowest processing pressure (4 mTorr). The piezoelectric response, as determined by measuring the d33 piezoelectric constant, shows a maximum value of -12 pC/N also at 4 mTorr and 700 W, confirming a direct correlation between the d33 piezoelectric constant and the degree of orientation in the [0001]-direction. The atomic concentration of Sc and Al in the synthesized ScAlN thin film, determined by secondary ion mass spectroscopy (SIMS), reveals a Sc concentration lower than in the ScAl alloy target. The piezoresponse force microscopy (PFM) shows homogeneous polarity distribution with no inversion domains. The piezoelectric layers have been used to fabricate and measure surface acoustic wave (SAW) resonators on a Sc0.26Al0.74N/Si (001) bilayer system with resonance frequency of 1.4 GHz and coupling coefficient of 0.567. Such characteristic in the frequency response reveals the potential of these materials for advanced SAW devices in applications such as next generation (5 G) wireless communication systems.

  18. The stability and half-metallicity of (001) surface and (001) interface based on zinc blende MnAs

    Science.gov (United States)

    Han, Hongpei; Feng, Tuanhui; Zhang, Chunli; Feng, Zhibo; Li, Ming; Yao, K. L.

    2018-06-01

    Motivated by the growth of MnAs/GaAs thin films in many experimental researches, we investigate the electronic and magnetic properties of bulk, (001) surfaces and (001) interfaces for zinc blende MnAs by means of first-principle calculations. It is confirmed that zinc blende MnAs is a nearly half-metallic ferromagnet with 4.00 μB magnetic moment. The calculated density of states show that the half-metallicity exists in As-terminated (001) surface while it is lost in Mn-terminated (001) surface. For the (001) interfaces of MnAs with semiconductor GaAs, it is found that As-Ga and Mn-As interfaces not only have higher spin polarization but also are more stable among the four considered interfaces. Our results would be helpful to grow stable and high polarized thin films or multilayers for the practical applications of spintronic devices.

  19. Fabrication of low reflective nanopore-type black Si layer using one-step Ni-assisted chemical etching for Si solar cell application

    Science.gov (United States)

    Takaloo, AshkanVakilipour; Kolahdouz, Mohammadreza; Poursafar, Jafar; Es, Firat; Turan, Rasit; Ki-Joo, Seung

    2018-03-01

    Nanotextured Si fabricated through metal-assisted chemical etching (MACE) technique exhibits a promising potential for producing antireflective layer for photovoltaic (PV) application. In this study, a novel single-step nickel (Ni) assisted etching technique was applied to produce an antireflective, nonporous Si (black Si) in an aqueous solution containing hydrofluoric acid (HF), hydrogen peroxide (H2O2) and NiSO4 at 40 °C. Field emission scanning electron microscope was used to characterize different morphologies of the textured Si. Optical reflection measurements of samples were carried out to compare the reflectivity of different morphologies. Results indicated that vertical as well as horizontal pores with nanosized diameters were bored in the Si wafer after 1 h treatment in the etching solution containing different molar ratios of H2O2 to HF. Increasing H2O2 concentration in electrochemical etching solution had a considerable influence on the morphology due to higher injection of positive charges from Ni atoms onto the Si surface. Optimized concentration of H2O2 led to formation of an antireflective layer with 2.1% reflectance of incident light.

  20. Structural and optical features of InGaAs quantum dots grown on Si(001) substrates

    CERN Document Server

    Vdovin, V I; Rzaev, M M; Burbaev, T M

    2002-01-01

    A multilayer GaAs/SiGe/Si heterostructure with InGaAs quantum dots (QDs) embedded in a GaAs layer was grown by molecular beam epitaxy (MBE) on a Si(001) substrate. A step-graded Si sub 1 sub - sub x Ge sub x (0 <= x <= 1) buffer layer and a GaAs layer with In sub y Ga sub 1 sub sub - sub y As (y approx 0.5) QDs were deposited consecutively in two different MBE systems. The heterostructure exhibits intense photoluminescence in the region of 1.3 mu m at room temperature. Perfect crystal InGaAs islands with height less than 10 nm are the sources of this radiation.

  1. Control of in-plane orientation of phthalocyanine molecular columns using vicinal Si(001)-(2x1)-H

    International Nuclear Information System (INIS)

    Nakamura, Masakazu; Matsunobe, Takeshi; Tokumoto, Hiroshi

    2001-01-01

    In-plane crystal orientation of copper phthalocyanine (CuPc) films formed by organic molecular-beam epitaxy have been successfully controlled by using vicinal Si(001)-(2x1)-H as a substrate, containing atomic steps of an approximately 4 nm period. A continuous film was grown at 60 degree C and the film thickness ranged between 5 and 8 molecular layers. By observing a frictional force image of the film, 90% of the molecular columns were found to align across the substrate step rows. The preferential orientation is considered to be induced by artificial surface lattices, which result from the striped effective contact area between the rigid CuPc crystals and the stair-like surfaces. The anisotropic optical properties of the film have been also confirmed by polarized reflection measurements. [copyright] 2001 American Institute of Physics

  2. Electrically detected magnetic resonance of carbon dangling bonds at the Si-face 4H-SiC/SiO2 interface

    Science.gov (United States)

    Gruber, G.; Cottom, J.; Meszaros, R.; Koch, M.; Pobegen, G.; Aichinger, T.; Peters, D.; Hadley, P.

    2018-04-01

    SiC based metal-oxide-semiconductor field-effect transistors (MOSFETs) have gained a significant importance in power electronics applications. However, electrically active defects at the SiC/SiO2 interface degrade the ideal behavior of the devices. The relevant microscopic defects can be identified by electron paramagnetic resonance (EPR) or electrically detected magnetic resonance (EDMR). This helps to decide which changes to the fabrication process will likely lead to further increases of device performance and reliability. EDMR measurements have shown very similar dominant hyperfine (HF) spectra in differently processed MOSFETs although some discrepancies were observed in the measured g-factors. Here, the HF spectra measured of different SiC MOSFETs are compared, and it is argued that the same dominant defect is present in all devices. A comparison of the data with simulated spectra of the C dangling bond (PbC) center and the silicon vacancy (VSi) demonstrates that the PbC center is a more suitable candidate to explain the observed HF spectra.

  3. Monolithically integrated InGaAs/GaAs/AlGaAs quantum well laser grown by MOCVD on exact Ge/Si(001) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Aleshkin, V. Ya.; Dubinov, A. A.; Krasilnik, Z. F.; Kudryavtsev, K. E.; Novikov, A. V.; Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Institute for Physics of Microstructures of the Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation); Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Baidus, N. V.; Samartsev, I. V. [Physical-Technical Research Institute of Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Fefelov, A. G. [FGUE “Salut,” 603950 Nizhny Novgorod (Russian Federation); Nekorkin, S. M. [Institute for Physics of Microstructures of the Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation); Physical-Technical Research Institute of Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Pavlov, D. A.; Sushkov, A. A. [Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yablonskiy, A. N.; Yunin, P. A. [Institute for Physics of Microstructures of the Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation)

    2016-08-08

    We report on realization of the InGaAs/GaAs/AlGaAs quantum well laser grown by metallorganic chemical vapor deposition on a virtual Ge-on-Si(001) substrate. The Ge buffer layer has been grown on a nominal Si(001) substrate by solid-source molecular beam epitaxy. Such Ge buffer possessed rather good crystalline quality and smooth surface and so provided the subsequent growth of the high-quality A{sub 3}B{sub 5} laser structure. The laser operation has been demonstrated under electrical pumping at 77 K in the continuous wave mode and at room temperature in the pulsed mode. The emission wavelengths of 941 nm and 992 nm have been obtained at 77 K and 300 K, respectively. The corresponding threshold current densities were estimated as 463 A/cm{sup 2} at 77 K and 5.5 kA/cm{sup 2} at 300 K.

  4. Report on the Fracture Analysis of HfB(sub 2)-SiC and ZrB(sub 2)-SiC Composites; TOPICAL

    International Nuclear Information System (INIS)

    MECHOLSKY, JR. JOHN J.

    2001-01-01

    Hafnium diboride-silicon carbide (HS) and zirconium diboride-silicon carbide (ZS) composites are potential materials for high temperature, thermal shock applications such as for components on re-entry vehicles. In order to establish material constants necessary for evaluation of in situ fracture, bars fractured in four-point flexure were examined using fractographic principles. The fracture toughness was determined from measurements of the critical crack sizes and the strength values and the crack branching constants were established to use in forensic fractography for future in-flight tests. The fracture toughnesses range from about 13 MPam(sup 1/2) at room temperature to about 6 MPam(sup 1/2) at 1400 C for ZrB(sub 2)-Sic composites and from about 13 MPam(sup 1/2) at room temperature to about 4 MPam(sup 1/2) at 1400 C for HfB(sub 2)-SiC composites. Thus, the toughnesses of either the HS or ZS composites have the potential for use in thermal shock applications. Processing and manufacturing defects limited the strength of the test bars. However, examination of the microstructure on the fracture surfaces shows that the processing of these composites can be improved. There is potential for high toughness composites with high strength to be used in thermal shock conditions if the processing and handling are controlled

  5. Growth of gallium nitride based devices on silicon(001) substrates by metalorganic vapor phase epitaxy; Wachstum von Galliumnitrid-basierten Bauelementen auf Silizium(001)-Substraten mittels metallorganischer Gasphasenepitaxie

    Energy Technology Data Exchange (ETDEWEB)

    Reiher, Fabian

    2009-02-25

    The main topic of this thesis is to investigate GaN-based layer systems grown by metalorganic vapor phase epitaxy on Si(001) substrates. A temperature shift up to 45 K is measured for a complete device structure on a 2-inch silicon substrate. By using a 40 nm thin LT-AlN-seed layer (680 C), the GaN crystallites on Si(001) substrates are almost oriented with their GaN(10 anti 12)-planes parallel to the Si(001)-plane. A four-fold azimuthal symmetry occurs for these layers, with the GaN[10 anti 11]-direction is aligned parallel to one of the four equivalent left angle 110 right angle -directions, respectively. However, a mono-crystalline and fully coalesced GaN-layer with this crystallographic orientation could not yet been obtained. If a deposition temperature of more than 1100 C is used for the AlN-seed layer, solely the GaN[0001]- growth direction of crystallites occurs in the main GaN layer on Si(001) substrates. These c-axis oriented GaN columns feature two opposite azimuthal alignments that are rotated by 90 with respect to each other and with GaN[11 anti 20] parallel Si[110] and GaN[10 anti 10] parallel Si[110], respectively. By using 4 off-oriented substrates towards the Si[110]-direction, one certain azimuthal texture component can be selected. The critical value of the miscut angle corresponds to theoretical calculations predicting the occurrence of atomic double steps on the Si(001) surface. The achieved crystallographic quality of the GaN layers on Si(001) is characterized by having a tilt of FWHM=0.27 and a twist of FWHM=0.8 of the crystallites, determined by X-ray diffraction. A completely crack-free, up to 2.5 {mu}m thick, and mono-crystalline GaN-template can be realized on Si(001), integrating 4 or 5 LT-AlN-interlayers in the GaN buffer structure. Based on this structure, the first successful implementation of an (InGaN/GaN)-LED on Si(001) is achieved. Furthermore, the possible fabrication of GaN-based FET-structures is demonstrated with a fully

  6. Tuning patterning conditions by co-adsorption of gases: Br2 and H2 on Si(001).

    Science.gov (United States)

    Biswas, Sananda; Deshpande, Sadanand V; Dunn, Derren N; Narasimhan, Shobhana

    2013-11-14

    We have studied the co-adsorption of Br2 and H2 on Si(001), and obtained co-adsorption energies and the surface phase diagram as a function of the chemical potential and pressure of the two gases. To do this, we have used density functional theory calculations in combination with ab initio atomistic thermodynamics. Over large ranges of bromine and hydrogen chemical potentials, the favored configuration is found to be either one with only Br atoms adsorbed on the surface, at full coverage, in a (3 × 2) pattern, or a fully H-covered surface in a (2 × 1) structure. However, we also find regions of the phase diagram where there are configurations with either only Br atoms, or Br and H atoms, arranged in a two-atom-wide checkerboard pattern with a (4 × 2) surface unit cell. Most interestingly, we find that by co-adsorbing with H2, we bring this pattern into a region of the phase diagram corresponding to pressures that are significantly higher than those where it is observed with Br2 alone. We also find small regions of the phase diagram with several other interesting patterns.

  7. Electronic structure of the chromium dioxide (001) surface

    NARCIS (Netherlands)

    Leuken, H. van; Groot, R.A. de

    1995-01-01

    Local-density calculations on the CrO2 (001) surface are reported. The half-metallic character of the bulk is found to be maintained at the surface. Surface states of oxygen p character at the top of the valence band for the semiconducting spin direction are discussed.

  8. Eu-doped ZnO-HfO2 hybrid nanocrystal-embedded low-loss glass-ceramic waveguides

    Science.gov (United States)

    Ghosh, Subhabrata; N, Shivakiran Bhaktha B.

    2016-03-01

    We report on the sol-gel fabrication, using a dip-coating technique, of low-loss Eu-doped 70SiO2 -(30-x) HfO2-xZnO (x = 2, 5, 7 and 10 mol%) ternary glass-ceramic planar waveguides. Transmission electron microscopy and grazing incident x-ray diffraction experiments confirm the controlled growth of hybrid nanocrystals with an average size of 3 nm-25 nm, composed of ZnO encapsulated by a thin layer of nanocrystalline HfO2, with an increase of ZnO concentration from x = 2 mol% to 10 mol% in the SiO2-HfO2 composite matrix. The effect of crystallization on the local environment of Eu ions, doped in the ZnO-HfO2 hybrid nanocrystal-embedded glass-ceramic matrix, is studied using photoluminescence spectra, wherein an intense mixed-valence state (divalent as well as trivalent) emission of Eu ions is observed. The existence of Eu2+ and Eu3+ in the SiO2-HfO2-ZnO ternary matrix is confirmed by x-ray photoelectron spectroscopy. Importantly, the Eu{}2+,3+-doped ternary waveguides exhibit low propagation losses (0.3 ± 0.2 dB cm-1 at 632.8 nm) and optical transparency in the visible region of the electromagnetic spectrum, which makes ZnO-HfO2 nanocrystal-embedded SiO2-HfO2-ZnO waveguides a viable candidate for the development of on-chip, active, integrated optical devices.

  9. Near-surface segregation in irradiated Ni3Si

    International Nuclear Information System (INIS)

    Wagner, W.; Rehn, L.E.; Wiedersich, H.

    1982-01-01

    The radiation-induced growth of Ni 3 Si films on the surfaces of Ni(Si) alloys containing = 3 Si phase has been observed. Post-irradiation depth profiling by Auger electron spectroscopy, as well as in situ analysis by high-resolution Rutherford backscattering spectrometry, reveals Si-enrichment at the surfaces of Ni(Si) alloys in excess of stoichiometric Ni 3 Si during irradiation. Thin, near-surface layers with silicon concentrations of 28 to 30 at.% are observed, and even higher Si enrichment is found in the first few atom layers. Transmission electron microscopy and selected area-electron diffraction were employed to characterize these Si-enriched layers. A complex, multiple-spot diffraction pattern is observed superposed on the diffraction pattern of ordered Ni 3 Si. The d-spacings obtained from the extra spots are consistent with those of the orthohexagonal intermetallic compound Ni 5 Si 2 . (author)

  10. (001) 3C SiC/Ni contact interface: In situ XPS observation of annealing induced Ni2Si formation and the resulting barrier height changes

    Science.gov (United States)

    Tengeler, Sven; Kaiser, Bernhard; Chaussende, Didier; Jaegermann, Wolfram

    2017-04-01

    The electronic states of the (001) 3C SiC/Ni interface prior and post annealing are investigated via an in situ XPS interface experiment, allowing direct observation of the induced band bending and the transformation from Schottky to ohmic behaviour for the first time. A single domain (001) 3C SiC sample was prepared via wet chemical etching. Nickel was deposited on the sample in multiple in situ deposition steps via RF sputtering, allowing observation of the 3C SiC/Ni interface formation. Over the course of the experiments, an upward band bending of 0.35 eV was observed, along with defect induced Fermi level pinning. This indicates a Schottky type contact behaviour with a barrier height of 0.41 eV. The subsequent annealing at 850 °C for 5 min resulted in the formation of a Ni2Si layer and a reversal of the band bending to 0.06 eV downward. Thus explaining the ohmic contact behaviour frequently reported for annealed n-type 3C SiC/Ni contacts.

  11. Enhanced photoluminescence of multilayer Ge quantum dots on Si(001) substrates by increased overgrowth temperature.

    Science.gov (United States)

    Liu, Zhi; Cheng, Buwen; Hu, Weixuan; Su, Shaojian; Li, Chuanbo; Wang, Qiming

    2012-07-11

    Four-bilayer Ge quantum dots (QDs) with Si spacers were grown on Si(001) substrates by ultrahigh vacuum chemical vapor deposition. In three samples, all Ge QDs were grown at 520 °C, while Si spacers were grown at various temperatures (520 °C, 550 °C, and 580 °C). Enhancement and redshift of room temperature photoluminescence (PL) were observed from the samples in which Si spacers were grown at a higher temperature. The enhancement of PL is explained by higher effective electrons capturing in the larger size Ge QDs. Quantum confinement of the Ge QDs is responsible for the redshift of PL spectra. The Ge QDs' size and content were investigated by atomic force microscopy and Raman scattering measurements.

  12. Surface Chemistry Involved in Epitaxy of Graphene on 3C-SiC(111/Si(111

    Directory of Open Access Journals (Sweden)

    Abe Shunsuke

    2010-01-01

    Full Text Available Abstract Surface chemistry involved in the epitaxy of graphene by sublimating Si atoms from the surface of epitaxial 3C-SiC(111 thin films on Si(111 has been studied. The change in the surface composition during graphene epitaxy is monitored by in situ temperature-programmed desorption spectroscopy using deuterium as a probe (D2-TPD and complementarily by ex situ Raman and C1s core-level spectroscopies. The surface of the 3C-SiC(111/Si(111 is Si-terminated before the graphitization, and it becomes C-terminated via the formation of C-rich (6√3 × 6√3R30° reconstruction as the graphitization proceeds, in a similar manner as the epitaxy of graphene on Si-terminated 6H-SiC(0001 proceeds.

  13. Surface Wettability of Oxygen Plasma Treated Porous Silicon

    Directory of Open Access Journals (Sweden)

    Lei Jiang

    2014-01-01

    Full Text Available Oxygen plasma treatment on porous silicon (p-Si surfaces was studied as a practical and effective means to modify wetting properties of as-fabricated p-Si surfaces, that is, contact angles of the p-Si materials. P-Si samples spanning a wide range of surface nanostructures have been fabricated which were subjected to a series of oxygen plasma treatments. Reduction of the p-Si surface contact angles has been systematically observed, and the surface activation rate constant as a function of different pore geometries has been analyzed to achieve an empirical equation. The underlying diffusion mechanisms have been discussed by taking into account of different pore diameters of p-Si samples. It is envisaged that such an approach as well as the corresponding empirical equation may be used to provide relevant process guidance in order to achieve precise control of p-Si contact angles, which is essential for many p-Si applications especially in biosensor areas.

  14. Growth and structural investigations of epitaxial hexagonal YMnO3 thin films deposited on wurtzite GaN(001) substrates

    International Nuclear Information System (INIS)

    Balasubramanian, K.R.; Chang, Kai-Chieh; Mohammad, Feroz A.; Porter, Lisa M.; Salvador, Paul A.; DiMaio, Jeffrey; Davis, Robert F.

    2006-01-01

    Epitaxial hexagonal YMnO 3 (h-YMnO 3 ) films having sharp (00l) X-ray diffraction peaks were grown above 700 deg. C in 5 mTorr O 2 via pulsed laser deposition both on as-received wurtzite GaN/AlN/6H-SiC(001) (w-GaN) substrates as well as on w-GaN surfaces that were etched in 50% HF solution. High-resolution transmission electron microscopy revealed an interfacial layer between film and the unetched substrate; this layer was absent in those samples wherein an etched substrate was used. However, the substrate treatment did not affect the epitaxial arrangement between the h-YMnO 3 film and w-GaN substrate. The epitaxial relationships of the h-YMnO 3 films with the w-GaN(001) substrate was determined via X-ray diffraction to be (001) YMnO 3 -parallel (001) GaN : [11-bar0] YMnO 3 -parallel [110] GaN ; in other words, the basal planes of the film and the substrate are aligned parallel to one another, as are the most densely packed directions in planes of the film and the substrate. Interestingly, this arrangement has a larger lattice mismatch than if the principal axes of the unit cells were aligned

  15. Preparation of crosslinked polysiloxane/SiO{sub 2} nanocomposite via in-situ condensation and its surface modification on cotton fabrics

    Energy Technology Data Exchange (ETDEWEB)

    Hao, Lifen, E-mail: haolifen@sust.edu.cn [College of Chemistry and Chemical Engineering, Shaanxi University of Science and Technology, Xi' an, Shaanxi 710021 (China); Zibo Dahuanjiu Polygrace Tannery Group Co. Ltd., Zibo, Shandong 256400 (China); Gao, Tingting [College of Chemistry and Chemical Engineering, Shaanxi University of Science and Technology, Xi' an, Shaanxi 710021 (China); Xu, Wei [College of Resource and Environment, Shaanxi University of Science and Technology, Xi' an, Shaanxi 710021 (China); Zibo Dahuanjiu Polygrace Tannery Group Co. Ltd., Zibo, Shandong 256400 (China); Wang, Xuechuan [College of Resource and Environment, Shaanxi University of Science and Technology, Xi' an, Shaanxi 710021 (China); Yang, Shuqin; Liu, Xiangguo [Zibo Dahuanjiu Polygrace Tannery Group Co. Ltd., Zibo, Shandong 256400 (China)

    2016-05-15

    Highlights: • We used a two-step method to fabricate novel crosslinked polysiloxane/SiO{sub 2} nanocomposite (CLPS-SiO{sub 2}). • Superhydrophobic surface on cotton fiber can be conveniently constructed by CLPS-SiO{sub 2}. • Color and softness of the CLPS-SiO{sub 2} treated fabric would not be influenced at all. • The CLPS-SiO{sub 2} treated fabric possessed good washing durability. - Abstract: Novel crosslinked polysiloxane/SiO{sub 2} nanocomposite (CLPS-SiO{sub 2}) was successfully prepared via the in-situ condensation reaction of silica sols and crosslinked polysiloxane with end-capped triethoxysilane in solvent, which was firstly fabricated through the modification of our previously developed crosslinked polysiloxane with end-capped epoxy groups using aminopropyltriethoxysilane (APTES) and noted as APTES-CLPS. Chemical structures and thermal properties of the as-prepared resultants were characterized by Fourier transform infrared spectroscopy (FTIR), nuclear magnetic resonance spectra ({sup 1}H/{sup 13}C NMR) and thermogravimetric analysis (TGA). CLPS-SiO{sub 2} was applied as surface modification agent to treat cotton fabrics. Film morphologies and surface properties were examined with scanning electron microscopy (SEM), atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), contact angle measurements, and other instruments. FTIR and NMR confirmed structure of the products. CLPS-SiO{sub 2} showed better thermal stability than APTES-CLPS due to anchor of the nanosilica. APTES-CLPS could deposit a smooth film on cotton fiber surface. Besides, CLPS-SiO{sub 2} also coated the fibers with many nano-scaled tubercles beneath this smooth film by SEM. However, the APTES-CLPS film and the CLPS-SiO{sub 2} film on silicon-wafer were never homogeneous and had a few low or high peaks. The root mean square roughness (Rq) of APTES-CLPS film reached to 0.441 nm in 2 × 2 μm{sup 2} scanning field and at 5 nm data scale. Owing to the incorporation of

  16. High reflectivity YDH/SiO2 distributed Bragg reflector for UV-C wavelength regime

    KAUST Repository

    Alias, Mohd Sharizal

    2018-02-15

    A distributed Bragg reflector (DBR) composed of Y2O3-doped HfO2 (YDH)/SiO2 layers with high reflectivity spectrum centered at a wavelength of ~240 nm is deposited using radio-frequency magnetron sputtering. Before the DBR deposition, optical properties for a single layer of YDH, SiO2, and HfO2 thin films were studied using spectroscopic ellipsometry and spectrophotometry. To investigate the performance of YDH as a material for the high refractive index layer in the DBR, a comparison of its optical properties was made with HfO2 thin films. Due to larger optical bandgap, the YDH thin films demonstrated higher transparency, lower extinction coefficient, and lower absorption coefficient in the UV-C regime (especially for wavelengths below 250 nm) compared to the HfO2 thin films. The deposited YDH/SiO2 DBR consisting of 15 periods achieved a reflectivity higher than 99.9% at the wavelength of ~240 nm with a stopband of ~50 nm. The high reflectivity and broad stopband of YDH/SiO2 DBRs will enable further advancement of various photonic devices such as vertical-cavity surface-emitting lasers, resonant-cavity light-emitting diodes, and resonant-cavity photodetectors operating in the UV-C wavelength regime.

  17. Determination of the rate of HF hydration and the effects of HF on moisture condensation

    International Nuclear Information System (INIS)

    McCulla, W.H.

    1982-01-01

    There were four basic questions addressed in this report that relate to the HF interaction in the environment. As to whether HF hydrates in the vapor phase and what the rate of that hydration is, there seems ample evidence that HF hydrates readily in the vapor phase and the rate of that hydration is very fast, i.e., dHF/dt greater than or equal to 25 torr sec -1 . Concerning under what conditions condensation of the hydrate will occur and whether a third body is required for condensation, it was found that HF does effect the dew point or condensation of water and data was presented indicating the extent of that effect. It was also determined that condensation will occur without a third body present. Thus, in attempting to model an HF release for the Safety Analysis Report the hydration of HF and the subsequent heat released may be treated as occurring instantaneously; but the ultimate disposition of the HF will be strongly dependent upon the environmental conditions at the time of the release

  18. Determination of the rate of HF hydration and the effects of HF on moisture condensation

    Energy Technology Data Exchange (ETDEWEB)

    McCulla, W H

    1982-04-30

    There were four basic questions addressed in this report that relate to the HF interaction in the environment. As to whether HF hydrates in the vapor phase and what the rate of that hydration is, there seems ample evidence that HF hydrates readily in the vapor phase and the rate of that hydration is very fast, i.e., dHF/dt greater than or equal to 25 torr sec/sup -1/. Concerning under what conditions condensation of the hydrate will occur and whether a third body is required for condensation, it was found that HF does effect the dew point or condensation of water and data was presented indicating the extent of that effect. It was also determined that condensation will occur without a third body present. Thus, in attempting to model an HF release for the Safety Analysis Report the hydration of HF and the subsequent heat released may be treated as occurring instantaneously; but the ultimate disposition of the HF will be strongly dependent upon the environmental conditions at the time of the release.

  19. Preparation and study of the critical-mass-free plutonium ceramics with neutron poisons Hf, Gd and Li

    International Nuclear Information System (INIS)

    Timoefeeva, L.F.; Orlov, V.K.; Malyukov, E.E.; Molomin, V.I.; Zhmak, V.A.; Semova, E.A.; Shishkov, N.V.; Nadykto, B.A.

    2002-01-01

    Powder sintering was used to produce homogeneous type oxide ceramics of Pu with Hf, Gd and Li 6 . In all the ceramics, there is the number of neutron poison (Hf, Gd and Li) atoms per plutonium atom needed, according to the physical calculation, for them to be free of critical mass. PuO 2 stabilizers high-temperature modifications of cubic HfO 2 or hexagonal Gd 2 O 3 , however, at the ratio given by the physical calculation, the plutonium is insufficient for their full stabilization. Addition of yttrium oxide as an additive stabilizing the fcc phase of HfO 2 resulted in cubic solid solution (Pu, Hf, Y)O 2-x . Pu/Li/Hf and Pu/Li/Si ceramics produced by sintering of PuO 2 and compound Li 2 HfO 3 or 6 Li 4 SiO 4 powders is characterized with presence of two phases. The method of differential thermal analysis demonstrated the phase stability of (Pu-Hf, Pu-Gd, Pu-Li-Hf) oxide ceramics in the 20-1500degC temperature range. Ceramic (Pu/Li/Si) has several endothermal effects. Tests in boiling water solutions of various composition suggest that the specimens of Pu, Hf oxides and ternary oxides (Pu, Hf, Y)O 2 are less stable in weakly acidic media than in weakly alkaline medium and distilled water. The obtained results were used as a basis to estimate the assumed solid solution region boundaries for binary Hf, Pu and ternary Hf, Pu, Y oxides on the side of HfO 2 . (author)

  20. Surface current dynamics under sea breeze conditions observed by simultaneous HF radar, ADCP and drifter measurements

    Science.gov (United States)

    Sentchev, Alexei; Forget, Philippe; Fraunié, Philippe

    2017-04-01

    Ocean surface boundary layer dynamics off the southern coast of France in the NW Mediterranean is investigated by using velocity observations by high-frequency (HF) radars, surface drifting buoys and a downward-looking drifting acoustic Doppler current profiler (ADCP). The analysis confirms that velocities measured by HF radars correspond to those observed by an ADCP at the effective depth z f = k -1, where k is wavenumber of the radio wave emitted by the radar. The radials provided by the radars were in a very good agreement with in situ measurements, with the relative errors of 1 and 9 % and root mean square (RMS) differences of 0.02 and 0.04 m/s for monostatic and bistatic radar, respectively. The total radar-based velocities appeared to be slightly underestimated in magnitude and somewhat biased in direction. At the end of the survey period, the difference in the surface current direction, based on HF radar and ADCP data, attained 10°. It was demonstrated that the surface boundary layer dynamics cannot be reconstructed successfully without taking into the account velocity variation with depth. A significant misalignment of ˜30° caused by the sea breeze was documented between the HF radar (HFR-derived) surface current and the background current. It was also found that the ocean response to a moderate wind forcing was confined to the 4-m-thick upper layer. The respective Ekman current attained the maximum value of 0.15 m/s, and the current rotation was found to be lagging the wind by approximately 40 min, with the current vector direction being 15-20° to the left of the wind. The range of velocity variability due to wind forcing was found comparable with the magnitude of the background current variability.

  1. Evolution of Zr/Hf/Zr trilayers during annealing studied by RBS

    International Nuclear Information System (INIS)

    Kling, A.; Soares, J.C.

    2010-01-01

    The Zr/Hf system is highly interesting due its various applications, e.g. formation of amorphous ternary alloys, superconductive properties and production of gate oxide layers with high dielectric coefficients by oxidation of Zr/Hf multilayers. In this work Zr/Hf/Zr trilayers with an individual layer thickness of approximately 50 nm were deposited by electron gun evaporation on a substrate consisting of silicon covered by a micrometer thick thermal oxide layer. Samples were subjected to annealing procedures at 500 and 1200 o C in flowing air atmosphere to promote oxidation and Zr/Hf interdiffusion effects. RBS studies of the as-deposited and annealed samples were performed at the van-de-Graaff accelerator of ITN using He + and H + beams with energies between 2.0 and 2.525 MeV in order to study compositional changes induced by the heat treatment. In the case of low-temperature annealing the layer system appears, besides the oxidation process starting from the surface, to be stable. On the other hand, high-temperature annealing leads to an asymmetric Hf-diffusion into the surface and interior Zr-layer provoked by anomalous diffusion due to a phase transition in Zr accompanied by an almost complete oxidation of the layer structure Oxygen and metal depth distributions obtained by RBS in the as-deposited and treated samples are provided.

  2. Metastability and relaxation in tensile SiGe on Ge(001) virtual substrates

    International Nuclear Information System (INIS)

    Frigerio, Jacopo; Lodari, Mario; Chrastina, Daniel; Mondiali, Valeria; Isella, Giovanni; Bollani, Monica

    2014-01-01

    We systematically study the heteroepitaxy of SiGe alloys on Ge virtual substrates in order to understand strain relaxation processes and maximize the tensile strain in the SiGe layer. The degree of relaxation is measured by high-resolution x-ray diffraction, and surface morphology is characterized by atomic force microscopy. The results are analyzed in terms of a numerical model, which considers dislocation nucleation, multiplication, thermally activated glide, and strain-dependent blocking. Relaxation is found to be sensitive to growth rate and substrate temperature as well as epilayer misfit and thickness, and growth parameters are found which allow a SiGe film with over 4 GPa of tensile stress to be obtained.

  3. Characterization and surface treatment effects on topography of a glass-infiltrated alumina/zirconia-reinforced ceramic.

    Science.gov (United States)

    Della Bona, Alvaro; Donassollo, Tiago A; Demarco, Flávio F; Barrett, Allyson A; Mecholsky, John J

    2007-06-01

    Characterize the microstructure, composition and some physical properties of a glass-infiltrated alumina/zirconia-reinforced ceramic (IZ) and the effect of surface treatment on topography. IZ ceramic specimens were fabricated according to ISO6872 instructions and polished through 1 microm alumina abrasive. Quantitative and qualitative analyses were performed using scanning electron microscopy (SEM), backscattered imaging (BSI), electron dispersive spectroscopy (EDS) and stereology. The elastic modulus (E) and Poisson's ratio (nu) were determined using ultrasonic waves, and the density (rho) using a helium pycnometer. The following ceramic surface treatments were used: AP-as-polished; HF-etching with 9.5% hydrofluoric acid for 90 s; SB-sandblasting with 25 microm aluminum oxide particles for 15s and SC-blasting with 30 microm aluminum oxide particles modified by silica (silica coating) for 15s. An optical profilometer was used to examine the surface roughness (Ra) and SEM-EDS were used to measure the amount of silica after all treatments. The IZ mean property values were as follows: rho=4.45+/-0.01 g/cm(3); nu=0.26 and E=245 GPa. Mean Ra values were similar for AP- and HF-treated IZ but significantly increased after either SC or SB treatment (psurface concentration of Si(K) increased 76% after SC treatment. HF is an inadequate surface treatment for bonding resins to IZ ceramic. Treating IZ with either SB or SC produced greater Ra values and the SC showed a significant increase in the surface concentration of silica, which may enhance bonding to resin via silane coupling.

  4. Atomic layer deposition of crystalline SrHfO3 directly on Ge (001) for high-k dielectric applications

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G.; Hu, Chengqing; Jiang, Aiting; Yu, Edward T.; Lu, Sirong; Smith, David J.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO 3 (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10 −5 A/cm 2 at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D it ) is estimated to be as low as ∼2 × 10 12  cm −2  eV −1 under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D it value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications

  5. Development and Property Evaluation of Selected HfO2-Silicon and Rare Earth-Silicon Based Bond Coats and Environmental Barrier Coating Systems for SiC/SiC Ceramic Matrix Composites

    Science.gov (United States)

    Zhu, Dongming

    2016-01-01

    Ceramic environmental barrier coatings (EBC) and SiC/SiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiC/SiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, high strength and high temperature capable environmental barrier coating bond coat systems, since the current silicon bond coat cannot meet the advanced EBC-CMC temperature and stability requirements. In this paper, advanced NASA HfO2-Si and rare earth Si based EBC bond coat EBC systems for SiC/SiC CMC combustor and turbine airfoil applications are investigated. High temperature properties of the advanced EBC systems, including the strength, fracture toughness, creep and oxidation resistance have been studied and summarized. The advanced NASA EBC systems showed some promise to achieve 1500C temperature capability, helping enable next generation turbine engines with significantly improved engine component temperature capability and durability.

  6. (001) 3C SiC/Ni contact interface: In situ XPS observation of annealing induced Ni_2Si formation and the resulting barrier height changes

    International Nuclear Information System (INIS)

    Tengeler, Sven; Kaiser, Bernhard; Chaussende, Didier; Jaegermann, Wolfram

    2017-01-01

    Highlights: • Schottky behavior (Φ_B = 0.41 eV) and Fermi level pining were found pre annealing. • Ni_2Si formation was confirmed for 5 min at 850 °C. • 3C/Ni_2Si Fermi level alignment is responsible for ohmic contact behavior. • Wet chemical etching (Si–OH/C–H termination) does not impair Ni_2Si formation. - Abstract: The electronic states of the (001) 3C SiC/Ni interface prior and post annealing are investigated via an in situ XPS interface experiment, allowing direct observation of the induced band bending and the transformation from Schottky to ohmic behaviour for the first time. A single domain (001) 3C SiC sample was prepared via wet chemical etching. Nickel was deposited on the sample in multiple in situ deposition steps via RF sputtering, allowing observation of the 3C SiC/Ni interface formation. Over the course of the experiments, an upward band bending of 0.35 eV was observed, along with defect induced Fermi level pinning. This indicates a Schottky type contact behaviour with a barrier height of 0.41 eV. The subsequent annealing at 850 °C for 5 min resulted in the formation of a Ni_2Si layer and a reversal of the band bending to 0.06 eV downward. Thus explaining the ohmic contact behaviour frequently reported for annealed n-type 3C SiC/Ni contacts.

  7. SiO2-coated LiNi0.915Co0.075Al0.01O2 cathode material for rechargeable Li-ion batteries.

    Science.gov (United States)

    Zhou, Pengfei; Zhang, Zhen; Meng, Huanju; Lu, Yanying; Cao, Jun; Cheng, Fangyi; Tao, Zhanliang; Chen, Jun

    2016-11-24

    We reported a one-step dry coating of amorphous SiO 2 on spherical Ni-rich layered LiNi 0.915 Co 0.075 Al 0.01 O 2 (NCA) cathode materials. Combined characterization of XRD, EDS mapping, and TEM indicates that a SiO 2 layer with an average thickness of ∼50 nm was uniformly coated on the surface of NCA microspheres, without inducing any change of the phase structure and morphology. Electrochemical tests show that the 0.2 wt% SiO 2 -coated NCA material exhibits enhanced cyclability and rate properties, combining with better thermal stability compared with those of pristine NCA. For example, 0.2 wt% SiO 2 -coated NCA delivers a high specific capacity of 181.3 mA h g -1 with a capacity retention of 90.7% after 50 cycles at 1 C rate and 25 °C. Moreover, the capacity retention of this composite at 60 °C is 12.5% higher than that of pristine NCA at 1 C rate after 50 cycles. The effects of SiO 2 coating on the electrochemical performance of NCA are investigated by EIS, CV, and DSC tests, the improved performance is attributed to the surface coating layer of amorphous SiO 2 , which effectively suppresses side reactions between NCA and electrolytes, decreases the SEI layer resistance, and retards the growth of charge-transfer resistance, thus enhancing structural and cycling stability of NCA.

  8. Control of the graphene growth rate on capped SiC surface under strong Si confinement

    International Nuclear Information System (INIS)

    Çelebi, C.; Yanık, C.; Demirkol, A.G.; Kaya, İsmet İ.

    2013-01-01

    Highlights: ► Graphene is grown on capped SiC surface with well defined cavity size. ► Graphene growth rate linearly increases with the cavity height. ► Graphene uniformity is reduced with thickness. - Abstract: The effect of the degree of Si confinement on the thickness and morphology of UHV grown epitaxial graphene on (0 0 0 −1) SiC is investigated by using atomic force microscopy and Raman spectroscopy measurements. Prior to the graphene growth process, the C-face surface of a SiC substrate is capped by another SiC comprising three cavities on its Si-rich surface with depths varying from 0.5 to 2 microns. The Si atoms, thermally decomposed from the sample surface during high temperature annealing of the SiC cap /SiC sample stack, are separately trapped inside these individual cavities at the sample/cap interface. Our analyses show that the growth rate linearly increases with the cavity height. It was also found that stronger Si confinement yields more uniform graphene layers.

  9. Formation of (111) orientation-controlled ferroelectric orthorhombic HfO{sub 2} thin films from solid phase via annealing

    Energy Technology Data Exchange (ETDEWEB)

    Mimura, Takanori; Katayama, Kiliha [Department of Innovative and Engineered Materials, Tokyo Institute of Technology, Yokohama 226-8502 (Japan); Shimizu, Takao [Materials Research Center for Element Strategy, Tokyo Institute of Technology, Yokohama 226-8503 (Japan); Uchida, Hiroshi [Department of Materials and Life Sciences, Sophia University, Tokyo 102-8554 (Japan); Kiguchi, Takanori; Akama, Akihiro; Konno, Toyohiko J. [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Sakata, Osami [Synchrotron X-ray Station at SPring-8 and Synchrotron X-ray Group, National Institute for Materials Science, Sayo, Hyogo 679-5148 (Japan); Funakubo, Hiroshi, E-mail: funakubo.h.aa@m.titech.ac.jp [Department of Innovative and Engineered Materials, Tokyo Institute of Technology, Yokohama 226-8502 (Japan); Materials Research Center for Element Strategy, Tokyo Institute of Technology, Yokohama 226-8503 (Japan); School of Materials and Chemical Technology, Tokyo Institute of Technology, Yokohama 226-8502 (Japan)

    2016-08-01

    0.07YO{sub 1.5}-0.93HfO{sub 2} (YHO7) films were prepared on various substrates by pulse laser deposition at room temperature and subsequent heat treatment to enable a solid phase reaction. (111)-oriented 10 wt. % Sn-doped In{sub 2}O{sub 3}(ITO)//(111) yttria-stabilized zirconia, (111)Pt/TiO{sub x}/SiO{sub 2}/(001)Si substrates, and (111)ITO/(111)Pt/TiO{sub x}/SiO{sub 2}/(001)Si substrates were employed for film growth. In this study, X-ray diffraction measurements including θ–2θ measurements, reciprocal space mappings, and pole figure measurements were used to study the films. The film on (111)ITO//(111)yttria-stabilized zirconia was an (111)-orientated epitaxial film with ferroelectric orthorhombic phase; the film on (111)ITO/(111)Pt/TiO{sub x}/SiO{sub 2}/(001)Si was an (111)-oriented uniaxial textured film with ferroelectric orthorhombic phase; and no preferred orientation was observed for the film on the (111)Pt/TiO{sub x}/SiO{sub 2}/(001)Si substrate, which does not contain ITO. Polarization–hysteresis measurements confirmed that the films on ITO covered substrates had saturated ferroelectric hysteresis loops. A remanent polarization (P{sub r}) of 9.6 and 10.8 μC/cm{sup 2} and coercive fields (E{sub c}) of 1.9 and 2.0 MV/cm were obtained for the (111)-oriented epitaxial and uniaxial textured YHO7 films, respectively. These results demonstrate that the (111)-oriented ITO bottom electrodes play a key role in controlling the orientation and ferroelectricity of the phase formation of the solid films deposited at room temperature.

  10. Semiconductor growth on an oxide using a metallic surfactant and interface studies for potential gate stacks from first principles

    Energy Technology Data Exchange (ETDEWEB)

    Reyes Huamantinco, Andrei

    2008-05-09

    In this work the epitaxial growth of germanium on SrHfO{sub 3}(001), and the La{sub 2}Hf{sub 2}O{sub 7}/Si(001) and SrTiO{sub 3}/GaAs(001) interfaces were studied theoretically using the Projector-Augmented Wave (PAW) method. The PAW method is based on Density Functional Theory and it is implemented in the Car-Parrinello Ab-Initio Molecular Dynamics. The goal of the germanium growth on SrHfO{sub 3}(001) is to form a germanium film with low density of defects and smooth morphology, to be used as channel in a transistor. The feasibility of using a third material to achieve germanium layer-by-layer growth was investigated. The formation of an ordered strontium film on a SrO-terminated oxide substrate, to be used as template for germanium overgrowth, was studied. Deposition of germanium on the strontium 1ML template results in wetting and thus a change of the growth mode to layer-by-layer. The germanium surface is then passivated and a germanium compound is initially formed with strontium at the surface and interface. The interfacial structure and valence band offsets of the La{sub 2}Hf{sub 2}O{sub 7}/Si(001) crystalline system were studied. The SrTiO{sub 3}/GaAs(001) crystalline interfaces with unpinned Fermi level were investigated. (orig.)

  11. Comparative Study of Catalytic Oxidation of Ethanol to Acetaldehyde Using Fe(III Dispersed on Sb2O5 Grafted on SiO2 and on Untreated SiO2 Surfaces

    Directory of Open Access Journals (Sweden)

    Benvenutti Edilson V.

    1998-01-01

    Full Text Available Fe(III was supported on Sb(V oxide grafted on the silica gel surface and directly on the silica gel surface using ion-exchange and impregnation processes producing Fe/Sb/SiO2 and Fe/SiO2, respectively. The catalytic conversion of ethanol to acetaldehyde was much more efficient using Fe/Sb/SiO2 than Fe/SiO2 as catalyst. This higher efficiency of the former catalyst takes into account two aspects: a the new phase FeSbO4 formed when Fe/Sb/SiO2 is heat treated and, b it is higher dispersion on the matrix.

  12. Magnetron-sputter deposition of high-indium-content n-AlInN thin film on p-Si(001) substrate for photovoltaic applications

    International Nuclear Information System (INIS)

    Liu, H. F.; Tan, C. C.; Dalapati, G. K.; Chi, D. Z.

    2012-01-01

    Al 0.278 In 0.722 N thin films have been grown on p-type Si(001) and c-plane sapphire substrates by employing radio-frequency magnetron-sputter deposition at elevated temperatures. High-resolution x-ray diffraction, as well as pole-figure measurements, reveals no phase separation of the thin films. The Al 0.278 In 0.722 N film grown on p-Si(001) substrate is a typical fiber-texture with AlInN(0001)//Si(001) while that on the c-sapphire exhibits the onset of epitaxy. Microscopic studies reveal that the growth is dominated by a columnar mechanism and the average columnar grain diameter is about 31.5 and 50.8 nm on p-Si(001) and c-sapphire substrates, respectively. Photoluminescence at room-temperature exhibits a strong emission peak at 1.875 eV, smaller than the optical absorption edge (2.102 eV) but larger than the theoretical bandgap energy (1.70 eV), which is attributable to the band-filling effect, as is supported by the high electron density of 4.5 × 10 20 cm −3 . The n-Al 0.278 In 0.722 N/p-Si(001) heterostructure is tested for solar cells and the results are discussed based on the I-V characteristics and their fittings.

  13. Potential energy and dipole moment surfaces for HF@C60: Prediction of spectral and electric response properties

    Science.gov (United States)

    Kalugina, Yulia N.; Roy, Pierre-Nicholas

    2017-12-01

    We present a five-dimensional potential energy surface (PES) for the HF@C60 system computed at the DF-LMP2/cc-pVTZ level of theory. We also calculated a five-dimensional dipole moment surface (DMS) based on DFT(PBE0)/cc-pVTZ calculations. The HF and C60 molecules are considered rigid with bond length rHF = 0.9255 Å (gas phase ground rovibrational state geometry). The C60 geometry is of Ih symmetry. The ab initio points were fitted to obtain a PES in terms of bipolar spherical harmonics. The minimum of the PES corresponds to a geometry where the center of mass of HF is located 0.11 Å away from the center of the cage with an interaction energy of -6.929 kcal/mol. The DMS was also represented in terms of bipolar spherical harmonics. The PES was used to calculate the rotation-translation bound states of HF@C60, and good agreement was found relative to the available experimental data [A. Krachmalnicoff et al., Nat. Chem. 8, 953 (2016)] except for the splitting of the first rotational excitation levels. We propose an empirical adjustment to the PES in order to account for the experimentally observed symmetry breaking. The form of that effective PES is additive. We also propose an effective Hamiltonian with an adjusted rotational constant in order to quantitatively reproduce the experimental results including the splitting of the first rotational state. We use our models to compute the molecular volume polarizability of HF confined by C60 and obtain good agreement with experiment.

  14. Stability of Ta-encapsulating Si clusters on Si(111)-(7x7) surfaces

    CERN Document Server

    Uchida, N; Miyazaki, T; Kanayama, T

    2003-01-01

    Tantalum containing Si cluster ions TaSi sub 1 sub 0 sub - sub 1 sub 3 H sub x sup + were synthesized in an ion trap and deposited onto Si(111)-(7x7) surfaces with a kinetic energy of 18 eV. Scanning tunnelling microscope observations revealed that the clusters adsorbed on the surface without decomposition, consistent with ab initio calculation results, that predicted the clusters would have stable Si-cage structures with a Ta atom at the centre. (rapid communication)

  15. On the interplay between Si(110) epilayer atomic roughness and subsequent 3C-SiC growth direction

    Science.gov (United States)

    Khazaka, Rami; Michaud, Jean-François; Vennéguès, Philippe; Nguyen, Luan; Alquier, Daniel; Portail, Marc

    2016-11-01

    In this contribution, we performed the growth of a 3C-SiC/Si/3C-SiC layer stack on a Si(001) substrate by means of chemical vapor deposition. We show that, by tuning the growth conditions, the 3C-SiC epilayer can be grown along either the [111] direction or the [110] direction. The key parameter for the growth of the desired 3C-SiC orientation on the Si(110)/3C-SiC(001)/Si(001) heterostructure is highlighted and is linked to the Si epilayer surface morphology. The epitaxial relation between the layers has been identified using X-ray diffraction and transmission electron microscopy (TEM). We showed that, regardless of the top 3C-SiC epilayer orientation, domains rotated by 90° around the growth direction are present in the epilayer. Furthermore, the difference between the two 3C-SiC orientations was investigated by means of high magnification TEM. The results indicate that the faceted Si(110) epilayer surface morphology results in a (110)-oriented 3C-SiC epilayer, whereas a flat hetero-interface has been observed between 3C-SiC(111) and Si(110). The control of the top 3C-SiC growth direction can be advantageous for the development of new micro-electro-mechanical systems.

  16. [Effect of hydrofluoric acid concentration on the surface morphology and bonding effectiveness of lithium disilicate glass ceramics to resin composites].

    Science.gov (United States)

    Hailan, Qian; Lingyan, Ren; Rongrong, Nie; Xiangfeng, Meng

    2017-12-01

    This study aimed at determining the influence of hydrofluoric acid (HF) in varied concentrations on the surface morphology of lithium disilicate glass ceramics and bond durability between resin composites and post-treated lithium disilicate glass ceramics. After being sintered, ground, and washed, 72 as-prepared specimens of lithium disilicate glass ceramics with dimensions of 11 mm×13 mm×2 mm were randomly divided into three groups. Each group was treated with acid solution [32% phosphoric acid (PA) or 4% or 9.5% HF] for 20 s. Then, four acidified specimens from each group were randomly selected. One of the specimens was used to observe the surface morphology using scanning electron microscopy, and the others were used to observe the surface roughness using a surface roughness meter (including Ra, Rz, and Rmax). After treatment with different acid solutions in each group, 20 samples were further treated with silane coupling agent/resin adhesive/resin cement (Monobond S/Multilink Primer A&B/Multilink N), followed by bonding to a composite resin column (Filtek™ Z350) with a diameter of 3 mm. A total of 20 specimens in each group were randomly divided into two subgroups, which were used for measuring the microshear bond strength, with one of them subjected to cool-thermal cycle for 20 000 times. The surface roughness (Ra, Rz, and Rmax) of lithium disilicate glass ceramics treated with 4% or 9.5% HF was significantly higher than that of the ceramic treated with PA (Pglass ceramics treated with 9.5% HF also demonstrated better surface roughness (Rz and Rmax) than that of the ceramics treated with 4% HF. Cool-thermal cycle treatment reduced the bond strength of lithium disilicate glass ceramics in all groups (Pglass ceramics treated with HF had higher bond strength than that of the ceramics treated with PA. The lithium disilicate glass ceramics treated with 4% HF had higher bond strength than that of the ceramics treated with 9.5% HF (Pglass ceramics treated with 4

  17. Atomic state and characterization of nitrogen at the SiC/SiO2 interface

    International Nuclear Information System (INIS)

    Xu, Y.; Garfunkel, E. L.; Zhu, X.; Lee, H. D.; Xu, C.; Shubeita, S. M.; Gustafsson, T.; Ahyi, A. C.; Sharma, Y.; Williams, J. R.; Lu, W.; Ceesay, S.; Tuttle, B. R.; Pantelides, S. T.; Wan, A.; Feldman, L. C.

    2014-01-01

    We report on the concentration, chemical bonding, and etching behavior of N at the SiC(0001)/SiO 2 interface using photoemission, ion scattering, and computational modeling. For standard NO processing of a SiC MOSFET, a sub-monolayer of nitrogen is found in a thin inter-layer between the substrate and the gate oxide (SiO 2 ). Photoemission shows one main nitrogen related core-level peak with two broad, higher energy satellites. Comparison to theory indicates that the main peak is assigned to nitrogen bound with three silicon neighbors, with second nearest neighbors including carbon, nitrogen, and oxygen atoms. Surprisingly, N remains at the surface after the oxide was completely etched by a buffered HF solution. This is in striking contrast to the behavior of Si(100) undergoing the same etching process. We conclude that N is bound directly to the substrate SiC, or incorporated within the first layers of SiC, as opposed to bonding within the oxide network. These observations provide insights into the chemistry and function of N as an interface passivating additive in SiC MOSFETs

  18. In Situ AFM Study of Crystal Growth on a Barite (001 Surface in BaSO4 Solutions at 30 °C

    Directory of Open Access Journals (Sweden)

    Yoshihiro Kuwahara

    2016-11-01

    Full Text Available The growth behavior and kinetics of the barite (001 surface in supersaturated BaSO4 solutions (supersaturation index (SI = 1.1–4.1 at 30 °C were investigated using in situ atomic force microscopy (AFM. At the lowest supersaturation, the growth behavior was mainly the advancement of the initial step edges and filling in of the etch pits formed in the water before the BaSO4 solution was injected. For solutions with higher supersaturation, the growth behavior was characterized by the advance of the and [010] half-layer steps with two different advance rates and the formation of growth spirals with a rhombic to bow-shaped form and sector-shaped two-dimensional (2D nuclei. The advance rates of the initial steps and the two steps of 2D nuclei were proportional to the SI. In contrast, the advance rates of the parallel steps with extremely short step spacing on growth spirals were proportional to SI2, indicating that the lateral growth rates of growth spirals were directly proportional to the step separations. This dependence of the advance rate of every step on the growth spirals on the step separations predicts that the growth rates along the [001] direction of the growth spirals were proportional to SI2 for lower supersaturations and to SI for higher supersaturations. The nucleation and growth rates of the 2D nuclei increased sharply for higher supersaturations using exponential functions. Using these kinetic equations, we predicted a critical supersaturation (SI ≈ 4.3 at which the main growth mechanism of the (001 face would change from a spiral growth to a 2D nucleation growth mechanism: therefore, the morphology of bulk crystals would change.

  19. Light trapping of crystalline Si solar cells by use of nanocrystalline Si layer plus pyramidal texture

    Energy Technology Data Exchange (ETDEWEB)

    Imamura, Kentaro; Nonaka, Takaaki; Onitsuka, Yuya; Irishika, Daichi; Kobayashi, Hikaru, E-mail: h.kobayashi@sanken.osaka-u.ac.jp

    2017-02-15

    Highlights: • Ultralow reflectivity Si wafers with light trapping effect can be obtained by forming a nanocrystalline Si layer on pyramidal textured Si surfaces. • Surface passivation using phosphosilicate glass improved minority carrier lifetime of the nanocrystalline Si layer/Si structure. • A high photocurrent density of 40.1 mA/cm{sup 2}, and a high conversion efficiency of 18.5% were achieved. - Abstract: The surface structure chemical transfer (SSCT) method has been applied to fabrication of single crystalline Si solar cells with 170 μm thickness. The SSCT method, which simply involves immersion of Si wafers in H{sub 2}O{sub 2} plus HF solutions and contact of Pt catalyst with Si taking only ∼30 s for 6 in. wafers, can decrease the reflectivity to less than 3% by the formation of a nanocrystalline Si layer. However, the reflectivity of the nanocrystalline Si layer/flat Si surface/rear Ag electrode structure in the wavelength region longer than 1000 nm is high because of insufficient absorption of incident light. The reflectivity in the long wavelength region is greatly decreased by the formation of the nanocrystalline Si layer on pyramidal textured Si surfaces due to an increase in the optical path length. Deposition of phosphosilicate glass (PSG) on the nanocrystalline Si layer for formation of pn-junction does not change the ultralow reflectivity because the surface region of the nanocrystalline Si layer possesses a refractive index of 1.4 which is nearly the same as that of PSG of 1.4–1.5. The PSG layer is found to passivate the nanocrystalline Si layer, which is evident from an increase in the minority carrier lifetime from 12 to 44 μs. Hydrogen treatment at 450 °C further increases the minority carrier lifetime approximately to a doubled value. The solar cells with the Si layer/pyramidal Si substrate/boron-diffused back surface field/Ag rear electrode> structure show a high conversion efficiency of 18

  20. Effect of PECVD SiNx/SiOy Nx –Si interface property on surface passivation of silicon wafer

    International Nuclear Information System (INIS)

    Jia Xiao-Jie; Zhou Chun-Lan; Zhou Su; Wang Wen-Jing; Zhu Jun-Jie

    2016-01-01

    It is studied in this paper that the electrical characteristics of the interface between SiO y N x /SiN x stack and silicon wafer affect silicon surface passivation. The effects of precursor flow ratio and deposition temperature of the SiO y N x layer on interface parameters, such as interface state density Di t and fixed charge Q f , and the surface passivation quality of silicon are observed. Capacitance–voltage measurements reveal that inserting a thin SiO y N x layer between the SiN x and the silicon wafer can suppress Q f in the film and D it at the interface. The positive Q f and D it and a high surface recombination velocity in stacks are observed to increase with the introduced oxygen and minimal hydrogen in the SiO y N x film increasing. Prepared by deposition at a low temperature and a low ratio of N 2 O/SiH 4 flow rate, the SiO y N x /SiN x stacks result in a low effective surface recombination velocity (S eff ) of 6 cm/s on a p-type 1 Ω·cm–5 Ω·cm FZ silicon wafer. The positive relationship between S eff and D it suggests that the saturation of the interface defect is the main passivation mechanism although the field-effect passivation provided by the fixed charges also make a contribution to it. (paper)

  1. Grafting cavitands on the Si(100) surface.

    Science.gov (United States)

    Condorelli, Guglielmo G; Motta, Alessandro; Favazza, Maria; Fragalà, Ignazio L; Busi, Marco; Menozzi, Edoardo; Dalcanale, Enrico; Cristofolini, Luigi

    2006-12-19

    Cavitand molecules having double bond terminated alkyl chains and different bridging groups at the upper rim have been grafted on H-terminated Si(100) surface via photochemical hydrosilylation of the double bonds. Pure and mixed monolayers have been obtained from mesitylene solutions of either pure cavitand or cavitand/1-octene mixtures. Angle resolved high-resolution X-ray photoelectron spectroscopy has been used as the main tool for the monolayer characterization. The cavitand decorated surface consists of Si-C bonded layers with the upper rim at the top of the layer. Grafting of pure cavitands leads to not-well-packed layers, which are not able to efficiently passivate the Si(100) surface. By contrast, monolayers obtained from cavitand/1-octene mixtures consist of well-packed layers since they prevent silicon oxidation after aging. AFM measurements showed that these monolayers have a structured topography, with objects protruding from the Si(100) surface with average heights compatible with the expected ones for cavitand molecules.

  2. Vapor-phase hydrothermal transformation of HTiOF3 intermediates into {001} faceted anatase single-crystalline nanosheets.

    Science.gov (United States)

    Liu, Porun; Wang, Yun; Zhang, Haimin; An, Taicheng; Yang, Huagui; Tang, Zhiyong; Cai, Weiping; Zhao, Huijun

    2012-12-07

    For the first time, a facile, one-pot hydrofluoric acid vapor-phase hydrothermal (HF-VPH) method is demonstrated to directly grow single-crystalline anatase TiO(2) nanosheets with 98.2% of exposed {001} faceted surfaces on the Ti substrate via a distinctive two-stage formation mechanism. The first stage produces a new intermediate crystal (orthorhombic HTiOF(3) ) that is transformed into anatase TiO(2) nanosheets during the second stage. The findings reveal that the HF-VPH reaction environment is unique and differs remarkably from that of liquid-phase hydrothermal processes. The uniqueness of the HF-VPH conditions can be readily used to effectively control the nanostructure growth. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. QAPP for Hydraulic Fracturing (HF) Surface Spills Data Analysis

    Science.gov (United States)

    This QAPP provides information concerning the analysis of spills associated with hydraulic fracturing. This project is relevant to both the chemical mixing and flowback and produced water stages of the HF water cycle as found in the HF Study Plan.

  4. Evidence for Kinetic Limitations as a Controlling Factor of Ge Pyramid Formation: a Study of Structural Features of Ge/Si(001) Wetting Layer Formed by Ge Deposition at Room Temperature Followed by Annealing at 600 °C.

    Science.gov (United States)

    Storozhevykh, Mikhail S; Arapkina, Larisa V; Yuryev, Vladimir A

    2015-12-01

    The article presents an experimental study of an issue of whether the formation of arrays of Ge quantum dots on the Si(001) surface is an equilibrium process or it is kinetically controlled. We deposited Ge on Si(001) at the room temperature and explored crystallization of the disordered Ge film as a result of annealing at 600 °C. The experiment has demonstrated that the Ge/Si(001) film formed in the conditions of an isolated system consists of the standard patched wetting layer and large droplike clusters of Ge rather than of huts or domes which appear when a film is grown in a flux of Ge atoms arriving on its surface. We conclude that the growth of the pyramids appearing at temperatures greater than 600 °C is controlled by kinetics rather than thermodynamic equilibrium whereas the wetting layer is an equilibrium structure. Primary 68.37.Ef; 68.55.Ac; 68.65.Hb; 81.07.Ta; 81.16.Dn.

  5. Enhancement of electroluminescence from embedded Si quantum dots/SiO2multilayers film by localized-surface-plasmon and surface roughening.

    Science.gov (United States)

    Li, Wei; Wang, Shaolei; Hu, Mingyue; He, Sufeng; Ge, Pengpeng; Wang, Jing; Guo, Yan Yan; Zhaowei, Liu

    2015-07-03

    In this paper, we prepared a novel structure to enhance the electroluminescence intensity from Si quantum dots/SiO2multilayers. An amorphous Si/SiO2 multilayer film was fabricated by plasma-enhanced chemical vapor deposition on a Pt nanoparticle (NP)-coated Si nanopillar array substrate. By thermal annealing, an embedded Si quantum dot (QDs)/SiO2 multilayer film was obtained. The result shows that electroluminescence intensity was significantly enhanced. And, the turn-on voltage of the luminescent device was reduced to 3 V. The enhancement of the light emission is due to the resonance coupling between the localized-surface-plasmon (LSP) of Pt NPs and the band-gap emission of Si QDs/SiO2 multilayers. The other factors were the improved absorption of excitation light and the increase of light extraction ratio by surface roughening structures. These excellent characteristics are promising for silicon-based light-emitting applications.

  6. (001) 3C SiC/Ni contact interface: In situ XPS observation of annealing induced Ni{sub 2}Si formation and the resulting barrier height changes

    Energy Technology Data Exchange (ETDEWEB)

    Tengeler, Sven, E-mail: stengeler@surface.tu-darmstadt.de [Institute of Material Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); Univ. Grenoble Alpes, CNRS, LMGP, F-38000 Grenoble (France); Kaiser, Bernhard [Institute of Material Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); Chaussende, Didier [Univ. Grenoble Alpes, CNRS, LMGP, F-38000 Grenoble (France); Jaegermann, Wolfram [Institute of Material Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany)

    2017-04-01

    Highlights: • Schottky behavior (Φ{sub B} = 0.41 eV) and Fermi level pining were found pre annealing. • Ni{sub 2}Si formation was confirmed for 5 min at 850 °C. • 3C/Ni{sub 2}Si Fermi level alignment is responsible for ohmic contact behavior. • Wet chemical etching (Si–OH/C–H termination) does not impair Ni{sub 2}Si formation. - Abstract: The electronic states of the (001) 3C SiC/Ni interface prior and post annealing are investigated via an in situ XPS interface experiment, allowing direct observation of the induced band bending and the transformation from Schottky to ohmic behaviour for the first time. A single domain (001) 3C SiC sample was prepared via wet chemical etching. Nickel was deposited on the sample in multiple in situ deposition steps via RF sputtering, allowing observation of the 3C SiC/Ni interface formation. Over the course of the experiments, an upward band bending of 0.35 eV was observed, along with defect induced Fermi level pinning. This indicates a Schottky type contact behaviour with a barrier height of 0.41 eV. The subsequent annealing at 850 °C for 5 min resulted in the formation of a Ni{sub 2}Si layer and a reversal of the band bending to 0.06 eV downward. Thus explaining the ohmic contact behaviour frequently reported for annealed n-type 3C SiC/Ni contacts.

  7. On the Evaluation of Gate Dielectrics for 4H-SiC Based Power MOSFETs

    Directory of Open Access Journals (Sweden)

    Muhammad Nawaz

    2015-01-01

    Full Text Available This work deals with the assessment of gate dielectric for 4H-SiC MOSFETs using technology based two-dimensional numerical computer simulations. Results are studied for variety of gate dielectric candidates with varying thicknesses using well-known Fowler-Nordheim tunneling model. Compared to conventional SiO2 as a gate dielectric for 4H-SiC MOSFETs, high-k gate dielectric such as HfO2 reduces significantly the amount of electric field in the gate dielectric with equal gate dielectric thickness and hence the overall gate current density. High-k gate dielectric further reduces the shift in the threshold voltage with varying dielectric thicknesses, thus leading to better process margin and stable device operating behavior. For fixed dielectric thickness, a total shift in the threshold voltage of about 2.5 V has been observed with increasing dielectric constant from SiO2 (k=3.9 to HfO2 (k=25. This further results in higher transconductance of the device with the increase of the dielectric constant from SiO2 to HfO2. Furthermore, 4H-SiC MOSFETs are found to be more sensitive to the shift in the threshold voltage with conventional SiO2 as gate dielectric than high-k dielectric with the presence of interface state charge density that is typically observed at the interface of dielectric and 4H-SiC MOS surface.

  8. Super-hydrophobic surfaces of SiO₂-coated SiC nanowires: fabrication, mechanism and ultraviolet-durable super-hydrophobicity.

    Science.gov (United States)

    Zhao, Jian; Li, Zhenjiang; Zhang, Meng; Meng, Alan

    2015-04-15

    The interest in highly water-repellent surfaces of SiO2-coated SiC nanowires has grown in recent years due to the desire for self-cleaning and anticorrosive surfaces. It is imperative that a simple chemical treatment with fluoroalkylsilane (FAS, CF3(CF2)7CH2CH2Si(OC2H5)3) in ethanol solution at room temperature resulted in super-hydrophobic surfaces of SiO2-coated SiC nanowires. The static water contact angle of SiO2-coated SiC nanowires surfaces was changed from 0° to 153° and the morphology, microstructure and crystal phase of the products were almost no transformation before and after super-hydrophobic treatment. Moreover, a mechanism was expounded reasonably, which could elucidate the reasons for their super-hydrophobic behavior. It is important that the super-hydrophobic surfaces of SiO2-coated SiC nanowires possessed ultraviolet-durable (UV-durable) super-hydrophobicity. Copyright © 2014 Elsevier Inc. All rights reserved.

  9. Cubic Gallium Nitride on Micropatterned Si (001) for Longer Wavelength LEDs

    Energy Technology Data Exchange (ETDEWEB)

    Durniak, Mark T. [Rensselaer Polytechnic Inst., Troy, NY (United States). Dept. of Materials Science and Engineering; Chaudhuri, Anabil [Univ. of New Mexico, Albuquerque, NM (United States). Center for High Technology Materials; Smith, Michael L. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Advanced Material Sciences; Allerman, Andrew A. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Advanced Material Sciences; Lee, S. C. [Univ. of New Mexico, Albuquerque, NM (United States). Center for High Technology Materials; Brueck, S. R. J. [Univ. of New Mexico, Albuquerque, NM (United States). Center for High Technology Materials; Wetzel, Christian [Rensselaer Polytechnic Inst., Troy, NY (United States). Dept. of Physics, Applied Physics, and Astronomy and Dept. of Materials Science and Engineering

    2016-03-01

    GaInN/GaN heterostructures of cubic phase have the potential to overcome the limitations of wurtzite structures commonly used for light emitting and laser diodes. Wurtzite GaInN suffers from large internal polarization fields, which force design compromises ( 0001 ) towards ultra-narrow quantum wells and reduce recombination volume and efficiency. Cubic GaInN microstripes grown at Rensselaer Polytechnic Institute by metal organic vapor phase epitaxy on micropatterned Si , with {111} v-grooves oriented along Si ( 001 ) , offer a system free of internal polarization fields, wider quantum wells, and smaller <00$\\bar1$> bandgap energy. We prepared 6 and 9 nm Ga x In 1-x N/GaN single quantum well structures with peak wavelength ranges from 520 to 570 nm with photons predominately polarized perpendicular to the grooves. We estimate a cubic InN composition range of 0 < x < 0.5 and an upper limit of the internal quantum efficiency of 50%. Stripe geometry and polarization may be suitable for mode confinement and reduced threshold stimulated emission.

  10. Modulating the Surface State of SiC to Control Carrier Transport in Graphene/SiC.

    Science.gov (United States)

    Jia, Yuping; Sun, Xiaojuan; Shi, Zhiming; Jiang, Ke; Liu, Henan; Ben, Jianwei; Li, Dabing

    2018-05-28

    Silicon carbide (SiC) with epitaxial graphene (EG/SiC) shows a great potential in the applications of electronic and photoelectric devices. The performance of devices is primarily dependent on the interfacial heterojunction between graphene and SiC. Here, the band structure of the EG/SiC heterojunction is experimentally investigated by Kelvin probe force microscopy. The dependence of the barrier height at the EG/SiC heterojunction to the initial surface state of SiC is revealed. Both the barrier height and band bending tendency of the heterojunction can be modulated by controlling the surface state of SiC, leading to the tuned carrier transport behavior at the EG/SiC interface. The barrier height at the EG/SiC(000-1) interface is almost ten times that of the EG/SiC(0001) interface. As a result, the amount of carrier transport at the EG/SiC(000-1) interface is about ten times that of the EG/SiC(0001) interface. These results offer insights into the carrier transport behavior at the EG/SiC heterojunction by controlling the initial surface state of SiC, and this strategy can be extended in all devices with graphene as the top layer. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Atomic layer deposition of crystalline SrHfO{sub 3} directly on Ge (001) for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Hu, Chengqing; Jiang, Aiting; Yu, Edward T. [Microelectronics Research Center, The University of Texas at Austin, Austin, Texas 78758 (United States); Lu, Sirong; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-02-07

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO{sub 3} (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10{sup −5} A/cm{sup 2} at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D{sub it}) is estimated to be as low as ∼2 × 10{sup 12 }cm{sup −2 }eV{sup −1} under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D{sub it} value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications.

  12. Passivation of hexagonal SiC surfaces by hydrogen termination

    International Nuclear Information System (INIS)

    Seyller, Thomas

    2004-01-01

    Surface hydrogenation is a well established technique in silicon technology. It is easily accomplished by wet-chemical procedures and results in clean and unreconstructed surfaces, which are extremely low in charged surface states and stable against oxidation in air, thus constituting an ideal surface preparation. As a consequence, methods for hydrogenation have been sought for preparing silicon carbide (SiC) surfaces with similar well defined properties. It was soon recognized, however, that due to different surface chemistry new ground had to be broken in order to find a method leading to the desired monatomic hydrogen saturation. In this paper the results of H passivation of SiC surfaces by high-temperature hydrogen annealing will be discussed, thereby placing emphasis on chemical, structural and electronic properties of the resulting surfaces. In addition to their unique properties, hydrogenated hexagonal SiC {0001} surfaces offer the interesting possibility of gaining insight into the formation of silicon- and carbon-rich reconstructions as well. This is due to the fact that to date hydrogenation is the only method providing oxygen-free surfaces with a C to Si ratio of 1:1. Last but not least, the electronic properties of hydrogen-free SiC {0001} surfaces will be alluded to. SiC {0001} surfaces are the only known semiconductor surfaces that can be prepared in their unreconstructed (1 x 1) state with one dangling bond per unit cell by photon induced hydrogen desorption. These surfaces give indications of a Mott-Hubbard surface band structure

  13. Nonlinear Amplitude Evolution During Spontaneous Patterning of Ion-Bombarded Si(001)

    International Nuclear Information System (INIS)

    Chason, Eric; Erlebacher, Jonah; Aziz, Michael J.; Floro, Jerold A.; Sinclair, Michael B.

    1999-01-01

    The time evolution of the amplitude of periodic nanoscale ripple patterns formed on Ar+ sputtered Si(OOl ) surfaces was examined using a recently developed in situ spectroscopic technique. At sufficiently long times, we find that the amplitude does not continue to grow exponentially as predicted by the standard Bradley-Harper sputter rippling model. In accounting for this discrepancy, we rule out effects related to the concentration of mobile species, high surface curvature, surface energy anisotropy, and ion-surface interactions. We observe that for all wavelengths the amplitude ceases to grow when the width of the topmost terrace of the ripples is reduced to approximately 25 nm. This observation suggests that a short circuit relaxation mechanism limits amplitude . growth. A strategy for influencing the ultimate ripple amplitude is discussed

  14. Surface, interface and thin film characterization of nano-materials using synchrotron radiation

    International Nuclear Information System (INIS)

    Kimura, Shigeru; Kobayashi, Keisuke

    2005-01-01

    From the results of studies in the nanotechnology support project of the Ministry of Education, Culture, Sports, Science and Technology of Japan, several investigations on the surface, interface and thin film characterization of nano-materials are described; (1) the MgB 2 thin film by X-ray diffraction, (2) the magnetism of the Pt thin film on a Co film by X-ray magnetic circular dichroism measurement, (3) the structure and physical properties of oxygen molecules absorbed in a micro hole of the cheleted polymer crystal by the direct observation in X-ray powder diffraction, and (4) the thin film gate insulator with a large dielectric constant, thermally treated HfO 2 /SiO 2 /Si, by X-ray photoelectron spectroscopy. (M.H.)

  15. Preparation of crosslinked polysiloxane/SiO2 nanocomposite via in-situ condensation and its surface modification on cotton fabrics

    Science.gov (United States)

    Hao, Lifen; Gao, Tingting; Xu, Wei; Wang, Xuechuan; Yang, Shuqin; Liu, Xiangguo

    2016-05-01

    Novel crosslinked polysiloxane/SiO2 nanocomposite (CLPS-SiO2) was successfully prepared via the in-situ condensation reaction of silica sols and crosslinked polysiloxane with end-capped triethoxysilane in solvent, which was firstly fabricated through the modification of our previously developed crosslinked polysiloxane with end-capped epoxy groups using aminopropyltriethoxysilane (APTES) and noted as APTES-CLPS. Chemical structures and thermal properties of the as-prepared resultants were characterized by Fourier transform infrared spectroscopy (FTIR), nuclear magnetic resonance spectra (1H/13C NMR) and thermogravimetric analysis (TGA). CLPS-SiO2 was applied as surface modification agent to treat cotton fabrics. Film morphologies and surface properties were examined with scanning electron microscopy (SEM), atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), contact angle measurements, and other instruments. FTIR and NMR confirmed structure of the products. CLPS-SiO2 showed better thermal stability than APTES-CLPS due to anchor of the nanosilica. APTES-CLPS could deposit a smooth film on cotton fiber surface. Besides, CLPS-SiO2 also coated the fibers with many nano-scaled tubercles beneath this smooth film by SEM. However, the APTES-CLPS film and the CLPS-SiO2 film on silicon-wafer were never homogeneous and had a few low or high peaks. The root mean square roughness (Rq) of APTES-CLPS film reached to 0.441 nm in 2 × 2 μm2 scanning field and at 5 nm data scale. Owing to the incorporation of nanosilica, that of CLPS-SiO2 film continuously increased and could attain 4.528 nm in 2 × 2 μm2 scanning field and at 20 nm data scale. XPS analysis further demonstrates that there was a CLPS-SiO2 film covered on the cotton surface and the silyl groups had the tendency to enrich at the film-air interface. In addition, hydrophobicity of the CLPS-SiO2 treated fabric would be enhanced with augment of the amount of nanocomposite. Water contact angle of this

  16. Effect of Mica and Hematite (001 Surfaces on the Precipitation of Calcite

    Directory of Open Access Journals (Sweden)

    Huifang Xu

    2018-01-01

    Full Text Available The substrate effect of mica and hematite on the nucleation and crystallization of calcite was investigated using scanning electron microscope (SEM, X-ray diffraction (XRD, and electron backscatter diffraction (EBSD methods. On mica, we found, in the absence of Mg2+, the substrates’ (001 surfaces with hexagonal and pseudo-hexagonal two-dimensional (2-D structure can affect the orientation of calcite nucleation with calcite (001 ~// mica (001 and calcite (010 ~// mica (010 to be the major interfacial relationship. On hematite, we did not observe frequent twinning relationship between adjacent calcite gains, but often saw preferentially nucleation of calcite at surface steps on hematite substrate. We suggest that calcite crystals initially nucleate from the Ca2+ layers adsorbed on the surfaces. The pseudo-hexagonal symmetry on mica (001 surface also leads to the observed calcite (001 twinning. A second and less common orientation between calcite {104} and mica (001 was detected but could be due to local structure damage of the mica surface. Results in the presence of Mg2+ show that the substrate surfaces can weaken Mg toxicity to calcite nucleation and lead to a higher level of Mg incorporation into calcite lattice.

  17. Near-surface and bulk behavior of Ag in SiC

    International Nuclear Information System (INIS)

    Xiao, H.Y.; Zhang, Y.; Snead, L.L.; Shutthanandan, V.; Xue, H.Z.; Weber, W.J.

    2012-01-01

    Highlights: ► Ag release from SiC poses problems in safe operation of nuclear reactors. ► Near-surface and bulk behavior of Ag are studied by ab initio and ion beam methods. ► Ag prefers to adsorb on the surface rather than in the bulk SiC. ► At high temperature Ag desorbs from the surface instead of diffusion into bulk SiC. ► Surface diffusion may be a dominating mechanism accounting for Ag release from SiC. - Abstract: The diffusive release of fission products, such as Ag, from TRISO particles at high temperatures has raised concerns regarding safe and economic operation of advanced nuclear reactors. Understanding the mechanisms of Ag diffusion is thus of crucial importance for effective retention of fission products. Two mechanisms, i.e., grain boundary diffusion and vapor or surface diffusion through macroscopic structures such as nano-pores or nano-cracks, remain in debate. In the present work, an integrated computational and experimental study of the near-surface and bulk behavior of Ag in silicon carbide (SiC) has been carried out. The ab initio calculations show that Ag prefers to adsorb on the SiC surface rather than in the bulk, and the mobility of Ag on the surface is high. The energy barrier for Ag desorption from the surface is calculated to be 0.85–1.68 eV, and Ag migration into bulk SiC through equilibrium diffusion process is not favorable. Experimentally, Ag ions are implanted into SiC to produce Ag profiles buried in the bulk and peaked at the surface. High-temperature annealing leads to Ag release from the surface region instead of diffusion into the interior of SiC. It is suggested that surface diffusion through mechanical structural imperfection, such as vapor transport through cracks in SiC coatings, may be a dominating mechanism accounting for Ag release from the SiC in the nuclear reactor.

  18. Effect of structural evolution of ZnO/HfO2 nanocrystals on Eu2+/Eu3+ emission in glass-ceramic waveguides for photonic applications.

    Science.gov (United States)

    Ghosh, Subhabrata; Bhaktha B N, Shivakiran

    2018-06-01

    Eu-doped 70SiO 2 -23HfO 2 -7ZnO (mol%) glass-ceramic waveguides have been fabricated by sol-gel method as a function of heat-treatment temperatures for on-chip blue-light emitting source applications. Structural evolution of spherical ZnO and spherical as well as rod-like HfO 2 nanocrystalline structures have been observed with heat-treatments at different temperatures. Initially, in the as-prepared samples at 900 ◦ C, both, Eu 2+ as well as Eu 3+ ions are found to be present in the ternary matrix. With controlled heat-treatments of up to 1000 ◦ C for 2 h, local environment of Eu-ions become more crystalline in nature and the reduction of Eu 3+ to Eu 2+ takes place in such ZnO/HfO 2 crystalline environments. In these ternary glass-ceramic waveguides, heat-treated at higher temperatures, the blue-light emission characteristic, which is the signature of 4f 6 5d [Formula: see text] 4f 7 energy level transition of Eu 2+ ions is found to be greatly enhanced. The as-prepared glass-ceramic waveguides exhibit a propagation loss of 0.4 ± 0.2 dB cm -1 at 632.8 nm. Though the propagation losses increase with the growth of nanocrystals, the added functionalities achieved in the optimally heat-treated Eu-doped 70SiO 2 -23HfO 2 -7ZnO (mol%) waveguides, make them a viable functional optical material for the fabrication of on-chip blue-light emitting sources for integrated optic applications.

  19. Effect of structural evolution of ZnO/HfO2 nanocrystals on Eu2+/Eu3+ emission in glass-ceramic waveguides for photonic applications

    Science.gov (United States)

    Ghosh, Subhabrata; N, Shivakiran Bhaktha B.

    2018-06-01

    Eu-doped 70SiO2–23HfO2–7ZnO (mol%) glass-ceramic waveguides have been fabricated by sol-gel method as a function of heat-treatment temperatures for on-chip blue-light emitting source applications. Structural evolution of spherical ZnO and spherical as well as rod-like HfO2 nanocrystalline structures have been observed with heat-treatments at different temperatures. Initially, in the as-prepared samples at 900 ◦C, both, Eu2+ as well as Eu3+ ions are found to be present in the ternary matrix. With controlled heat-treatments of up to 1000 ◦C for 2 h, local environment of Eu-ions become more crystalline in nature and the reduction of Eu3+ to Eu2+ takes place in such ZnO/HfO2 crystalline environments. In these ternary glass-ceramic waveguides, heat-treated at higher temperatures, the blue-light emission characteristic, which is the signature of 4f 65d \\to 4f 7 energy level transition of Eu2+ ions is found to be greatly enhanced. The as-prepared glass-ceramic waveguides exhibit a propagation loss of 0.4 ± 0.2 dB cm‑1 at 632.8 nm. Though the propagation losses increase with the growth of nanocrystals, the added functionalities achieved in the optimally heat-treated Eu-doped 70SiO2–23HfO2–7ZnO (mol%) waveguides, make them a viable functional optical material for the fabrication of on-chip blue-light emitting sources for integrated optic applications.

  20. Phase and Microstructural Correlation of Spark Plasma Sintered HfB2-ZrB2 Based Ultra-High Temperature Ceramic Composites

    Directory of Open Access Journals (Sweden)

    Ambreen Nisar

    2017-07-01

    Full Text Available The refractory diborides (HfB2 and ZrB2 are considered as promising ultra-high temperature ceramic (UHTCs where low damage tolerance limits their application for the thermal protection system in re-entry vehicles. In this regard, SiC and CNT have been synergistically added as the sintering aids and toughening agents in the spark plasma sintered (SPS HfB2-ZrB2 system. Herein, a novel equimolar composition of HfB2 and ZrB2 has shown to form a solid-solution which then allows compositional tailoring of mechanical properties (such as hardness, elastic modulus, and fracture toughness. The hardness of the processed composite is higher than the individual phase hardness up to 1.5 times, insinuating the synergy of SiC and CNT reinforcement in HfB2-ZrB2 composites. The enhanced fracture toughness of CNT reinforced composite (up to a 196% increment surpassing that of the parent materials (ZrB2/HfB2-SiC is attributed to the synergy of solid solution formation and enhanced densification (~99.5%. In addition, the reduction in the analytically quantified interfacial residual tensile stress with SiC and CNT reinforcements contribute to the enhancement in the fracture toughness of HfB2-ZrB2-SiC-CNT composites, mandatory for aerospace applications.

  1. Roles of Ag in fabricating Si nanowires by the electroless chemical etching technique

    International Nuclear Information System (INIS)

    Wan, X.; Wang, Q. K.; Wangyang, P. H.; Tao, H.

    2012-01-01

    Silicon wafers coated with a film of Ag pattern are used for investigating roles of Ag in the fabrication of silicon nanowire arrays (SiNWs) by the electroless chemical etching technique. The diameter of SiNWs grown in the mixed AgNO 3 /HF solution ranges from 20 to 250 nm. A growth mechanism for such obtained SiNWs is proposed and further experimentally verified. As a comparison as well as to better understand this chemical process, another popular topic on growing SiNWs in the H 2 O 2 /HF solution is also studied. Originating from different chemical reaction mechanisms, Ag film could protect the underneath Si in the AgNO 3 /HF solution and it could, on the contrary, accelerate etching of the underneath Si in the H 2 O 2 /HF solution.

  2. Impact of organic overlayers on a-Si:H/c-Si surface potential

    KAUST Repository

    Seif, Johannes P.

    2017-04-11

    Bilayers of intrinsic and doped hydrogenated amorphous silicon, deposited on crystalline silicon (c-Si) surfaces, simultaneously provide contact passivation and carrier collection in silicon heterojunction solar cells. Recently, we have shown that the presence of overlaying transparent conductive oxides can significantly affect the c-Si surface potential induced by these amorphous silicon stacks. Specifically, deposition on the hole-collecting bilayers can result in an undesired weakening of contact passivation, thereby lowering the achievable fill factor in a finished device. We test here a variety of organic semiconductors of different doping levels, overlaying hydrogenated amorphous silicon layers and silicon-based hole collectors, to mitigate this effect. We find that these materials enhance the c-Si surface potential, leading to increased implied fill factors. This opens opportunities for improved device performance.

  3. Impact of organic overlayers on a-Si:H/c-Si surface potential

    KAUST Repository

    Seif, Johannes P.; Niesen, Bjoern; Tomasi, Andrea; Ballif, Christophe; De Wolf, Stefaan

    2017-01-01

    Bilayers of intrinsic and doped hydrogenated amorphous silicon, deposited on crystalline silicon (c-Si) surfaces, simultaneously provide contact passivation and carrier collection in silicon heterojunction solar cells. Recently, we have shown that the presence of overlaying transparent conductive oxides can significantly affect the c-Si surface potential induced by these amorphous silicon stacks. Specifically, deposition on the hole-collecting bilayers can result in an undesired weakening of contact passivation, thereby lowering the achievable fill factor in a finished device. We test here a variety of organic semiconductors of different doping levels, overlaying hydrogenated amorphous silicon layers and silicon-based hole collectors, to mitigate this effect. We find that these materials enhance the c-Si surface potential, leading to increased implied fill factors. This opens opportunities for improved device performance.

  4. Tribological Properties of Aluminum Alloy treated by Fine Particle Peening/DLC Hybrid Surface Modification

    Directory of Open Access Journals (Sweden)

    Nanbu H.

    2010-06-01

    Full Text Available In order to improve the adhesiveness of the DLC coating, Fine Particle Peening (FPP treatment was employed as pre-treatment of the DLC coating process. FPP treatment was performed using SiC shot particles, and then AA6061-T6 aluminum alloy was DLC-coated. A SiC-rich layer was formed around the surface of the aluminum alloy by the FPP treatment because small chips of shot particles were embedded into the substrate surface. Reciprocating sliding tests were conducted to measure the friction coefficients. While the DLC coated specimen without FPP treatment showed a sudden increase in friction coefficient at the early stage of the wear cycles, the FPP/DLC hybrid treated specimen maintained a low friction coefficient value during the test period. Further investigation revealed that the tribological properties of the substrate after the DLC coating were improved with an increase in the amount of Si at the surface.

  5. Observation of band bending of metal/high-k Si capacitor with high energy x-ray photoemission spectroscopy and its application to interface dipole measurement

    Science.gov (United States)

    Kakushima, K.; Okamoto, K.; Tachi, K.; Song, J.; Sato, S.; Kawanago, T.; Tsutsui, K.; Sugii, N.; Ahmet, P.; Hattori, T.; Iwai, H.

    2008-11-01

    Band bendings of Si substrates have been observed using hard x-ray photoemission spectroscopy. With a capability of collecting photoelectrons generated as deep as 40 nm, the binding energy shift in a core level caused by the potential profile at the surface of the substrate results in a spectrum broadening. The broadening is found to be significant when heavily doped substrates are used owing to its steep potential profile. The surface potential of the substrate can be obtained by deconvolution of the spectrum. This method has been applied to observe the band bending profile of metal-oxide-semiconductor capacitors with high-k gate dielectrics. By comparing the band bending profiles of heavily-doped n+- and p+-Si substrates, the interface dipoles presented at interfaces can be estimated. In the case of W gated La2O3/La-silicate capacitor, an interface dipole to shift the potential of -0.45 V has been estimated at La-silicate/Si interface, which effectively reduces the apparent work function of W. On the other hand, an interface dipole of 0.03-0.07 V has been found to exist at Hf-silicate/SiO2 interface for W gated HfO2/Hf-silicate/SiO2 capacitor.

  6. Study of Si wafer surfaces irradiated by gas cluster ion beams

    International Nuclear Information System (INIS)

    Isogai, H.; Toyoda, E.; Senda, T.; Izunome, K.; Kashima, K.; Toyoda, N.; Yamada, I.

    2007-01-01

    The surface structures of Si (1 0 0) wafers subjected to gas cluster ion beam (GCIB) irradiation have been analyzed by cross-sectional transmission electron microscopy (XTEM) and atomic force microscopy (AFM). GCIB irradiation is a promising technique for both precise surface etching and planarization of Si wafers. However, it is very important to understand the crystalline structure of Si wafers after GCIB irradiation. An Ar-GCIB used for the physically sputtering of Si atoms and a SF 6 -GCIB used for the chemical etching of the Si surface are also analyzed. The GCIB irradiation increases the surface roughness of the wafers, and amorphous Si layers are formed on the wafer surface. However, when the Si wafers are annealed in hydrogen at a high temperature after the GCIB irradiation, the surface roughness decreases to the same level as that before the irradiation. Moreover, the amorphous Si layers disappear completely

  7. In-situ X-ray photoelectron spectroscopy characterization of Si interlayer based surface passivation process for AlGaAs/GaAs quantum wire transistors

    Energy Technology Data Exchange (ETDEWEB)

    Akazawa, Masamichi; Hasegawa, Hideki; Jia, Rui [Research Center for Integrated Quantum Electronics and Graduate School of Information Science and Technology, Hokkaido University, N-13, W-8, Sapporo 060-8628 (Japan)

    2007-04-15

    Detailed properties of the Si interface control layer (Si ICL)-based surface passivation structure are characterized by in-situ X-ray photoelectron spectroscopy (XPS) in an ultra-high vacuum multi-chamber system. Si ICLs were grown by molecular beam epitaxy (MBE) on GaAs and AlGaAs(001) and (111)B surfaces, and were partially converted to SiN{sub x} by nitrogen radical beam. Freshly MBE-grown clean GaAs and AlGaAs surfaces showed strong Fermi level pinning. Large shifts of the surface Fermi level position corresponding to reduction of pinning took place after Si ICL growth, particularly on (111)B surface (around 500 meV). However, subsequent surface nitridation increased pinning again. Then, a significant reduction of pinning was obtained by changing SiN{sub x} to silicon oxynitride by intentional air-exposure and subsequent annealing. This has led to realization of a stable passivation structure with an ultrathin oxynitride/Si ICL structure which prevented subcutaneous oxidation during further device processing under air-exposure. The Si-ICL-based passivation process was applied to surface passivation of quantum wire (QWR) transistors where anomalously large side-gating phenomenon was completely eliminated. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Research Progress of Optical Fabrication and Surface-Microstructure Modification of SiC

    Directory of Open Access Journals (Sweden)

    Fang Jiang

    2012-01-01

    Full Text Available SiC has become the best candidate material for space mirror and optical devices due to a series of favorable physical and chemical properties. Fine surface optical quality with the surface roughness (RMS less than 1 nm is necessary for fine optical application. However, various defects are present in SiC ceramics, and it is very difficult to polish SiC ceramic matrix with the 1 nm RMS. Surface modification of SiC ceramics must be done on the SiC substrate. Four kinds of surface-modification routes including the hot pressed glass, the C/SiC clapping, SiC clapping, and Si clapping on SiC surface have been reported and reviewed here. The methods of surface modification, the mechanism of preparation, and the disadvantages and advantages are focused on in this paper. In our view, PVD Si is the best choice for surface modification of SiC mirror.

  9. Ultrafast switching in wetting properties of TiO2/YSZ/Si(001) epitaxial heterostructures induced by laser irradiation

    International Nuclear Information System (INIS)

    Bayati, M. R.; Molaei, R.; Narayan, J.; Joshi, S.; Narayan, R. J.

    2013-01-01

    We have demonstrated dark hydrophilicity of single crystalline rutile TiO 2 (100) thin films, in which rapid switching from a hydrophobic to a hydrophilic surface was achieved using nanosecond excimer laser irradiation. The TiO 2 /YSZ/Si(001) single crystalline heterostructures were grown by pulsed laser deposition and were subsequently irradiated by a single pulse of a KrF excimer laser at several energies. The wettability of water on the surfaces of the samples was evaluated. The samples were hydrophobic prior to laser annealing and turned hydrophilic after laser annealing. Superhydrophilic surfaces were obtained at higher laser energy densities (e.g., 0.32 J.cm −2 ). The stoichiometries of the surface regions of the samples before and after laser annealing were examined using XPS. The results revealed the formation of oxygen vacancies on the surface, which are surmised to be responsible for the observed superhydrophilic behavior. According to the AFM images, surface smoothening was greater in films that were annealed at higher laser energy densities. The samples exhibited hydrophobic behavior after being placed in ambient atmosphere. The origin of laser induced wetting behavior was qualitatively understood to stem from an increase of point defects near the surface, which lowered the film/water interfacial energy. This type of rapid hydrophobic/hydrophilic switching may be used to facilitate fabrication of electronic and photonic devices with novel properties.

  10. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati

    2014-03-14

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery capacity at a current density of 150 mAg -1 after 100 cycles is 548 and 853 mAhg-1 for the uncoated and HfO2-coated anodes, respectively. Material analysis reveals that the HfO2 layers are amorphous in nature and conformably coat the SnO2-based anodes. In addition, the analysis reveals that ALD HfO2 not only protects the SnO2-based anodes from irreversible reactions with the electrolyte and buffers its volume change, but also chemically interacts with the SnO2 anodes to increase battery capacity, despite the fact that HfO2 is itself electrochemically inactive. The amorphous nature of HfO2 is an important factor in explaining its behavior, as it still allows sufficient Li diffusion for an efficient anode lithiation/delithiation process to occur, leading to higher battery capacity. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Reaction paths of phosphine dissociation on silicon (001)

    International Nuclear Information System (INIS)

    Warschkow, O.; McKenzie, D. R.; Curson, N. J.; Schofield, S. R.; Marks, N. A.; Wilson, H. F.; Radny, M. W.; Smith, P. V.; Reusch, T. C. G.; Simmons, M. Y.

    2016-01-01

    Using density functional theory and guided by extensive scanning tunneling microscopy (STM) image data, we formulate a detailed mechanism for the dissociation of phosphine (PH 3 ) molecules on the Si(001) surface at room temperature. We distinguish between a main sequence of dissociation that involves PH 2 +H, PH+2H, and P+3H as observable intermediates, and a secondary sequence that gives rise to PH+H, P+2H, and isolated phosphorus adatoms. The latter sequence arises because PH 2 fragments are surprisingly mobile on Si(001) and can diffuse away from the third hydrogen atom that makes up the PH 3 stoichiometry. Our calculated activation energies describe the competition between diffusion and dissociation pathways and hence provide a comprehensive model for the numerous adsorbate species observed in STM experiments

  12. Effect of three porcelain etchants type (HF-APF-PHA on porcelain- composite shear bond strength

    Directory of Open Access Journals (Sweden)

    Kermanshah H.

    2005-05-01

    Full Text Available Statement of Problem: Porcelain restorations are susceptible to fracture and a common method for repairing is the use of silane and composite on etched porcelain. Although HF is very effective in porcelain etching but has detrimental effects on tissues. Purpose: In this study, the effect of APF and PHA was compared with HF in porcelain etching. Also the role of silane, unfilled resin and dentin bonding in bond strength of composite- porcelain was evaluated. Methods and Materials: In this experimental in-vitro study, one-hundred twenty porcelain square blocks (552 mm were prepared and bonding surfaces of each sandblasted. Samples were divided into three groups. The first group (n=40 were etched with buffered HF 9.5% (Ultradent for 1 min., the second group (n=40 were etched with Iranian APF 1.23% (Kimia for 10 minutes and the third group (n=40 were etched with Iranian PHA 37% (Kimia for 1 min. Ultradent silane was applied on the surfaces of half of cases in each group. On the surfaces of half of silane-treated samples unfilled resin was applied and dentin bonding was used on the surfaces of the remaining. Samples without silane were treated in a similar manner. Composite cylinder with 4mm diameter and 2 mm height was bonded to porcelain. Specimens were stored in 37°C distilled water for 24 hours and subjected to 500 cycles. Shear bond strength was measured with an Instron machine and type of fracture was evaluated using a stereomicroscope. Results were analyzed using 3 way ANOVA, Kaplan- Maier and Tukey HSD tests. Results: Findings showed that PHA and APF roughened the porcelain surface without creating retentive micro undercuts but HF etches porcelain and creates retentive microundercuts. Ultradent silane had no significant effect on bond strength of porcelain- composite. Unfilled resin with Ultradent silane compared with dentin bonding with the same silane is more effective in bond strength of composite- porcelain. Conclusion: Based on

  13. Characterizing the surface circulation in the Ebro Delta using a HF radar data-model approach

    Science.gov (United States)

    Lorente Jimenez, Pablo; Piedracoba Varela, Silvia; Soto-Navarro, Javier; Garcia-Sotillo, Marcos; Alvarez Fanjul, Enrique

    2016-04-01

    One year-long (2014) quality-controlled current observations from a CODAR SeaSonde High Frequency (HF) radar network deployed in the Ebro Delta (northwestern Mediterranean) were combined with operational products provided by a regional ocean forecasting system named IBI (Iberia-Biscay-Ireland) in order to comprehensively portray the ocean state and its variability. First, accurate HF radar data were used as benchmark for the rigorous validation of IBI performance by means of the computation of skill metrics and quality indicators. The analysis of the monthly averaged current maps for 2014 showed that IBI properly captured the prevailing dynamic features of the coastal circulation observed by the HF radar, according to the resemblance of circulation patterns and the eddy kinetic energy spatial distribution. The model skill assessment was completed with an exploration of dominant modes of variability both in time and space. The EOF analysis confirmed that the modeled surface current field evolved in space and time according to three significantly dominant modes of variability which accounted for the 49.2% of the total variance, in close agreement with the results obtained for the HF radar (46.1%). The response of the subtidal surface current field to prevalent wind regimes in the study area was examined in terms of induced circulation structures by performing a conditional averaging approach. This data-model synergistic approach has proved to be valid to operationally monitor and describe the complex coastal circulation in Ebro Delta despite the observed model drawbacks in terms of reduced energy content in surface currents and some inaccuracies in the wind-driven low frequency response. This integrated methodology constitutes a powerful tool for improving operational ocean forecasting systems at European level within the frame of the Copernicus Marine Environment Monitoring Service (CMEMS). It also facilitates high-stakes decision-making for coastal management and

  14. Spot profile analysis and lifetime mapping in ultrafast electron diffraction: Lattice excitation of self-organized Ge nanostructures on Si(001

    Directory of Open Access Journals (Sweden)

    T. Frigge

    2015-05-01

    Full Text Available Ultrafast high energy electron diffraction in reflection geometry is employed to study the structural dynamics of self-organized Germanium hut-, dome-, and relaxed clusters on Si(001 upon femtosecond laser excitation. Utilizing the difference in size and strain state the response of hut- and dome clusters can be distinguished by a transient spot profile analysis. Surface diffraction from {105}-type facets provide exclusive information on hut clusters. A pixel-by-pixel analysis of the dynamics of the entire diffraction pattern gives time constants of 40, 160, and 390 ps, which are assigned to the cooling time constants for hut-, dome-, and relaxed clusters.

  15. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    Science.gov (United States)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  16. Influence of substrate treatment on the growth of advanced core–shell alloys and compounds of FeSi@SiO2 and SiO2 nanowires

    CSIR Research Space (South Africa)

    Thabethe, S

    2014-12-01

    Full Text Available Advanced core–shell FeSi@SiO(subx) nanowires are observed when FeCl(sub3) vapour is made to flow over a SiO(sub2)/Si substrate at 1100 degress C. The thickness of the SiO(subx) sheath (d0) is found to depend inversely as the period of time of HF...

  17. Restoring defect structures in 3C-SiC/Si (001) from spherical aberration-corrected high-resolution transmission electron microscope images by means of deconvolution processing.

    Science.gov (United States)

    Wen, C; Wan, W; Li, F H; Tang, D

    2015-04-01

    The [110] cross-sectional samples of 3C-SiC/Si (001) were observed with a spherical aberration-corrected 300 kV high-resolution transmission electron microscope. Two images taken not close to the Scherzer focus condition and not representing the projected structures intuitively were utilized for performing the deconvolution. The principle and procedure of image deconvolution and atomic sort recognition are summarized. The defect structure restoration together with the recognition of Si and C atoms from the experimental images has been illustrated. The structure maps of an intrinsic stacking fault in the area of SiC, and of Lomer and 60° shuffle dislocations at the interface have been obtained at atomic level. Copyright © 2015 Elsevier Ltd. All rights reserved.

  18. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    Science.gov (United States)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  19. Semisolid casting with ultrasonically melt-treated billets of Al-7mass%Si alloys

    Directory of Open Access Journals (Sweden)

    Yoshiki Tsunekawa

    2012-02-01

    Full Text Available The demand for high performance cast aluminum alloy components is often disturbed by increasing impurity elements, such as iron accumulated from recycled scraps. It is strongly required that coarse plate-like iron compound of モ-Al5FeSi turns into harmless form without the need for applying refining additives or expensive virgin ingots. The microstructural modification of Al-7mass%Si alloy billets with different iron contents was examined by applying ultrasonic vibration during the solidification. Ultrasonically melt-treated billets were thixocast right after induction heating up to the semisolid temperature of 583 ìC, the microstructure and tensile properties were evaluated in the thixocast components. Globular primary メ-Al is required to fill up a thin cavity in thixocasting, so that the microstructural modification by ultrasonic melt-treatment was firstly confirmed in the billets. With ultrasonic melt-treatment in the temperature range of 630 ìC to 605 ìC, the primary メ-Al transforms itself from dendrite into fine globular in morphology. The coarse plate-like モ-Al5FeSi compound becomes markedly finer compared with those in non-treated billets. Semisolid soaking up to 583 ìC, does not appreciably affect the size of モ-Al5FeSi compounds; however, it affects the solid primary メ-Al morphology to be more globular, which is convenient for thixocasting. After thixocasting with preheated billets, eutectic silicon plates are extremely refined due to the rapid solidification arising from low casting temperature. The tensile strength of thixocast samples with different iron contents does not change much even at 2mass% of iron, when thixocast with ultrasonically melt-treated billets. However, thixocast Al-7mass%Si-2mass%Fe alloy with non-treated billets exhibits an inferior strength of 80 MPa, compared with 180 MPa with ultrasonically melt-treated billets. The elongation is also improved by about a factor of two in thixocastings with

  20. LPCVD homoepitaxy of Si doped β-Ga2O3 thin films on (010) and (001) substrates

    Science.gov (United States)

    Rafique, Subrina; Karim, Md Rezaul; Johnson, Jared M.; Hwang, Jinwoo; Zhao, Hongping

    2018-01-01

    This paper presents the homoepitaxy of Si-doped β-Ga2O3 thin films on semi-insulating (010) and (001) Ga2O3 substrates via low pressure chemical vapor deposition with a growth rate of ≥1 μm/h. Both high resolution scanning transmission electron microscopy and X-ray diffraction measurements demonstrated high crystalline quality homoepitaxial growth of these thin films. Atomic resolution STEM images of the as-grown β-Ga2O3 thin films on (010) and (001) substrates show high quality material without extended defects or dislocations. The charge carrier transport properties of the as-grown Si-doped β-Ga2O3 thin films were characterized by the temperature dependent Hall measurement using van der Pauw patterns. The room temperature carrier concentrations achieved for the (010) and (001) homoepitaxial thin films were ˜1.2 × 1018 cm-3 and ˜9.5 × 1017 cm-3 with mobilities of ˜72 cm2/V s and ˜42 cm2/V s, respectively.

  1. Bottom-Up Nano-heteroepitaxy of Wafer-Scale Semipolar GaN on (001) Si

    KAUST Repository

    Hus, Jui Wei

    2015-07-15

    Semipolar {101¯1} InGaN quantum wells are grown on (001) Si substrates with an Al-free buffer and wafer-scale uniformity. The novel structure is achieved by a bottom-up nano-heteroepitaxy employing self-organized ZnO nanorods as the strain-relieving layer. This ZnO nanostructure unlocks the problems encountered by the conventional AlN-based buffer, which grows slowly and contaminates the growth chamber. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Enhanced resistive switching and multilevel behavior in bilayered HfAlO/HfAlO{sub x} structures for non-volatile memory applications

    Energy Technology Data Exchange (ETDEWEB)

    Faita, F. L., E-mail: fabriciofaita@gmail.com [Centre of Physics, University of Minho, Campus de Gualtar, 4710-057 Braga (Portugal); Departamento de Física, Universidade Federal de Santa Catarina, Campus Trindade, 88040-900 Florianópolis, SC (Brazil); Silva, J. P. B., E-mail: josesilva@fisica.uminho.pt [Centre of Physics, University of Minho, Campus de Gualtar, 4710-057 Braga (Portugal); IFIMUP and IN-Institute of Nanoscience and Nanotechnology, Departamento de Física e Astronomia, Faculdade de Ciências da Universidade do Porto, 4169-007 Porto (Portugal); Pereira, M.; Gomes, M. J. M. [Centre of Physics, University of Minho, Campus de Gualtar, 4710-057 Braga (Portugal)

    2015-12-14

    In this work, hafnium aluminum oxide (HfAlO) thin films were deposited by ion beam sputtering deposition technique on Si substrate. The presence of oxygen vacancies in the HfAlO{sub x} layer deposited in oxygen deficient environment is evidenced from the photoluminescence spectra. Furthermore, HfAlO(oxygen rich)/HfAlO{sub x}(oxygen poor) bilayer structures exhibit multilevel resistive switching (RS), and the switching ratio becomes more prominent with increasing the HfAlO layer thickness. The bilayer structure with HfAlO/HfAlO{sub x} thickness of 30/40 nm displays the enhanced multilevel resistive switching characteristics, where the high resistance state/intermediate resistance state (IRS) and IRS/low resistance state resistance ratios are ≈10{sup 2} and ≈5 × 10{sup 5}, respectively. The switching mechanisms in the bilayer structures were investigated by the temperature dependence of the three resistance states. This study revealed that the multilevel RS is attributed to the coupling of ionic conduction and the metallic conduction, being the first associated to the formation and rupture of conductive filaments related to oxygen vacancies and the second with the formation of a metallic filament. Moreover, the bilayer structures exhibit good endurance and stability in time.

  3. On the growth of native oxides on hydrogen-terminated silicon surfaces in dark and under illumination with light

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Zinine, A.; Bankras, R.G.; Wormeester, Herbert; Poelsema, Bene; Schmitz, Jurriaan

    2006-01-01

    After a cleaning procedure, a silicon surface can be terminated by Si-OH groups which results in a high chemical activity. As it is accepted, after removing the wet-chemically grown oxide layer using an HF solution, the surface becomes terminated with Si-H groups. This results in a chemically stable

  4. Structural investigation of the ZnSe(001)-c(2×2) surface

    DEFF Research Database (Denmark)

    Weigand, W.; Müller, A.; Kilian, L.

    2003-01-01

    Zinc selenide is a model system for II-VI compound semiconductors. The geometric structure of the clean (001)-c(2x2) surface has recently been the subject of intense debate. We report here a surface x-ray-diffraction study on the ZnSe(001)-c(2x2) surface performed under ultrahigh vacuum using...

  5. Study of radiation damage restoration and antimony ions redistribution in Si(1 0 0) and Si(1 1 1) crystals

    CERN Document Server

    Labbani, R; Chafi, Z

    2002-01-01

    In this work, we study the radiation damage restoration and antimony ions redistribution into and oriented silicon substrates. The samples are implanted with antimony to a dose of 5x10 sup 1 sup 4 Sb sup + cm sup - sup 2 at 60 keV energy, then annealed under oxygen atmosphere at 900 deg. C, 30 min. The thin layer of SiO sub 2 (which is formed on Si surface by dry oxidation and expected to prevent any loss of Sb sup + dopant during Si recovery) is removed by a 10% HF solution. The specimens are analyzed by H sup + Rutherford Backscattering Spectrometry operating at 0.3 MeV energy in both random and channelling modes. The values of the projected range, R sub p , the standard deviation, DELTA R sub p , and the dose of antimony ions, which are estimated with a simple program, are in agreement with tabulated ones. It is also shown that the surface damage restoration is better for Si(1 0 0) samples than for Si(1 1 1) ones, in other words, the radiation damage is more significant in Si(1 1 1) substrates. Moreover,...

  6. Surface hardening of 30CrMnSiA steel using continuous electron beam

    Science.gov (United States)

    Fu, Yulei; Hu, Jing; Shen, Xianfeng; Wang, Yingying; Zhao, Wansheng

    2017-11-01

    30CrMnSiA high strength low alloy (HSLA) carbon structural steel is typically applied in equipment manufacturing and aerospace industries. In this work, the effects of continuous electron beam treatment on the surface hardening and microstructure modifications of 30CrMnSiA are investigated experimentally via a multi-purpose electron beam machine Pro-beam system. Micro hardness value in the electron beam treated area shows a double to triple increase, from 208 HV0.2 on the base metal to 520 HV0.2 on the irradiated area, while the surface roughness is relatively unchanged. Surface hardening parameters and mechanisms are clarified by investigation of the microstructural modification and the phase transformation both pre and post irradiation. The base metal is composed of ferrite and troostite. After continuous electron beam irradiation, the micro structure of the electron beam hardened area is composed of acicular lower bainite, feathered upper bainite and part of lath martensite. The optimal input energy density for 30CrMnSiA steel in this study is of 2.5 kJ/cm2 to attain the proper hardened depth and peak hardness without the surface quality deterioration. When the input irradiation energy exceeds 2.5 kJ/cm2 the convective mixing of the melted zone will become dominant. In the area with convective mixing, the cooling rate is relatively lower, thus the micro hardness is lower. The surface quality will deteriorate. Chemical composition and surface roughness pre and post electron beam treatment are also compared. The technology discussed give a picture of the potential of electron beam surface treatment for improving service life and reliability of the 30CrMnSiA steel.

  7. Surface-site-selective study of valence electronic states of a clean Si(111)-7x7 surface using Si L23VV Auger electron and Si 2p photoelectron coincidence measurements

    International Nuclear Information System (INIS)

    Kakiuchi, Takuhiro; Tahara, Masashi; Nagaoka, Shin-ichi; Hashimoto, Shogo; Fujita, Narihiko; Tanaka, Masatoshi; Mase, Kazuhiko

    2011-01-01

    Valence electronic states of a clean Si(111)-7x7 surface are investigated in a surface-site-selective way using high-resolution coincidence measurements of Si pVV Auger electrons and Si 2p photoelectrons. The Si L 23 VV Auger electron spectra measured in coincidence with energy-selected Si 2p photoelectrons show that the valence band at the highest density of states in the vicinity of the rest atoms is shifted by ∼0.95 eV toward the Fermi level (E F ) relative to that in the vicinity of the pedestal atoms (atoms directly bonded to the adatoms). The valence-band maximum in the vicinity of the rest atoms, on the other hand, is shown to be shifted by ∼0.53 eV toward E F relative to that in the vicinity of the pedestal atoms. The Si 2p photoelectron spectra of Si(111)-7x7 measured in coincidence with energy-selected Si L 23 VV Auger electrons identify the topmost surface components, and suggest that the dimers and the rest atoms are negatively charged while the pedestal atoms are positively charged. Furthermore, the Si 2p-Si L 23 VV photoelectron Auger coincidence spectroscopy directly verifies that the adatom Si 2p component (usually denoted by C 3 ) is correlated with the surface state just below E F (usually denoted by S 1 ), as has been observed in previous angle-resolved photoelectron spectroscopy studies.

  8. A study of the mechanisms causing surface defects on sidewalls during Si etching for TSV (through Si via)

    International Nuclear Information System (INIS)

    Choi, Jae Woong; Loh, Woon Leng; Praveen, Sampath Kumar; Murphy, Ramana; Swee, Eugene Tan Kiat

    2013-01-01

    In this paper we report three mechanisms causing surface defects on Si sidewalls during Si etching for TSV. The first mechanism causing surface defects was a downward surface-defect formation due to the participation of the residual polymerizing gas in the transition periods between passivation steps and etch steps. The second mechanism was an upward surface-defect formation due to etchant attacking the interface between the Si and the sidewall polymer. Although the sidewall polymer was thick enough to protect the Si surface, it was not possible to avoid surface defects if the etch step was not switched to the following passivation step in time. The third mechanism was a sponge-like surface-defect formation caused by either poor polymer depositions or voids inside the sidewall polymer. The sponge-like surface defects were formed by Si isotropic etching through the weak points of the sidewall polymer. All three surface defects were considered as the major factors on TSV integration and packaging reliability issues. (paper)

  9. Reaction paths of phosphine dissociation on silicon (001)

    Energy Technology Data Exchange (ETDEWEB)

    Warschkow, O.; McKenzie, D. R. [Centre for Quantum Computation and Communication Technology, School of Physics, The University of Sydney, Sydney, NSW 2006 (Australia); Curson, N. J. [Centre for Quantum Computation and Communication Technology, School of Physics, The University of New South Wales, Sydney, NSW 2052 (Australia); London Centre for Nanotechnology and Department of Electronic and Electrical Engineering, University College London, 17-19 Gordon Street, London WC1H 0AH (United Kingdom); Schofield, S. R. [Centre for Quantum Computation and Communication Technology, School of Physics, The University of New South Wales, Sydney, NSW 2052 (Australia); London Centre for Nanotechnology and Department of Physics and Astronomy, University College, 17-19 Gordon Street, London WC1H 0AH (United Kingdom); Marks, N. A. [Centre for Quantum Computation and Communication Technology, School of Physics, The University of Sydney, Sydney, NSW 2006 (Australia); Discipline of Physics & Astronomy, Curtin University, GPO Box U1987, Perth, WA (Australia); Wilson, H. F. [Centre for Quantum Computation and Communication Technology, School of Physics, The University of Sydney, Sydney, NSW 2006 (Australia); CSIRO Virtual Nanoscience Laboratory, Parkville, VIC 3052 (Australia); School of Applied Sciences, RMIT University, Melbourne, VIC 3000 (Australia); Radny, M. W.; Smith, P. V. [School of Mathematical and Physical Sciences, The University of Newcastle, Callaghan, NSW 2308 (Australia); Reusch, T. C. G.; Simmons, M. Y. [Centre for Quantum Computation and Communication Technology, School of Physics, The University of New South Wales, Sydney, NSW 2052 (Australia)

    2016-01-07

    Using density functional theory and guided by extensive scanning tunneling microscopy (STM) image data, we formulate a detailed mechanism for the dissociation of phosphine (PH{sub 3}) molecules on the Si(001) surface at room temperature. We distinguish between a main sequence of dissociation that involves PH{sub 2}+H, PH+2H, and P+3H as observable intermediates, and a secondary sequence that gives rise to PH+H, P+2H, and isolated phosphorus adatoms. The latter sequence arises because PH{sub 2} fragments are surprisingly mobile on Si(001) and can diffuse away from the third hydrogen atom that makes up the PH{sub 3} stoichiometry. Our calculated activation energies describe the competition between diffusion and dissociation pathways and hence provide a comprehensive model for the numerous adsorbate species observed in STM experiments.

  10. Study of bulk Hafnium oxide (HfO2) under compression

    Science.gov (United States)

    Pathak, Santanu; Mandal, Guruprasad; Das, Parnika

    2018-04-01

    Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.

  11. Solvothermal synthesis of TiO2 nanocrystals with {001} facets using titanic acid nanobelts for superior photocatalytic activity

    Science.gov (United States)

    Cao, Yuhui; Zong, Lanlan; Li, Qiuye; Li, Chen; Li, Junli; Yang, Jianjun

    2017-01-01

    Anatase TiO2 nanocrystals exposed with {001} facets were fabricated by solvothermal strategy in HF-C4H9OH mixed solution, using titanic acid nanobelts (TAN) as a precursor. The shape of TAN is a long flat plane with a high aspect ratio, and F- is easily adsorbed on the surface of the nanobelts, inducing a higher exposure of {001} facet of TiO2 nanoparticles during the structure reorganization. The exposed percentage of {001} facets could vary from 40 to 77% by adjusting the amount of HF. The as-prepared samples were characterized by transmission electron microscopy, N2 adsorption-desorption isotherms, X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscope. The photocatalytic measurement showed that TiO2 nanocrystals with 77% {001} facets exhibited much superior photocatalytic activity for photodegradation of methyl orange, methylene blue, and rhodamine B. And what's more, the mineralization rate of methyl orange was as high as 96% within 60 min. The photocatalytic enhancement is due to a large amount of the high energetic {001} facets exposing, the special truncated octahedral morphology and a stronger ability for dyes adsorption.

  12. Texturization of diamond-wire-sawn multicrystalline silicon wafer using Cu, Ag, or Ag/Cu as a metal catalyst

    Science.gov (United States)

    Wang, Shing-Dar; Chen, Ting-Wei

    2018-06-01

    In this work, Cu, Ag, or Ag/Cu was used as a metal catalyst to study the surface texturization of diamond-wire-sawn (DWS) multi-crystalline silicon (mc-Si) wafer by a metal-assisted chemical etching (MACE) method. The DWS wafer was first etched by standard HF-HNO3 acidic etching, and it was labeled as AE-DWS wafer. The effects of ratios of Cu(NO3)2:HF, AgNO3:HF, and AgNO3:Cu(NO3)2 on the morphology of AE-DWS wafer were investigated. After the process of MACE, the wafer was treated with a NaF/H2O2 solution. In this process, H2O2 etched the nanostructure, and NaF removed the oxidation layer. The Si {1 1 1} plane was revealed by etching the wafer in a mixture of 0.03 M Cu(NO3)2 and 1 M HF at 55 °C for 2.5 min. These parallel Si {1 1 1} planes replaced some parallel saw marks on the surface of AE-DWS wafers without forming a positive pyramid or an inverted pyramid structure. The main topography of the wafer is comprised of silicon nanowires grown in direction when Ag or Ag/Cu was used as a metal catalyst. When silicon is etched in a mixed solution of Cu(NO3)2, AgNO3, HF and H2O2 at 55 °C with a concentration ratio of [Cu2+]/[Ag+] of 50 or at 65 °C with a concentration ratio of [Cu2+]/[Ag+] of 33, a quasi-inverted pyramid structure can be obtained. The reflectivity of the AE-DWS wafers treated with MACE is lower than that of the multiwire-slurry-sawn (MWSS) mc-Si wafers treated with traditional HF + HNO3 etching.

  13. Blending of Radial HF Radar Surface Current and Model Using ETKF Scheme For The Sunda Strait

    Science.gov (United States)

    Mujiasih, Subekti; Riyadi, Mochammad; Wandono, Dr; Wayan Suardana, I.; Nyoman Gede Wiryajaya, I.; Nyoman Suarsa, I.; Hartanto, Dwi; Barth, Alexander; Beckers, Jean-Marie

    2017-04-01

    Preliminary study of data blending of surface current for Sunda Strait-Indonesia has been done using the analysis scheme of the Ensemble Transform Kalman Filter (ETKF). The method is utilized to combine radial velocity from HF Radar and u and v component of velocity from Global Copernicus - Marine environment monitoring service (CMEMS) model. The initial ensemble is based on the time variability of the CMEMS model result. Data tested are from 2 CODAR Seasonde radar sites in Sunda Strait and 2 dates such as 09 September 2013 and 08 February 2016 at 12.00 UTC. The radial HF Radar data has a hourly temporal resolution, 20-60 km of spatial range, 3 km of range resolution, 5 degree of angular resolution and spatial resolution and 11.5-14 MHz of frequency range. The u and v component of the model velocity represents a daily mean with 1/12 degree spatial resolution. The radial data from one HF radar site is analyzed and the result compared to the equivalent radial velocity from CMEMS for the second HF radar site. Error checking is calculated by root mean squared error (RMSE). Calculation of ensemble analysis and ensemble mean is using Sangoma software package. The tested R which represents observation error covariance matrix, is a diagonal matrix with diagonal elements equal 0.05, 0.5 or 1.0 m2/s2. The initial ensemble members comes from a model simulation spanning a month (September 2013 or February 2016), one year (2013) or 4 years (2013-2016). The spatial distribution of the radial current are analyzed and the RMSE values obtained from independent HF radar station are optimized. It was verified that the analysis reproduces well the structure included in the analyzed HF radar data. More importantly, the analysis was also improved relative to the second independent HF radar site. RMSE of the improved analysis is better than first HF Radar site Analysis. The best result of the blending exercise was obtained for observation error variance equal to 0.05 m2/s2. This study is

  14. Structural rearrangements in the C/W(001) surface system

    International Nuclear Information System (INIS)

    Lyman, P.F.; Mullins, D.R.

    1995-01-01

    We have investigated the surface structure of the C/W(001) surface system at submonolayer C coverages using Auger-electron spectroscopy and high-resolution core-level photoelectron spectroscopy. Core-level spectroscopy is a sensitive probe of an atom's local electronic environment; by examining the core levels of the W atoms in the selvedge region, we monitored the response of the substrate to C adsorption. The average shift of the 4f core-level binding energy provided evidence for a heretofore unknown surface reconstruction that occurs upon submonolayer C adsorption. We also performed line-shape analysis on these core-level spectra, and have thereby elucidated the mechanism by which the low-coverage (√2 x √2 )R45 degree structure evolves to a c(3 √2 x √2 )R45 degree arrangement upon further C adsorption. The line-shape analysis also provides corroborating evidence for a proposed model of the saturated C/W(001)-(5x1) surface structure, and suggests that the first two or three atomic W layers are perturbed by the C adsorption and attendant reconstruction

  15. Carbon surface diffusion and SiC nanocluster self-ordering

    International Nuclear Information System (INIS)

    Pezoldt, J.; Trushin, Yu.V.; Kharlamov, V.S.; Schmidt, A.A.; Cimalla, V.; Ambacher, O.

    2006-01-01

    The process of the spatial ordering of SiC nanoclusters on the step edges on Si surfaces was studied by means of multi-scale computer simulation. The evolution of cluster arrays on an ideal flat surface and surfaces with terraces of various widths was performed by kinetic Monte Carlo (KMC) simulations based on quantitative studies of potential energy surfaces (PES) by molecular dynamics (MD). PES analysis revealed that certain types of steps act as strong trapping centres for both Si and C adatoms stimulating clusters nucleation. Spatial ordering of the SiC nanoclusters at the terrace edges can be achieved if the parameters of the growth process (substrate temperature, carbon flux) and substrate (steps direction and terrace widths) are adjusted to the surface morphology. Temperature ranges for growth regimes with and without formation of cluster chains were determined. Cluster size distributions and the dependence of optimal terrace width for self ordering on the deposition parameters were obtained

  16. Influence of Si wafer thinning processes on (sub)surface defects

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Fumihiro, E-mail: fumihiro.inoue@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Jourdain, Anne; Peng, Lan; Phommahaxay, Alain; De Vos, Joeri; Rebibis, Kenneth June; Miller, Andy; Sleeckx, Erik; Beyne, Eric [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2017-05-15

    Highlights: • Mono-vacancy free Si-thinning can be accomplished by combining several thinning techniques. • The grinding damage needs to be removed prior to dry etching, otherwise vacancies remain in the Si at a depth around 0.5 to 2 μm after Si wafer thickness below 5 μm. • The surface of grinding + CMP + dry etching is equivalent mono vacancy level as that of grinding + CMP. - Abstract: Wafer-to-wafer three-dimensional (3D) integration with minimal Si thickness can produce interacting multiple devices with significantly scaled vertical interconnections. Realizing such a thin 3D structure, however, depends critically on the surface and subsurface of the remaining backside Si after the thinning processes. The Si (sub)surface after mechanical grinding has already been characterized fruitfully for a range of few dozen of μm. Here, we expand the characterization of Si (sub)surface to 5 μm thickness after thinning process on dielectric bonded wafers. The subsurface defects and damage layer were investigated after grinding, chemical mechanical polishing (CMP), wet etching and plasma dry etching. The (sub)surface defects were characterized using transmission microscopy, atomic force microscopy, and positron annihilation spectroscopy. Although grinding provides the fastest removal rate of Si, the surface roughness was not compatible with subsequent processing. Furthermore, mechanical damage such as dislocations and amorphous Si cannot be reduced regardless of Si thickness and thin wafer handling systems. The CMP after grinding showed excellent performance to remove this grinding damage, even though the removal amount is 1 μm. For the case of Si thinning towards 5 μm using grinding and CMP, the (sub)surface is atomic scale of roughness without vacancy. For the case of grinding + dry etch, vacancy defects were detected in subsurface around 0.5–2 μm. The finished surface after wet etch remains in the nm scale in the strain region. By inserting a CMP step in

  17. Self-assembly of nanosize coordination cages on si(100) surfaces.

    Science.gov (United States)

    Busi, Marco; Laurenti, Marco; Condorelli, Guglielmo G; Motta, Alessandro; Favazza, Maria; Fragalà, Ignazio L; Montalti, Marco; Prodi, Luca; Dalcanale, Enrico

    2007-01-01

    Bottom-up fabrication of 3D organic nanostructures on Si(100) surfaces has been achieved by a two-step procedure. Tetradentate cavitand 1 was grafted on the Si surface together with 1-octene (Oct) as a spatial spectator by photochemical hydrosilylation. Ligand exchange between grafted cavitand 1 and self-assembled homocage 2, derived from cavitand 5 bearing a fluorescence marker, led to the formation of coordination cages on Si(100). Formation, quantification, and distribution of the nanoscale molecular containers on a silicon surface was assessed by using three complementary analytical techniques (AFM, XPS, and fluorescence) and validated by control experiments on cavitand-free silicon surfaces. Interestingly, the fluorescence of pyrene at approximately 4 nm above the Si(100) surface can be clearly observed.

  18. Synthesis of Au nanotubes with SiOx nanowires as sacrificial templates

    International Nuclear Information System (INIS)

    Lu, M.Y.; Chang, Y.C.; Chen, L.J.

    2006-01-01

    Gold nanotubes with SiO x nanowires as sacrificial templates have been synthesized. SiO x nanowires were functionalized by 3-aminopropyl trimethoxysilane that generates a charged surface. The attachment of negatively charged Au nanoparticles was followed. The coverage of Au nanoparticles was initially less than 30%. Further coverage was achieved by the reduction of gold hydroxide to grow the continuous nanoshell on Au nanoparticles, which serve as nucleation sites. The final coverage of Au nanoshells on SiO x nanowires depends strongly on the relative amount of SiO x nanowires in gold hydroxide solution. Both transmission electron microscope and scanning electron microscope images revealed the formation of Au nanotubes with the removal of SiO x nanowires by etching in a dilute HF solution

  19. Lowering the density of electronic defects on organic-functionalized Si(100) surfaces

    International Nuclear Information System (INIS)

    Peng, Weina; DeBenedetti, William J. I.; Kim, Seonjae; Chabal, Yves J.; Hines, Melissa A.

    2014-01-01

    The electrical quality of functionalized, oxide-free silicon surfaces is critical for chemical sensing, photovoltaics, and molecular electronics applications. In contrast to Si/SiO 2 interfaces, the density of interface states (D it ) cannot be reduced by high temperature annealing because organic layers decompose above 300 °C. While a reasonable D it is achieved on functionalized atomically flat Si(111) surfaces, it has been challenging to develop successful chemical treatments for the technologically relevant Si(100) surfaces. We demonstrate here that recent advances in the chemical preparation of quasi-atomically-flat, H-terminated Si(100) surfaces lead to a marked suppression of electronic states of functionalized surfaces. Using a non-invasive conductance-voltage method to study functionalized Si(100) surfaces with varying roughness, a D it as low as 2.5 × 10 11  cm −2 eV −1 is obtained for the quasi-atomically-flat surfaces, in contrast to >7 × 10 11  cm −2 eV −1 on atomically rough Si(100) surfaces. The interfacial quality of the organic/quasi-atomically-flat Si(100) interface is very close to that obtained on organic/atomically flat Si(111) surfaces, opening the door to applications previously thought to be restricted to Si(111)

  20. Surface passivation by Al2O3 and a-SiNx: H films deposited on wet-chemically conditioned Si surfaces

    NARCIS (Netherlands)

    Bordihn, S.; Mertens, V.; Engelhart, P.; Kersten, K.; Mandoc, M.M.; Müller, J.W.; Kessels, W.M.M.

    2012-01-01

    The surface passivation of p- and n-type silicon by different chemically grown SiO2 films (prepared by HNO3, H2SO4/H2O2 and HCl/H2O2 treatments) was investigated after PECVD of a-SiNx:H and ALD of Al2O3 capping films. The wet chemically grown SiO2 films were compared to thermally grown SiO2 and the

  1. Surface Characterization, Corrosion Resistance and in Vitro Biocompatibility of a New Ti-Hf-Mo-Sn Alloy

    Science.gov (United States)

    Ion, Raluca; Drob, Silviu Iulian; Ijaz, Muhammad Farzik; Vasilescu, Cora; Osiceanu, Petre; Gordin, Doina-Margareta; Cimpean, Anisoara; Gloriant, Thierry

    2016-01-01

    A new superelastic Ti-23Hf-3Mo-4Sn biomedical alloy displaying a particularly large recovery strain was synthesized and characterized in this study. Its native passive film is very thick (18 nm) and contains very protective TiO2, Ti2O3, HfO2, MoO2, and SnO2 oxides (XPS analysis). This alloy revealed nobler electrochemical behavior, more favorable values of the corrosion parameters and open circuit potentials in simulated body fluid in comparison with commercially pure titanium (CP-Ti) and Ti-6Al-4V alloy taken as reference biomaterials in this study. This is due to the favorable influence of the alloying elements Hf, Sn, Mo, which enhance the protective properties of the native passive film on alloy surface. Impedance spectra showed a passive film with two layers, an inner, capacitive, barrier, dense layer and an outer, less insulating, porous layer that confer both high corrosion resistance and bioactivity to the alloy. In vitro tests were carried out in order to evaluate the response of Human Umbilical Vein Endothelial Cells (HUVECs) to Ti-23Hf-3Mo-4Sn alloy in terms of cell viability, cell proliferation, phenotypic marker expression and nitric oxide release. The results indicate a similar level of cytocompatibility with HUVEC cells cultured on Ti-23Hf-3Mo-4Sn substrate and those cultured on the conventional CP-Ti and Ti-6Al-4V metallic materials. PMID:28773939

  2. Surface tension and density of Si-Ge melts

    Science.gov (United States)

    Ricci, Enrica; Amore, Stefano; Giuranno, Donatella; Novakovic, Rada; Tuissi, Ausonio; Sobczak, Natalia; Nowak, Rafal; Korpala, Bartłomiej; Bruzda, Grzegorz

    2014-06-01

    In this work, the surface tension and density of Si-Ge liquid alloys were determined by the pendant drop method. Over the range of measurements, both properties show a linear temperature dependence and a nonlinear concentration dependence. Indeed, the density decreases with increasing silicon content exhibiting positive deviation from ideality, while the surface tension increases and deviates negatively with respect to the ideal solution model. Taking into account the Si-Ge phase diagram, a simple lens type, the surface tension behavior of the Si-Ge liquid alloys was analyzed in the framework of the Quasi-Chemical Approximation for the Regular Solutions model. The new experimental results were compared with a few data available in the literature, obtained by the containerless method.

  3. Experimental surface charge density of the Si (100)-2x1H surface

    DEFF Research Database (Denmark)

    Ciston, J.; Marks, L.D.; Feidenhans'l, R.

    2006-01-01

    We report a three-dimensional charge density refinement from x-ray diffraction intensities of the Si (100) 2x1H surface. By paying careful attention to parameterizing the bulk Si bonding, we are able to locate the hydrogen atoms at the surface, which could not be done previously. In addition, we...

  4. First-principles study on half-metallic zinc-blende CrS and its (001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Bin, E-mail: hnsqxb@163.com [Department of Mathematics and Information Sciences, North China university of Water Resources and Electric Power, Zhengzhou 450011 (China); Chen, Leiming [Zhengzhou Institute of Aeronautical Industry Management, Zhengzhou, 450015 (China)

    2016-11-01

    Half-metallic magnets with complete (100%) spin polarization have attracted growing interest due to the potential in spintronic applications. In this paper, we use the first-principles calculations to explain the seeming contradiction between the recent experimental ferromagnetism (Demper et al., 2012 [22]) and the previous theoretical antiferromagnetic ground state for half-metallic zinc-blende CrS, and the experimental ferromagnetism of zinc-blende CrS arises from the substrate effect. We also show that both Cr- and S-terminated (001) surfaces of CrS preserve the bulk half-metallicity. The calculated surface energy indicates that the S-terminated (001) surface is more stable than the Cr-terminated (001) surface within the whole effective Cr chemical potentials, and thus the S-terminated (001) surface is more likely than the Cr-terminated (001) surface when the CrS thin films are grown on ZnSe substrate.

  5. Gene expression profiles in BCL11B-siRNA treated malignant T cells

    Directory of Open Access Journals (Sweden)

    Grabarczyk Piotr

    2011-05-01

    Full Text Available Abstract Background Downregulation of the B-cell chronic lymphocytic leukemia (CLL/lymphoma11B (BCL11B gene by small interfering RNA (siRNA leads to growth inhibition and apoptosis of the human T-cell acute lymphoblastic leukemia (T-ALL cell line Molt-4. To further characterize the molecular mechanism, a global gene expression profile of BCL11B-siRNA -treated Molt-4 cells was established. The expression profiles of several genes were further validated in the BCL11B-siRNA -treated Molt-4 cells and primary T-ALL cells. Results 142 genes were found to be upregulated and 109 genes downregulated in the BCL11B-siRNA -treated Molt-4 cells by microarray analysis. Among apoptosis-related genes, three pro-apoptotic genes, TNFSF10, BIK, BNIP3, were upregulated and one anti-apoptotic gene, BCL2L1 was downregulated. Moreover, the expression of SPP1 and CREBBP genes involved in the transforming growth factor (TGF-β pathway was down 16-fold. Expression levels of TNFSF10, BCL2L1, SPP1, and CREBBP were also examined by real-time PCR. A similar expression pattern of TNFSF10, BCL2L1, and SPP1 was identified. However, CREBBP was not downregulated in the BLC11B-siRNA -treated Molt-4 cells. Conclusion BCL11B-siRNA treatment altered expression profiles of TNFSF10, BCL2L1, and SPP1 in both Molt-4 T cell line and primary T-ALL cells.

  6. First principles study of dissolved oxygen water adsorption on Fe (001 surfaces

    Directory of Open Access Journals (Sweden)

    Dong ZHANG

    2018-02-01

    Full Text Available In order to study the mechanism of dissolved oxygen content on the surface corrosion behavior of Fe-based heat transfer, the first principle is used to study the adsorption of O2 monomolecular, H2O monolayer and dissolved oxygen system on Fe-based heat transfer surface. The GGA/PBE approximation is used to calculate the adsorption energy, state density and population change during the adsorption process. Calculations prove that when the dissolved oxygen is adsorbed on the Fe-based surface, the water molecule tends to adsorb at the top sites, and the oxygen molecule tends to adsorb at Griffiths. When the H2O molecule adsorbs and interacts on the Fe (001 surface, the charge distribution of the interfacial double electric layer changes to cause the Fe atoms to lose electrons, resulting in the change of the surface potential. When the O2 molecule adsorbs on the Fe (001 crystal surfaces, the electrons on the Fe (001 surface are lost and the surface potential increases. O2 molecule and the surface of the Fe atoms are prone to electron transfer, in which O atom's 2p orbit for the adsorption of O2 molecule on Fe (001 crystal surface play a major role. With the increase of the proportion of O2 molecule in the dissolved oxygen water, the absolute value of the adsorption energy increases, and the interaction of the Fe-based heat transfer surface is stronger. This study explores the influence law of different dissolved oxygen on the Fe base heat exchange surface corrosion, and the base metal corrosion mechanism for experimental study provides a theoretical reference.

  7. Osteoblast interaction with DLC-coated Si substrates.

    Science.gov (United States)

    Chai, Feng; Mathis, Nicolas; Blanchemain, Nicolas; Meunier, Cathy; Hildebrand, Hartmut F

    2008-09-01

    Diamond-like carbon (DLC) coating is a convenient means of modifying material surfaces that are sensitive to wear, such as titanium and silica substrates. This work aims to evaluate the osteoblast-like cells' response to DLC-coated Si (Si-DLC), which was treated under different conditions. DLC and deuterated DLC films were deposited by plasma-enhanced chemical vapor deposition to obtain a 200-nm-thick layer on all the samples. Three types of precursor gas were applied for deposition: pure methane (CH(4)), pure deuterated methane (CD(4)) and their half/half mixture. All surface treatments were performed under two different self-bias voltages (V(sb)): -400 and -600V. The modified surfaces were characterized by X-ray photoelectron spectroscopy, Raman spectroscopy, Rutherford backscattering spectroscopy, elastic recoil detection analysis, X-ray reflectometry and the sessile-drop method. MC3T3-E1 osteoblasts were cultured on the Si-DLC wafers for 3 and 6 days. Biological tests to measure cell proliferation, cell vitality, cell morphology and cell adhesion were performed. All DLC coatings produced a slightly more hydrophobic state than non-treated Si. Certain types of amorphous DLC coating, such as the surface treated under the V(sb) of -600V in pure methane (600CH(4)) or in pure deuterated methane (600CD(4)), offered a significantly higher cell proliferation rate to Si substrate. Scanning electron microscopy observations confirmed that the optimal cell adhesion behavior, among all the treated surfaces, occurred on the surface of the 600CH(4) and 600CD(4) groups, which showed increased amounts of filopodia and microvilli to enhance cell-environment exchange. In conclusion, DLC coating on Si could produce better surface stability and improved cellular responses.

  8. First-principle study of Mg adsorption on Si(111) surfaces

    International Nuclear Information System (INIS)

    Min-Ju, Ying; Ping, Zhang; Xiao-Long, Du

    2009-01-01

    We have carried out first-principle calculations of Mg adsorption on Si(111) surfaces. Different adsorption sites and coverage effects have been considered. We found that the threefold hollow adsorption is energy-favoured in each coverage considered, while for the clean Si(111) surface of metallic feature, we found that 0.25 and 0.5 ML Mg adsorption leads to a semiconducting surface. The results for the electronic behaviour suggest a polarized covalent bonding between the Mg adatom and Si(111) surface. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  9. Effect of the post-deposition annealing on electrical characteristics of MIS structures with HfO{sub 2}/SiO{sub 2} gate dielectric stacks

    Energy Technology Data Exchange (ETDEWEB)

    Taube, Andrzej [Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland); Mroczynski, Robert, E-mail: rmroczyn@elka.pw.edu.pl [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland); Korwin-Mikke, Katarzyna [Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Gieraltowska, Sylwia [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Szmidt, Jan [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland); Piotrowska, Anna [Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland)

    2012-09-01

    In this work, we report on effects of post-deposition annealing on electrical characteristics of metal-insulator-semiconductor (MIS) structures with HfO{sub 2}/SiO{sub 2} double gate dielectric stacks. Obtained results have shown the deterioration of electro-physical properties of MIS structures, e.g. higher interface traps density in the middle of silicon forbidden band (D{sub itmb}), as well as non-uniform distribution and decrease of breakdown voltage (U{sub br}) values, after annealing above 400 Degree-Sign C. Two potential hypothesis of such behavior were proposed: the formation of interfacial layer between hafnia and silicon dioxide and the increase of crystallinity of HfO{sub 2} due to the high temperature treatment. Furthermore, the analysis of conduction mechanisms in investigated stacks revealed Poole-Frenkel (P-F) tunneling at broad range of electric field intensity.

  10. Influence of the doping type and level on the morphology of porous Si formed by galvanic etching

    Energy Technology Data Exchange (ETDEWEB)

    Pyatilova, O. V., E-mail: 5ilova87@gmail.com; Gavrilov, S. A.; Shilyaeva, Yu. I. [Zelenograd, National Research University of Electronic Technology (MIET) (Russian Federation); Pavlov, A. A. [Russian Academy of Sciences, Institute of Nanotechnology of Microelectronics (Russian Federation); Shaman, Yu. P. [Zelenograd, Scientific-Manufacturing Complex “Technological Centre” MIET (Russian Federation); Dudin, A. A. [Russian Academy of Sciences, Institute of Nanotechnology of Microelectronics (Russian Federation)

    2017-02-15

    The formation of porous silicon (por-Si) layers by the galvanic etching of single-crystal Si samples (doped with boron or phosphorus) in an HF/C{sub 2}H{sub 5}OH/H{sub 2}O{sub 2} solution is investigated. The por-Si layers are analyzed by the capillary condensation of nitrogen and scanning electron microscopy (SEM). The dependences of the morphological characteristics of por-Si (pore diameter, specific surface area, pore volume, and thickness of the pore walls), which determine the por-Si combustion kinetics, on the dopant type and initial wafer resistivity are established.

  11. Surface State Capture Cross-Section at the Interface between Silicon and Hafnium Oxide

    Directory of Open Access Journals (Sweden)

    Fu-Chien Chiu

    2013-01-01

    Full Text Available The interfacial properties between silicon and hafnium oxide (HfO2 are explored by the gated-diode method and the subthreshold measurement. The density of interface-trapped charges, the current induced by surface defect centers, the surface recombination velocity, and the surface state capture cross-section are obtained in this work. Among the interfacial properties, the surface state capture cross-section is approximately constant even if the postdeposition annealing condition is changed. This effective capture cross-section of surface states is about 2.4 × 10−15 cm2, which may be an inherent nature in the HfO2/Si interface.

  12. Influence of the biological conditions in the surface magnetic properties of nanocrystalline CoFeCrSiB ribbons

    International Nuclear Information System (INIS)

    Fal-Miyar, V.; Cerdeira, M.A.; Garcia, J.A.; Tejedor, M.; Potatov, A.P.; Pierna, A.R.; Marzo, F.F.; Vara, G.

    2007-01-01

    In this paper the result of a study of the influence of the biological conditions on the surface magnetic properties of nanocrystalline Co 64.5 Fe 2.5 Cr 3 B 15 Si 15 ribbons are presented and discussed. After the biological treatment the results show that, in the longitudinal direction, there is a hardening of the magnetic behavior and in the transverse direction the magnetization takes place in two steps. The surface saturation magnetization decreases in the treated samples. These results are explained considering the presence of magnetic oxides and non-conducting oxides on the surface of the treated samples

  13. Transmission electron microscopy studies of HfO{sub 2} thin films grown by chloride-based atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Aidla, A. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia); Aarik, J. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia)

    2006-11-15

    Detailed transmission electron microscopy characterization of HfO{sub 2} films deposited on Si(1 0 0) using atomic layer deposition has been carried out. The influence of deposition temperature has been investigated. At 226 deg. C, a predominantly quasi-amorphous film containing large grains of cubic HfO{sub 2} (a {sub 0} = 5.08 A) was formed. Grain morphology enabled the nucleation sites to be determined. Hot stage microscopy showed that both the cubic phase and the quasi-amorphous phase were very resistant to thermal modification up to 500 deg. C. These observations suggest that nucleation sites for the growth of the crystalline cubic phase form at the growing surface of the film, rather homogeneously within the film. The films grown at higher temperatures (300-750 deg. C) are crystalline and monoclinic. The principal effects of deposition temperature were on: grain size, which coarsens at the highest temperature; roughness with increases at the higher temperatures due to the prismatic faceting, and texture, with texturing being strongest at intermediate temperatures. Detailed interfacial characterization shows that interfacial layers of SiO{sub 2} form at low and high temperatures. However, at intermediate temperatures, interfaces devoid of SiO{sub 2} were formed.

  14. Surface acoustic wave devices on AlN/3C–SiC/Si multilayer structures

    International Nuclear Information System (INIS)

    Lin, Chih-Ming; Lien, Wei-Cheng; Riekkinen, Tommi; Senesky, Debbie G; Pisano, Albert P; Chen, Yung-Yu; Felmetsger, Valery V

    2013-01-01

    Surface acoustic wave (SAW) propagation characteristics in a multilayer structure including a piezoelectric aluminum nitride (AlN) thin film and an epitaxial cubic silicon carbide (3C–SiC) layer on a silicon (Si) substrate are investigated by theoretical calculation in this work. Alternating current (ac) reactive magnetron sputtering was used to deposit highly c-axis-oriented AlN thin films, showing the full width at half maximum (FWHM) of the rocking curve of 1.36° on epitaxial 3C–SiC layers on Si substrates. In addition, conventional two-port SAW devices were fabricated on the AlN/3C–SiC/Si multilayer structure and SAW propagation properties in the multilayer structure were experimentally investigated. The surface wave in the AlN/3C–SiC/Si multilayer structure exhibits a phase velocity of 5528 m s −1 and an electromechanical coupling coefficient of 0.42%. The results demonstrate the potential of AlN thin films grown on epitaxial 3C–SiC layers to create layered SAW devices with higher phase velocities and larger electromechanical coupling coefficients than SAW devices on an AlN/Si multilayer structure. Moreover, the FWHM values of rocking curves of the AlN thin film and 3C–SiC layer remained constant after annealing for 500 h at 540 °C in air atmosphere. Accordingly, the layered SAW devices based on AlN thin films and 3C–SiC layers are applicable to timing and sensing applications in harsh environments. (paper)

  15. Wear Characteristics According of Heat Treatment of Si3N4 with Different Amounts of SiO2 Nano-Colloid

    International Nuclear Information System (INIS)

    Ahn, Seok Hwan; Nam, Ki Woo

    2014-01-01

    This study sintered Si 3 N 4 with different amounts of SiO 2 nano-colloid. The surface of a mirror-polished specimen was coated with SiO 2 nano-colloid, and cracks were healed when the specimen was treated at a temperature of 1273 K for 1 h in air. Wear specimen experiments were conducted after heat treatments for 10 min at 1073, 1273, and 1573 K. The heat-treated surface that was coated with the SiO 2 nano-colloid was slightly rougher than the noncoated surface. The oxidation state of the surface according to the heat treatment temperature showed no correlation with the surface roughness. Moreover, the friction coefficient, wear loss, and bending strength were not related to the surface roughness. Si 3 N 4 exhibited an abrasive wear behavior when SKD11 was used as an opponent material. The friction coefficient was proportional to the wear loss, and the bending strength was inversely proportional to the friction coefficient and wear loss. The friction coefficient and wear loss increased with increasing amounts of the SiO 2 nanocolloid. In addition, the friction coefficient was slightly increased by increasing the heat treatment temperature

  16. Surface Resonance Bands on (001)W: Experimental Dispersion Relations

    DEFF Research Database (Denmark)

    Willis, R. F.; Feuerbacher, B.; Christensen, N. Egede

    1977-01-01

    A band of unbound surface states (resonances), located in an energy region above the vacuum threshold corresponding to an energy band gap in the electron states of the bulk crystal, has been observed by angle-resolved secondary-electron-emission spectroscopy. The experimental dispersion behavior...... is in agreement with the two-dimensional band structure of a clean (001)W surface recently proposed by Smith and Mittheiss....

  17. 4H-SiC surface energy tuning by nitrogen up-take

    Energy Technology Data Exchange (ETDEWEB)

    Pitthan, E., E-mail: eduardo.pitthan@ufrgs.br [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); PGMICRO, UFRGS, 91509-900, Porto Alegre, RS (Brazil); Amarasinghe, V.P. [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); Xu, C.; Gustafsson, T. [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); Department of Physics and Astronomy, Rutgers University, Piscataway, NJ 08854 (United States); Stedile, F.C. [PGMICRO, UFRGS, 91509-900, Porto Alegre, RS (Brazil); Instituto de Química, UFRGS, 91509-900, Porto Alegre, RS (Brazil); Feldman, L.C. [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); Department of Physics and Astronomy, Rutgers University, Piscataway, NJ 08854 (United States)

    2017-04-30

    Highlights: • Wettability modification of 4H-SiC as a function of nitrogen adsorption is reported. • SiC surface energy was significantly reduced as nitrogen was incorporated. • Modifications obtained were proved to be inert to etching and stable against time. • Variable control of SiC surface provides new opportunities for biomedical applications. - Abstract: Surface energy modification and surface wettability of 4H silicon carbide (0001) as a function of nitrogen adsorption is reported. The surface wettability is shown to go from primarily hydrophilic to hydrophobic and the surface energy was significantly reduced with increasing nitrogen incorporation. These changes are investigated by x-ray photoelectron spectroscopy and contact angle measurements. The surface energy was quantitatively determined by the Fowkes model and interpreted primarily in terms of the variation of the surface chemistry with nitrogen coverage. Variable control of SiC surface energies with a simple and controllable atomic additive such as nitrogen that is inert to etching, stable against time, and also effective in electrical passivation, can provide new opportunities for SiC biomedical applications, where surface wetting plays an important role in the interaction with the biological interfaces.

  18. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  19. Furan interaction with the Si(001)-(2 x 2) surface: structural, energetics, and vibrational spectra from first-principles

    International Nuclear Information System (INIS)

    Miotto, R; Ferraz, A C

    2009-01-01

    In this work we employ the state of the art pseudopotential method, within a generalized gradient approximation to the density functional theory, to investigate the adsorption process of furan on the silicon (001) surface. A direct comparison of different adsorption structures with x-ray photoelectron spectroscopy (XPS), ultra-violet photoelectron spectroscopy (UPS), high resolution electron energy loss spectroscopy (HREELS), near edge x-ray absorption fine structure (NEXAFS), and high resolution spectroscopy experimental data allows us to identify the [4+2 ] cycloaddition reaction as the most probable adsorbate. In addition, theoretical scanning tunnelling microscopy (STM) images are presented, with a view to contributing to further experimental investigations.

  20. Epitaxial growth of fcc Ti films on Al(001) surfaces

    International Nuclear Information System (INIS)

    Saleh, A.A.; Shutthanandan, V.; Shivaparan, N.R.; Smith, R.J.; Tran, T.T.; Chambers, S.A.

    1997-01-01

    High-energy ion scattering (HEIS), x-ray photoelectron spectroscopy, and x-ray photoelectron diffraction (XPD) were used to study the growth of thin Ti films on Al(001) surfaces. The Al surface peak area in the backscattered ion spectrum of MeV He + ions, incident along the [00 bar 1] direction, was used to monitor the atomic structure of the Ti films during growth. An initial decrease in the area was observed indicating epitaxial film growth. This decrease continued up to a critical film thickness of about 5.5 ML, after which point the structure of the film changed. Titanium films 3, 5, and 9 ML thick were characterized using XPD in the same chamber. Both the HEIS and XPD results show that the Ti films grow with an fcc structure on Al(001). A tetragonal distortion of 2.4% in the fcc Ti film was measured using ions incident along the [10 bar 1] direction. Although there is a general similarity of fcc Ti growth on both Al(001) and Al(110), the submonolayer growth regime does show differences for the two surfaces. copyright 1997 The American Physical Society

  1. Surface circulation patterns at the southeastern Bay of Biscay: new observations from HF radar data

    Science.gov (United States)

    Solabarrieta, L.; Rubio, A.; Medina, R.; Paduan, J. D.; Castanedo, S.; Fontán, A.; Cook, M.; González, M.

    2012-12-01

    A CODAR Seasonde High Frequency (HF) radar network has been operational since the beginning of 2009 for the oceanic region of the Basque Country, Spain (south-eastern Bay of Biscay, Atlantic Ocean). It forms part of the Basque operational data acquisition system, established by the Directorate of Emergency Attention and Meteorology of the Basque Government. It is made up of two antennas, at the capes Higer (43d 23.554' N, 1d 47.745' W) and Matxitxako (43d 7.350' N, 2d 45.163' W), emitting at 4.525 MHz frequency and 30 kHz bandwidth. This system provides hourly surface currents with 5.12 km spatial resolution, covering 10,000 km2. Space- and time-covering measurements have been available in the study area since 2009. The data contribute considerably to the study of surface current patterns and the main physical processes in the area. Additional applications relate to security of navigation, maritime rescue, validation and improvement of numerical models, etc. For comparison with other validation studies and to obtain an estimate of the performance of the Basque system, statistical and spectral analysis of the surface currents obtained through the HF radar and different in-situ platforms have been conducted. The analyses show values of comparison between the different measuring systems consistent with those done by other authors (Paduan and Rosenfeld, 1996; Kaplan et al., 2005). The radar is able to reproduce the time evolution of the currents with a reasonable accuracy; likewise, the main three spectral peaks (inertial, semidiurnal and diurnal) are well resolved. In this context, the aim of this work is to show the HF radar ability to measure accurately the surface currents in the south-eastern Bay of Biscay and to study the ocean circulation in the area (figures 1 and 2). Surface current patterns are analysed and described for the period 2009-2011, for different timescales. A clear seasonality at a large-scale has been observed in accordance with previous work

  2. Hydrogen generation due to water splitting on Si - terminated 4H-Sic(0001) surfaces

    Science.gov (United States)

    Li, Qingfang; Li, Qiqi; Yang, Cuihong; Rao, Weifeng

    2018-02-01

    The chemical reactions of hydrogen gas generation via water splitting on Si-terminated 4H-SiC surfaces with or without C/Si vacancies were studied by using first-principles. We studied the reaction mechanisms of hydrogen generation on the 4H-SiC(0001) surface. Our calculations demonstrate that there are major rearrangements in surface when H2O approaches the SiC(0001) surface. The first H splitting from water can occur with ground-state electronic structures. The second H splitting involves an energy barrier of 0.65 eV. However, the energy barrier for two H atoms desorbing from the Si-face and forming H2 gas is 3.04 eV. In addition, it is found that C and Si vacancies can form easier in SiC(0001)surfaces than in SiC bulk and nanoribbons. The C/Si vacancies introduced can enhance photocatalytic activities. It is easier to split OH on SiC(0001) surface with vacancies compared to the case of clean SiC surface. H2 can form on the 4H-SiC(0001) surface with C and Si vacancies if the energy barriers of 1.02 and 2.28 eV are surmounted, respectively. Therefore, SiC(0001) surface with C vacancy has potential applications in photocatalytic water-splitting.

  3. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, H. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany)], E-mail: angermann@hmi.de; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany); Huebener, K.; Hauschild, J. [Freie Universitaet Berlin, FB Physik, Arnimallee 14, 14195 Berlin (Germany)

    2008-08-30

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D{sub it}(E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency.

  4. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    International Nuclear Information System (INIS)

    Angermann, H.; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M.; Huebener, K.; Hauschild, J.

    2008-01-01

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D it (E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency

  5. Resistance switching characteristics of core–shell γ-Fe{sub 2}O{sub 3}/Ni{sub 2}O{sub 3} nanoparticles in HfSiO matrix

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Guangdong [Guizhou Institute of Technology, Guiyang 550003 (China); Wu, Bo, E-mail: fqwubo@zync.edu.cn [Institute of Theoretical Physics, Zunyi Normal College, Zunyi 563002 (China); School of Marine Science and Technology, Northwestern Polytechnical University, Xian 710072 (China); Liu, Xiaoqin; Li, Zhiling; Zhang, Shuangju [Guizhou Institute of Technology, Guiyang 550003 (China); Zhou, Ankun [Kunming Institute of Botany, Chineses Academy Sciences, Kunming 650201 (China); Yang, Xiude [Institute of Theoretical Physics, Zunyi Normal College, Zunyi 563002 (China)

    2016-09-05

    Core–shell γ-Fe{sub 2}O{sub 3}/Ni{sub 2}O{sub 3} nanoparticles are synthesized by chemical co-precipitation method. Resistive switching memory behaviors, which have resistance ON/OFF ratio of ∼10{sup 2} and excellent retention property, are observed in the Au/HfSiO/γ-Fe{sub 2}O{sub 3}/Ni{sub 2}O{sub 3}/HfSiO/Pt structure. Space charge limited current (SCLC) mechanism, which is supported by the fitting current–voltage results, is employed to know the resistive switching memory effects. The transportation of Oxygen vacancy Vo{sup 2+}, oxygen ion O{sup 2−}, recombination of oxygen atom and drive of external electric field are responsible for the ON or OFF states observed in device. - Highlights: • Bipolar resistance switching effects are detected in core–shell of γ-Fe{sub 2}O{sub 3}@Ni{sub 2}O{sub 3}. • The Ohimc conduction and space-charge-limited current play an important role in Low/High field. • Rapture of filament assisted by Vo{sup 2+}, O{sup 2−} and O{sub 2} recombination is responsible for switching. • Resistance switching memory highlights excellent retention properties after stress 100 cycles.

  6. Abrupt GaP/Si hetero-interface using bistepped Si buffer

    Energy Technology Data Exchange (ETDEWEB)

    Ping Wang, Y., E-mail: yanping.wang@insa-rennes.fr; Kuyyalil, J.; Nguyen Thanh, T.; Almosni, S.; Bernard, R.; Tremblay, R.; Da Silva, M.; Létoublon, A.; Rohel, T.; Tavernier, K.; Le Corre, A.; Cornet, C.; Durand, O. [UMR FOTON, CNRS, INSA Rennes, Rennes F-35708 (France); Stodolna, J.; Ponchet, A. [CEMES-CNRS, Université de Toulouse, 29 rue Jeanne Marvig, BP 94347, 31055 Toulouse Cedex 04 (France); Bahri, M.; Largeau, L.; Patriarche, G. [Laboratoire de Photonique et Nanostructures, CNRS UPR 20, Route de Nozay, Marcoussis 91460 (France); Magen, C. [LMA, INA-ARAID, and Departamento de Física de la Materia Condensada, Universidad de Zaragoza, 50018 Zaragoza (Spain)

    2015-11-09

    We evidence the influence of the quality of the starting Si surface on the III-V/Si interface abruptness and on the formation of defects during the growth of III-V/Si heterogeneous crystal, using high resolution transmission electron microscopy and scanning transmission electron microscopy. GaP layers were grown by molecular beam epitaxy on vicinal Si (001). The strong effect of the Si substrate chemical preparation is first demonstrated by studying structural properties of both Si homoepitaxial layer and GaP/Si heterostructure. It is then shown that choosing adequate chemical preparation conditions and subsequent III-V regrowth conditions enables the quasi-suppression of micro-twins in the epilayer. Finally, the abruptness of GaP/Si interface is found to be very sensitive to the Si chemical preparation and is improved by the use of a bistepped Si buffer prior to III-V overgrowth.

  7. Abrupt GaP/Si hetero-interface using bistepped Si buffer

    International Nuclear Information System (INIS)

    Ping Wang, Y.; Kuyyalil, J.; Nguyen Thanh, T.; Almosni, S.; Bernard, R.; Tremblay, R.; Da Silva, M.; Létoublon, A.; Rohel, T.; Tavernier, K.; Le Corre, A.; Cornet, C.; Durand, O.; Stodolna, J.; Ponchet, A.; Bahri, M.; Largeau, L.; Patriarche, G.; Magen, C.

    2015-01-01

    We evidence the influence of the quality of the starting Si surface on the III-V/Si interface abruptness and on the formation of defects during the growth of III-V/Si heterogeneous crystal, using high resolution transmission electron microscopy and scanning transmission electron microscopy. GaP layers were grown by molecular beam epitaxy on vicinal Si (001). The strong effect of the Si substrate chemical preparation is first demonstrated by studying structural properties of both Si homoepitaxial layer and GaP/Si heterostructure. It is then shown that choosing adequate chemical preparation conditions and subsequent III-V regrowth conditions enables the quasi-suppression of micro-twins in the epilayer. Finally, the abruptness of GaP/Si interface is found to be very sensitive to the Si chemical preparation and is improved by the use of a bistepped Si buffer prior to III-V overgrowth

  8. Anomalously high yield of doubly charged Si ions sputtered from cleaned Si surface by keV neutral Ar impact

    Energy Technology Data Exchange (ETDEWEB)

    Shinde, N.; Morita, K. E-mail: k-morita@mail.nucl.nagoya-u.ac.jp; Dhole, S.D.; Ishikawa, D

    2001-08-01

    The energy spectra of positively charged and neutral species ejected from the Si(1 1 1) surfaces by keV Ar impact have been measured by means of a combined technique of the time-of-flight (TOF) analysis with the multi-photon resonance ionization spectroscopy (MPRIS). It is shown that positively charged species of Si{sup +}, Si{sup 2+} and SiO{sup +} are ejected from the as-cleaned 7x7 surface by 11 keV Ar impact. It is also shown that Ar sputter cleaning of the as-cleaned 7x7 surface for 14 min at the flux of 2x10{sup 13}/cm{sup 2}s removes completely the oxygen impurity and the yields of Si{sup 2+} is comparable to that of Si{sup +}. Moreover, the ionization probability of Si atoms sputtered is shown to be expressed as an exponential function of the inverse of their velocity. The production mechanism for the doubly charged Si ion is discussed based on the L-shell ionization of Si atoms due to quasi-molecule formation in the collisions of the surface atoms with energetic recoils and subsequent Auger decay of the L-shell vacancy to doubly ionized Si ions.

  9. Topological states in a two-dimensional metal alloy in Si surface: BiAg/Si(111)-4 ×4 surface

    Science.gov (United States)

    Zhang, Xiaoming; Cui, Bin; Zhao, Mingwen; Liu, Feng

    2018-02-01

    A bridging topological state with a conventional semiconductor platform offers an attractive route towards future spintronics and quantum device applications. Here, based on first-principles and tight-binding calculations, we demonstrate the existence of topological states hosted by a two-dimensional (2D) metal alloy in a Si surface, the BiAg/Si(111)-4 ×4 surface, which has already been synthesized experimentally. It exhibits a topological insulating state with an energy gap of 71 meV (˜819 K ) above the Fermi level and a topological metallic state with quasiquantized conductance below the Fermi level. The underlying mechanism leading to the formation of such nontrivial states is revealed by analysis of the "charge-transfer" and "orbital-filtering" effect of the Si substrate. A minimal effective tight-binding model is employed to reveal the formation mechanism of the topological states. Our finding opens opportunities to detect topological states and measure its quantized conductance in a large family of 2D surface metal alloys, which have been or are to be grown on semiconductor substrates.

  10. Self-consistent electronic structure of the contracted tungsten (001) surface

    International Nuclear Information System (INIS)

    Posternak, M.; Krakauer, H.; Freeman, A.J.

    1982-01-01

    Self-consistent linearized-augmented-plane-wave energy-band studies using the warped muffin-tin approximation for a seven-layer W(001) single slab with the surface-layer separation contracted by 6% of the bulk interlayer spacing are reported. Surface electronic structure, local densities of states, generalized susceptibility for the surface, work function, and core-level shifts are found to have insignificant differences with corresponding results for the unrelaxed surface. Several differences in surface states between theory and recent angle-resolved photoemission experiments are discussed in the light of new proposed models of the actual unreconstructed surface structure at high temperatures

  11. Surface spins disorder in uncoated and SiO2 coated maghemite nanoparticles

    International Nuclear Information System (INIS)

    Zeb, F.; Nadeem, K.; Shah, S. Kamran Ali; Kamran, M.; Gul, I. Hussain; Ali, L.

    2017-01-01

    We studied the surface spins disorder in uncoated and silica (SiO 2 ) coated maghemite (γ-Fe 2 O 3 ) nanoparticles using temperature and time dependent magnetization. The average crystallite size for SiO 2 coated and uncoated nanoparticles was about 12 and 29 nm, respectively. Scanning electron microscopy (SEM) showed that the nanoparticles are spherical in shape and well separated. Temperature scans of zero field cooled (ZFC)/field cooled (FC) magnetization measurements showed lower average blocking temperature (T B ) for SiO 2 coated maghemite nanoparticles as compared to uncoated nanoparticles. The saturation magnetization (M s ) of SiO 2 coated maghemite nanoparticles was also lower than the uncoated nanoparticles and is attributed to smaller average crystallite size of SiO 2 coated nanoparticles. For saturation magnetization vs. temperature data, Bloch's law (M(T)= M(0).(1− BT b )) was fitted well for both uncoated and SiO 2 coated nanoparticles and yields: B =3×10 −7 K -b , b=2.22 and B=0.0127 K -b , b=0.57 for uncoated and SiO 2 coated nanoparticles, respectively. Higher value of B for SiO 2 coated nanoparticles depicts decrease in exchange coupling due to enhanced surface spins disorder (broken surface bonds) as compared to uncoated nanoparticles. The Bloch's exponent b was decreased for SiO 2 coated nanoparticles which is due to their smaller average crystallite size or finite size effects. Furthermore, a sharp increase of coercivity at low temperatures (<25 K) was observed for SiO 2 coated nanoparticles which is also due to contribution of increased surface anisotropy or frozen surface spins in these smaller nanoparticles. The FC magnetic relaxation data was fitted to stretched exponential law which revealed slower magnetic relaxation for SiO 2 coated nanoparticles. All these measurements revealed smaller average crystallite size and enhanced surface spins disorder in SiO 2 coated nanoparticles than in uncoated γ-Fe 2 O 3 nanoparticles

  12. Second harmonic generation spectroscopy on Si surfaces and interfaces

    DEFF Research Database (Denmark)

    Pedersen, Kjeld

    2010-01-01

    Optical second harmonic generation (SHG) spectroscopy studies of Si(111) surfaces and interfaces are reviewed for two types of systems: (1) clean 7 x 7 and root 3 x root 3-Ag reconstructed surfaces prepared under ultra-high vacuum conditions where surface states are excited and (2) interfaces...... in silicon-on-insulator (SOI) structures and thin metal films on Si surfaces where several interfaces contribute to the SHG. In all the systems resonances are seen at interband transitions near the bulk critical points E-1 and E-2. On the clean surfaces a number of resonances appear below the onset of bulk...

  13. Pt-Si Bifunctional Surfaces for CO and Methanol Electro-Oxidation

    DEFF Research Database (Denmark)

    Permyakova, Anastasia A.; Han, Binghong; Jensen, Jens Oluf

    2015-01-01

    and storage. Here we report on Pt-Si bulk samples prepared by arc-melting, for the first time, with high activities toward the electro-oxidation of CO and methanol. Increasing the Si concentration on the surface was correlated with the shifts of onset oxidation potentials to lower values and higher activities...... for CO and methanol electro-oxidation. It is proposed that the reaction on the Pt-Si catalyst could follow a Langmuir-Hinshelwood type of mechanism, where substantially enhanced catalytic activity is attributed to the fine-tuning of the surface Pt-Si atomic structure....

  14. Structure, magnetism, and interface properties of epitactical thin Fe and FePt films on GaAs(001) substrates; Struktur, Magnetismus und Grenzflaecheneigenschaften epitaktischer duenner Fe- und FePt-Filme auf GaAs(001)-Substraten

    Energy Technology Data Exchange (ETDEWEB)

    Schuster, Ellen Ursula

    2007-12-17

    The research in this thesis is focused on the study of the Fe spin structure and interface magnetism of thin epitaxial Fe layers or epitaxial FePt alloy films with chemical L1{sub 0} order on GaAs(001) surfaces. The main method of investigation was isotope-specific conversion electron Moessbauer spectroscopy (CEMS) combined with the {sup 57}Fe probe-layer technique in the temperature range of 4.2-300 K. The film structure was studied using electron diffraction (RHEED) and X-ray diffraction (XRD). The chemical order parameter S determined by XRD was found to increase with rising growth temperature, T{sub S}, to a maximum value of 0.71, until long range order is destroyed at T{sub S}>350 C by alloying with the substrate. As an important result a linear correlation between short-range order (revealed by the relative spectral area of the L1{sub 0} phase) and long-range order S was observed. The observed perpendicular Fe spin texture, characterized by the mean tilting angle left angle {theta} right angle of the Fe spins (relative to the film normal direction), was found to correlate with the L1{sub 0} phase content and with S. Furthermore, epitaxial Fe(001) films on GaAs(001)-(4 x 6) and on GaAs(001)-LED surfaces were grown successfully. In the initial stage of Fe film growth non-monotonous behavior of the in-plane lattice parameter was observed by RHEED. The magnetic hyperfine field distributions P(B{sub hf}) at the Fe/GaAs interface extracted from CEMS spectra for T{sub S}=-140 C or room temperature (RT) were found to be very similar. The observed large mean hyperfine fields of left angle B{sub hf} right angle {approx}25-27 T at the interface indicate the presence of high average Fe moments of 1.7-1.8 {mu}{sub B}. Nonmagnetic interface layers either can be excluded (Fe/GaAs) or are very thin (0.5 ML,Fe/GaAs-LED). Owing to its island structure an ultrathin (1.9 ML thick) uncoated Fe(001) film on GaAs(001)-(4 x 6) shows superparamagnetism with a blocking temperature of

  15. Removal of dangling bonds and surface states on silicon (001) with a monolayer of selenium

    International Nuclear Information System (INIS)

    Tao Meng; Udeshi, Darshak; Basit, Nasir; Maldonado, Eduardo; Kirk, Wiley P.

    2003-01-01

    Dangling bonds and surface states are inherent to semiconductor surfaces. By passivating dangling bonds on the silicon (001) surface with a monolayer of selenium, surface states are removed from the band gap. Magnesium contacts on selenium-passivated silicon (001) behave ohmically, as expected from the work function of magnesium and the electron affinity of silicon. After rapid thermal annealing and hot-plate annealing, magnesium contacts on selenium-passivated silicon (001) show better thermal stability than on hydrogen-passivated silicon (001), which is attributed to the suppression of silicide formation by selenium passivation

  16. Temperature-dependent evolution of the wetting layer thickness during Ge deposition on Si(001).

    Science.gov (United States)

    Bergamaschini, R; Brehm, M; Grydlik, M; Fromherz, T; Bauer, G; Montalenti, F

    2011-07-15

    The evolution of the wetting layer (WL) thickness during Ge deposition on Si(001) is analyzed with the help of a rate-equation approach. The combined role of thickness, island volume and shape-dependent chemical potentials is considered. Several experimental observations, such as WL thinning following the pyramid-to-dome transformation, are captured by the model, as directly demonstrated by a close comparison with photoluminescence measurements (PL) on samples grown at three different temperatures. The limitations of the model in describing late stages of growth are critically addressed.

  17. A computational study on the adsorption configurations and reactions of SiHx(x = 1-4) on clean and H-covered Si(100) surfaces

    Science.gov (United States)

    Le, Thong N.-M.; Raghunath, P.; Huynh, Lam K.; Lin, M. C.

    2016-11-01

    Possible adsorption configurations of H and SiHx (x = 1 - 4) on clean and H-covered Si(100) surfaces are determined by using spin-polarized DFT calculations. The results show that, on the clean surface, the gas-phase hydrogen atom and SiH3 radicals effectively adsorb on the top sites, while SiH and SiH2 prefer the bridge sites of the first layer. Another possibility for SiH is to reside on the hollow sites with a triple-bond configuration. For a partially H-coverd Si(100) surface, the mechanism is similar but with higher adsorption energies in most cases. This suggests that the surface species become more stable in the presence of surface hydrogens. The minimum energy paths for the adsorption/migration and reactions of H/SiHx species on the surfaces are explored using the climbing image-nudged elastic band method. The competitive surface processes for Si thin-film formation from SiHx precursors are also predicted. The study reveals that the migration of hydrogen adatom is unimportant with respect to leaving open surface sites because of its high barriers (>29.0 kcal/mol). Alternatively, the abstraction of hydrogen adatoms by H/SiHx radicals is more favorable. Moreover, the removal of hydrogen atoms from adsorbed SiHx, an essential step for forming Si layers, is dominated by abstraction rather than the decomposition processes.

  18. Microstructure and chemical analysis of Hf-based high-k dielectric layers in metal-insulator-metal capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Thangadurai, P. [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Mikhelashvili, V.; Eisenstein, G. [Department of Electrical Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Kaplan, W.D., E-mail: kaplan@tx.technion.ac.i [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel)

    2010-05-31

    The microstructure and chemistry of the high-k gate dielectric significantly influences the performance of metal-insulator-metal (MIM) and metal-oxide-semiconductor devices. In particular, the local structure, chemistry, and inter-layer mixing are important phenomena to be understood. In the present study, high resolution and analytical transmission electron microscopy are combined to study the local structure, morphology, and chemistry in MIM capacitors containing a Hf-based high-k dielectric. The gate dielectric, bottom and gate electrodes were deposited on p-type Si(100) wafers by electron beam evaporation. Four chemically distinguishable sub-layers were identified within the dielectric stack. One is an unintentionally formed 4.0 nm thick interfacial layer of Ta{sub 2}O{sub 5} at the interface between the Ta electrode and the dielectric. The other three layers are based on HfN{sub x}O{sub y} and HfTiO{sub y}, and intermixing between the nearby sub-layers including deposited SiO{sub 2}. Hf-rich clusters were found in the HfN{sub x}O{sub y} layer adjacent to the Ta{sub 2}O{sub 5} layer.

  19. Electron-phonon interaction on an Al(001) surface

    International Nuclear Information System (INIS)

    Sklyadneva, I Yu; Chulkov, E V; Echenique, P M

    2008-01-01

    We report an ab initio study of the electron-phonon (e-ph) interaction and its contribution to the lifetime broadening of excited hole (electron) surface states on Al(001). The calculations based on density-functional theory were carried out using a linear response approach in the plane-wave pseudopotential representation. The obtained results show that both the electron-phonon coupling and the linewidth experience a weak variation with the energy and momentum position of a hole (electron) surface state in the energy band. An analysis of different contributions to the e-ph coupling reveals that bulk phonon modes turn out to be more involved in the scattering processes of excited electrons and holes than surface phonon modes. It is also shown that the role of the e-ph coupling in the broadening of the Rayleigh surface phonon mode is insignificant compared to anharmonic effects

  20. Experimental investigation of slow-positron emission from 4H-SiC and 6H-SiC surfaces

    International Nuclear Information System (INIS)

    Ling, C.C.; Beling, C.D.; Fung, S.; Weng, H.M.

    2002-01-01

    Slow-positron emission from the surfaces of as-grown n-type 4H-SiC and 6H-SiC (silicon carbide) with a conversion efficiency of ∼10 -4 has been observed. After 30 min of 1000 deg. C annealing in forming gas, the conversion efficiency of the n-type 6H-SiC sample was observed to be enhanced by 75% to 1.9x10 -4 , but it then dropped to ∼10 -5 upon a further 30 min annealing at 1400 deg. C. The positron work function of the n-type 6H-SiC was found to increase by 29% upon 1000 deg. C annealing. For both p-type 4H-SiC and p-type 6H-SiC materials, the conversion efficiency was of the order of ∼10 -5 , some ten times lower than that for the n-type materials. This was attributed to the band bending at the p-type material surface which caused positrons to drift away from the positron emitting surface. (author)

  1. The utilization of the response surface methodology for the ...

    African Journals Online (AJOL)

    SERVER

    2007-12-03

    Dec 3, 2007 ... Drojdiile ca aliment-medicament. In: Anghel I (ed). Biologia si Tehnologia Drojdiilor, vol 2, Editura Tehnica, Bucharest. Shieh CJ, Liao HF, Lee CC (2003). Optimization of lipase-catalyzed biodiesel by response surface methodology, Bioresour. Technol. 88: 103-106. Smigelschi O, Woinarovschy A (1978).

  2. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati; Shahid, Muhammad; Chen, Wei; Hedhili, Mohamed N.; Reuter, Mark C.; Ross, Frances M.; Alshareef, Husam N.

    2014-01-01

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery

  3. Surface Defect Passivation and Reaction of c-Si in H2S.

    Science.gov (United States)

    Liu, Hsiang-Yu; Das, Ujjwal K; Birkmire, Robert W

    2017-12-26

    A unique passivation process of Si surface dangling bonds through reaction with hydrogen sulfide (H 2 S) is demonstrated in this paper. A high-level passivation quality with an effective minority carrier lifetime (τ eff ) of >2000 μs corresponding to a surface recombination velocity of passivation by monolayer coverage of S on the Si surface. However, S passivation of the Si surface is highly unstable because of thermodynamically favorable reaction with atmospheric H 2 O and O 2 . This instability can be eliminated by capping the S-passivated Si surface with a protective thin film such as low-temperature-deposited amorphous silicon nitride.

  4. Defect states and charge trapping characteristics of HfO2 films for high performance nonvolatile memory applications

    International Nuclear Information System (INIS)

    Zhang, Y.; Shao, Y. Y.; Lu, X. B.; Zeng, M.; Zhang, Z.; Gao, X. S.; Zhang, X. J.; Liu, J.-M.; Dai, J. Y.

    2014-01-01

    In this work, we present significant charge trapping memory effects of the metal-hafnium oxide-SiO 2 -Si (MHOS) structure. The devices based on 800 °C annealed HfO 2 film exhibit a large memory window of ∼5.1 V under ±10 V sweeping voltages and excellent charge retention properties with only small charge loss of ∼2.6% after more than 10 4  s retention. The outstanding memory characteristics are attributed to the high density of deep defect states in HfO 2 films. We investigated the defect states in the HfO 2 films by photoluminescence and photoluminescence excitation measurements and found that the defect states distributed in deep energy levels ranging from 1.1 eV to 2.9 eV below the conduction band. Our work provides further insights for the charge trapping mechanisms of the HfO 2 based MHOS devices.

  5. HPDL Remelting of Anodised Al-Si-Cu Cast Alloys Surfaces

    Directory of Open Access Journals (Sweden)

    K. Labisz

    2012-12-01

    Full Text Available The results of the investigations of the laser remelting of the AlSi9Cu4 cast aluminium alloy with the anodised and non-anodised surfacelayer and hardness changes have been presented in this paper. The surface layer of the tested aluminium samples was remelted with thelaser of a continuous work. The power density was from 8,17•103 W/cm2 to 1,63•104 W/cm2. The metallographic tests were conducted inform of light microscope investigations of the received surface layer. The main goal of the investigation was to find the relation betweenthe laser beam power and its power density falling on a material, evaluating the shape and geometry of the remelted layers and theirhardness. As the substrate material two types of surfaces of the casted AlSi9Cu4 alloy were applied – the non–treated as cast surface aswell the anodized surface. As a device for this type of surface laser treatment the High Power Diode Laser was applied with a maximumpower of 2.2 kW and the dimensions of the laser beam focus of 1.8 x 6.8 mm. By mind of such treatment it is also possible to increasehardness as well eliminate porosity and develop metallurgical bonding at the coating-substrate interface. Suitable operating conditions forHPDL laser treatment were finally determined, ranging from 1.0 to 2.0 kW. Under such conditions, taking into account the absorptionvalue, the effects of laser remelting on the surface shape and roughness were studied. The results show that surface roughness is reducedwith increasing laser power by the remelting process only for the non-anodised samples, and high porosity can be found in the with highpower remelted areas. The laser influence increases with the heat input of the laser processing as well with the anodisation of the surface,because of the absorption enhancement ensured through the obtained alumina layer.

  6. Growth rate and surface morphology of 4H-SiC crystals grown from Si-Cr-C and Si-Cr-Al-C solutions under various temperature gradient conditions

    Science.gov (United States)

    Mitani, Takeshi; Komatsu, Naoyoshi; Takahashi, Tetsuo; Kato, Tomohisa; Fujii, Kuniharu; Ujihara, Toru; Matsumoto, Yuji; Kurashige, Kazuhisa; Okumura, Hajime

    2014-09-01

    The growth rate and surface morphology of 4H-SiC crystals prepared by solution growth with Si1-xCrx and Si1-x-yCrxAly (x=0.4, 0.5 and 0.6; y=0.04) solvents were investigated under various temperature conditions. The growth rate was examined as functions of the temperature difference between the growth surface and C source, the amount of supersaturated C and supersaturation at the growth surface. We found that generation of trench-like surface defects in 4H-SiC crystals was suppressed using Si1-x-yCrxAly solvents even under highly supersaturated conditions where the growth rate exceeded 760 μm/h. Conversely, trench-like defects were observed in crystals grown with Si1-xCrx solvents under all experimental conditions. Statistical observation of the macrostep structure showed that the macrostep height in crystals grown with Si1-x-yCrxAly solvents was maintained at lower levels than that obtained using Si1-xCrx solvents. Addition of Al prevents the macrosteps from developing into large steps, which are responsible for the generation of trench-like surface defects.

  7. Surface thermodynamic stability, electronic and magnetic properties in various (001) surfaces of Zr2CoSn Heusler alloy

    Science.gov (United States)

    Yang, Yan; Feng, Zhong-Ying; Zhang, Jian-Min

    2018-05-01

    The spin-polarized first-principles are used to study the surface thermodynamic stability, electronic and magnetic properties in various (001) surfaces of Zr2CoSn Heusler alloy, and the bulk Zr2CoSn Heusler alloy are also discussed to make comparison. The conduction band minimum (CBM) of half-metallic (HM) bulk Zr2CoSn alloy is contributed by ZrA, ZrB and Co atoms, while the valence band maximum (VBM) is contributed by ZrB and Co atoms. The SnSn termination is the most stable surface with the highest spin polarizations P = 77.1% among the CoCo, ZrCo, ZrZr, ZrSn and SnSn terminations of the Zr2CoSn (001) surface. In the SnSn termination of the Zr2CoSn (001) surface, the atomic partial density of states (APDOS) of atoms in the surface, subsurface and third layers are much influenced by the surface effect and the total magnetic moment (TMM) is mainly contributed by the atomic magnetic moments of atoms in fourth to ninth layers.

  8. Hydrogen intercalation of single and multiple layer graphene synthesized on Si-terminated SiC(0001) surface

    International Nuclear Information System (INIS)

    Sołtys, Jakub; Piechota, Jacek; Ptasinska, Maria; Krukowski, Stanisław

    2014-01-01

    Ab initio density functional theory simulations were used to investigate the influence of hydrogen intercalation on the electronic properties of single and multiple graphene layers deposited on the SiC(0001) surface (Si-face). It is shown that single carbon layer, known as a buffer layer, covalently bound to the SiC substrate, is liberated after hydrogen intercalation, showing characteristic Dirac cones in the band structure. This is in agreement with the results of angle resolved photoelectron spectroscopy measurements of hydrogen intercalation of SiC-graphene samples. In contrast to that hydrogen intercalation has limited impact on the multiple sheet graphene, deposited on Si-terminated SiC surface. The covalently bound buffer layer is liberated attaining its graphene like structure and dispersion relation typical for multilayer graphene. Nevertheless, before and after intercalation, the four layer graphene preserved the following dispersion relations in the vicinity of K point: linear for (AAAA) stacking, direct parabolic for Bernal (ABAB) stacking and “wizard hat” parabolic for rhombohedral (ABCA) stacking

  9. Coalescence of GaAs on (001) Si nano-trenches based on three-stage epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    He, Yunrui; Wang, Jun, E-mail: wangjun12@bupt.edu.cn; Hu, Haiyang; Wang, Qi; Huang, Yongqing; Ren, Xiaomin [State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, Beijing 100876 (China)

    2015-05-18

    The coalescence of selective area grown GaAs regions has been performed on patterned 1.8 μm GaAs buffer layer on Si via metal-organic chemical vapor deposition. We propose a promising method of three-stage epitaxial lateral overgrowth (ELO) to achieve uniform coalescence and flat surface. Rough surface caused by the coalescence of different growth fronts is smoothened by this method. Low root-mean-square surface roughness of 6.29 nm has been obtained on a 410-nm-thick coalesced ELO GaAs layer. Cross-sectional transmission electron microscope study shows that the coalescence of different growth fronts will induce some new dislocations. However, the coalescence-induced dislocations tend to mutually annihilate and only a small part of them reach the GaAs surface. High optical quality of the ELO GaAs layer has been confirmed by low temperature (77 K) photoluminescence measurements. This research promises a very large scale integration platform for the monolithic integration of GaAs-based device on Si.

  10. Self-assembled magnetic nanostructures: Epitaxial Ni nanodots on TiN/Si (001) surface

    International Nuclear Information System (INIS)

    Zhou, H.; Narayan, J.

    2006-01-01

    Systems containing single domain magnetic particles are of great interest in view of their possible applications in ultrahigh-density data storage and magnetoelectronic devices. The focus of this work is plan-view STEM Z-contrast imaging study of the self-assembly growth of magnetic nickel nanostructures by domain matching epitaxy under Volmer-Weber (V-W) mode. The growth was carried out using pulsed laser deposition (PLD) technique with epitaxial titanium nitride film as the template, which was in turn grown on silicon (001) substrate via domain matching epitaxy. Our results show that the base of nickel islands is rectangular with the two principal edges parallel to two orthogonal directions, which is [110] and [1-bar 1 0] for [001] oriented growth. The size distribution of the islands is relatively narrow, comparable to that obtained from self-assembled islands grown under Stranski-Krastanow (S-K) mode. A certain degree of self-organization was also found in the lateral distribution of islands: island chains were observed along the directions close to , which are also the edge directions. The interaction between neighboring islands through the island edge-induced strain field is believed to be responsible for the size uniformity and the lateral ordering

  11. Monolayer assembly and striped architecture of Co nanoparticles on organic functionalized Si surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Bae, S.-S.; Lim, D.K.; Park, J.-I.; Kim, S. [Korea Advanced Institute of Science and Technology, Department of Chemistry and School of Molecular Science (BK 21), Daejeon (Korea); Cheon, J. [Yonsei University, Department of Chemistry, College of Sciences, Seoul (Korea); Jeon, I.C. [Chonbuk National University, Department of Chemistry, College of Natural Sciences, Chonbuk (Korea)

    2005-03-01

    We present a new strategy to fabricate a monolayer assembly of Br-terminated Co nanoparticles on functionalized Si surfaces by using chemical covalent bonding and microcontact printing method. Self-assembled monolayers (SAMs) of the Co nanoparticles formed on the hydroxyl-terminated Si surface exhibit two-dimensional island networks with locally ordered arrays via covalent linkage between nanoparticles and surface. On the other hand, SAMs of the nanoparticles on the aminopropyl-terminated Si surface show an individual and random distribution over an entire surface. Furthermore, we have fabricated striped architectures of Co nanoparticles using a combination of microcontact printing and covalent linkage. Microcontact printing of octadecyltrichlorosilane and selective covalent linkage between nanoparticles and functionalized Si surfaces lead to a hybrid nanostructure with selectively assembled nanoparticles stripes on the patterned functionalized Si surfaces. (orig.)

  12. Phase stability predictions of Cr1−x, Mx)2(Al1−y, Ay)(C1−z, Xz) (M = Ti, Hf, Zr; A = Si, X = B)

    International Nuclear Information System (INIS)

    Shang, Lin; Music, Denis; Baben, Moritz to; Schneider, Jochen M

    2014-01-01

    The phase stability of (Cr 1−x , M x ) 2 (Al 1−y , A y )(C 1−z , X z ) (M = Ti, Hf, Zr; A = Si, X = B, space group P6 3 /mmc, prototype Cr 2 AlC) was studied using ab initio calculations. Based on the energy of mixing data as well as the density of states (DOS) analysis, (Cr 1−x , Zr x ) 2 AlC and (Cr 1−x , Hf x ) 2 AlC are predicted to be unstable, whereas (Cr 1−x , Ti x ) 2 AlC, Cr 2 (Al 1−y , Si y )C and Cr 2 Al(C 1−z , B z ) are predicted to be stable or metastable. The density of states analysis reveals that small differences in the position of the Fermi level alters the phase stability: (Cr 1−x , Zr x ) 2 AlC and (Cr 1−x , Hf x ) 2 AlC are predicted to be unstable or metastable as the Fermi level lies at a peak position. While the Cr dominated DOS for (Cr 1−x , Ti x ) 2 AlC plateaus at the Fermi level indicating stability. Implications of these results for the vapour phase condensation of self-healing Cr 2 AlC based materials are discussed. (paper)

  13. Surface Damage Mechanism of Monocrystalline Si Under Mechanical Loading

    Science.gov (United States)

    Zhao, Qingliang; Zhang, Quanli; To, Suet; Guo, Bing

    2017-03-01

    Single-point diamond scratching and nanoindentation on monocrystalline silicon wafer were performed to investigate the surface damage mechanism of Si under the contact loading. The results showed that three typical stages of material removal appeared during dynamic scratching, and a chemical reaction of Si with the diamond indenter and oxygen occurred under the high temperature. In addition, the Raman spectra of the various points in the scratching groove indicated that the Si-I to β-Sn structure (Si-II) and the following β-Sn structure (Si-II) to amorphous Si transformation appeared under the rapid loading/unloading condition of the diamond grit, and the volume change induced by the phase transformation resulted in a critical depth (ductile-brittle transition) of cut (˜60 nm ± 15 nm) much lower than the theoretical calculated results (˜387 nm). Moreover, it also led to abnormal load-displacement curves in the nanoindentation tests, resulting in the appearance of elbow and pop-out effects (˜270 nm at 20 s, 50 mN), which were highly dependent on the loading/unloading conditions. In summary, phase transformation of Si promoted surface deformation and fracture under both static and dynamic mechanical loading.

  14. Solvothermal synthesis of TiO{sub 2} nanocrystals with {001} facets using titanic acid nanobelts for superior photocatalytic activity

    Energy Technology Data Exchange (ETDEWEB)

    Cao, Yuhui; Zong, Lanlan [National & Local Joint Engineering Research Center for Applied Technology of Hybrid Nanomaterials, Henan University, Kaifeng, 475004 (China); Li, Qiuye, E-mail: qiuyeli@henu.edu.cn [National & Local Joint Engineering Research Center for Applied Technology of Hybrid Nanomaterials, Henan University, Kaifeng, 475004 (China); Collaborative Innovation Center of Nano Functional Materials and Applications of Henan Province, Henan University, Kaifeng, 475004 (China); Li, Chen; Li, Junli [National & Local Joint Engineering Research Center for Applied Technology of Hybrid Nanomaterials, Henan University, Kaifeng, 475004 (China); Yang, Jianjun, E-mail: yangjianjun@henu.edu.cn [National & Local Joint Engineering Research Center for Applied Technology of Hybrid Nanomaterials, Henan University, Kaifeng, 475004 (China); Collaborative Innovation Center of Nano Functional Materials and Applications of Henan Province, Henan University, Kaifeng, 475004 (China)

    2017-01-01

    Highlights: • TiO{sub 2} exposed with {001} facets were firstly prepared using TAN as Ti source. • The mineralization rate of MO on sample with 77% {001} facets was as high as 96%. • The superior photocatalytic activity was greatly due to {001} facets exposing. - Abstract: Anatase TiO{sub 2} nanocrystals exposed with {001} facets were fabricated by solvothermal strategy in HF-C{sub 4}H{sub 9}OH mixed solution, using titanic acid nanobelts (TAN) as a precursor. The shape of TAN is a long flat plane with a high aspect ratio, and F{sup −} is easily adsorbed on the surface of the nanobelts, inducing a higher exposure of {001} facet of TiO{sub 2} nanoparticles during the structure reorganization. The exposed percentage of {001} facets could vary from 40 to 77% by adjusting the amount of HF. The as-prepared samples were characterized by transmission electron microscopy, N{sub 2} adsorption-desorption isotherms, X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscope. The photocatalytic measurement showed that TiO{sub 2} nanocrystals with 77% {001} facets exhibited much superior photocatalytic activity for photodegradation of methyl orange, methylene blue, and rhodamine B. And what’s more, the mineralization rate of methyl orange was as high as 96% within 60 min. The photocatalytic enhancement is due to a large amount of the high energetic {001} facets exposing, the special truncated octahedral morphology and a stronger ability for dyes adsorption.

  15. Studies of structural and magnetic properties of glass-coated nanocrystalline Fe79Hf7B12Si2 microwires

    International Nuclear Information System (INIS)

    Garcia, C.; Zhukov, A.; Gonzalez, J.; Zhukova, V.; Varga, R.; Val, J.J. del; Larin, V.; Blanco, J.M.

    2006-01-01

    In the present work we deal with the fabrication of thin of Fe 79 Hf 7 B 12 Si 2 (low Si content) glass-coated microwire with a nanocrystalline structure and structural and coercivity characterization of such samples which can be considered as a new family of these nanocrystalline materials. Pieces of 10 cm of this microwire were annealed (300-600 deg. C during 1 h). The structural characteristics of the as-cast and annealed samples were determined, at room temperature, by X-ray diffraction (XRD) technique. XRD measurements allow to obtain the evolution of the grain size (15-35 nm) and relative volume fraction (5-60%) of the nanograins as a function of he annealing temperature in the annealed samples. Coercive field (H c ) of the as-cast and annealed samples has been evaluated from the hysteresis loop of the samples obtained by a conventional induction method at 100 Hz. Thermal dependence H c is quite similar to that reported in other nanocrystalline Fe-based alloys. It slightly decreases from the as-cast state (relaxation process) showing small maximum at around 700 K (pre-nucleation of nanograins) decreasing significantly between 773-873 K (exchange coupling of the nanograins)

  16. Surface morphologies of excimer-laser annealed BF2+ implanted Si diodes

    International Nuclear Information System (INIS)

    Burtsev, A.; Schut, H.; Nanver, L.K.; Veen, A. van; Slabbekoorn, J.; Scholtes, T.L.M.

    2004-01-01

    Laser-induced surface roughness and damage formation in ultra-shallow n + -p and p + -n junctions, formed by low energy (5 keV) As + and BF 2 + implantations in Si, respectively, with a dose of 1 x 10 15 cm -2 have been investigated by atomic force microscopy (AFM) and Positron Annihilation Doppler Broadening (PADB) technique. The Si surface roughness is found to increase with laser energy density, and reaches a value of 3.5 nm after excimer-laser annealing (ELA) at 1100 mJ/cm 2 . However, anomalous behavior is witnessed for BF 2 + -implanted Si sample at 800 mJ/cm 2 , at which energy very high surface protrusions up to 9 nm high are observed. By PADB this behavior is correlated to extensive deep microcavity formation in the Si whereby the volatile F 2 fraction can accumulate and evaporate/out-diffuse, leading to Si surface roughening. The consequences for the diode characteristics and contact resistivity are examined

  17. Electrical characterisation of ferroelectric field effect transistors based on ferroelectric HfO2 thin films

    International Nuclear Information System (INIS)

    Yurchuk, Ekaterina

    2015-01-01

    Ferroelectric field effect transistor (FeFET) memories based on a new type of ferroelectric material (silicon doped hafnium oxide) were studied within the scope of the present work. Utilisation of silicon doped hafnium oxide (Si:HfO 2 ) thin films instead of conventional perovskite ferroelectrics as a functional layer in FeFETs provides compatibility to the CMOS process as well as improved device scalability. The influence of different process parameters on the properties of Si:HfO 2 thin films was analysed in order to gain better insight into the occurrence of ferroelectricity in this system. A subsequent examination of the potential of this material as well as its possible limitations with the respect to the application in non-volatile memories followed. The Si:HfO 2 -based ferroelectric transistors that were fully integrated into the state-of-the-art high-k metal gate CMOS technology were studied in this work for the first time. The memory performance of these devices scaled down to 28 nm gate length was investigated. Special attention was paid to the charge trapping phenomenon shown to significantly affect the device behaviour.

  18. Radicals and ions controlling by adjusting the antenna-substrate distance in a-Si:H deposition using a planar ICP for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, H.P., E-mail: haipzhou@uestc.edu.cn [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, S., E-mail: shuyan.xu@nie.edu.sg [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, M. [Key Laboratory of Information Materials of Sichuan Province & School of Electrical and Information Engineering, Southwest University for Nationalities, Chengdu, 610041 (China); Xu, L.X.; Wei, D.Y. [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xiang, Y. [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Xiao, S.Q. [Key Laboratory of Advanced Process Control for Light Industry (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi, 214122 (China)

    2017-02-28

    Highlights: • A planar ICP was used to grow a-Si:H films for c-Si surface passivation. • The direct- and remote-plasma was compared for high-quality c-Si surface passivation. • The remote ICP with controlled plasma species and ion bombardments is preferable for the surface passivation of c-Si. - Abstract: Being a key issue in the research and fabrication of silicon heterojunction (SHJ) solar cells, crystalline silicon (c-Si) surface passivation is theoretically and technologically intricate due to its complicate dependence on plasma characteristics, material properties, and plasma-material interactions. Here amorphous silicon (a-Si:H) grown by a planar inductively coupled plasma (ICP) reactor working under different antenna-substrate distances of d was used for the surface passivation of low-resistivity p-type c-Si. It is found that the microstructures (i.e., the crystallinity, Si-H bonding configuration etc.) and passivation function on c-Si of the deposited a-Si:H were profoundly influenced by the parameter of d, which primarily determines the types of growing precursors of SiH{sub n}/H contributing to the film growth and the interaction between the plasma and growing surface. c-Si surface passivation is analyzed in terms of the d-dependent a-Si:H properties and plasma characteristics. The controlling of radical types and ion bombardment on the growing surface through adjusting parameter d is emphasized.

  19. Ripple structures on surfaces and underlying crystalline layers in ion beam irradiated Si wafers

    Energy Technology Data Exchange (ETDEWEB)

    Grenzer, J.; Muecklich, A. [Forschungszentrum Rossendorf, Institut fuer Ionenstrahlphysik und Materialforschung, Dresden (Germany); Biermanns, A.; Grigorian, S.A.; Pietsch, U. [Institute of Physics, University of Siegen (Germany)

    2009-08-15

    We report on the formation of ion beam induced ripples in Si(001) wafers when bombarded with Ar+ ions at an energy of 60 keV. A set of samples varying incidence and azimuthal angles of the ion beam with respect to the crystalline surface orientation was studied by two complementary near surface sensitive techniques, namely atomic force microscopy and depth-resolved X-ray grazing incidence diffraction (GID). Additionally, cross-section TEM investigations were carried out. The ripple-like structures are formed at the sample surface as well as at the buried amorphous-crystalline interface. Best quality of the ripple pattern was found when the irradiating ion beam was aligned parallel to the (111) planes. The quality decreases rapidly if the direction of the ion beam deviates from (111). (Abstract Copyright [2009], Wiley Periodicals, Inc.)

  20. Field-based scanning tunneling microscope manipulation of antimony dimers on Si(001)

    NARCIS (Netherlands)

    Rogge, S.; Timmerman, R.H.; Scholte, P.M.L.O.; Geerligs, L.J.; Salemink, H.W.M.

    2001-01-01

    The manipulation of antimony dimers, Sb2, on the silicon (001) surface by means of a scanning tunneling microscope (STM) has been experimentally investigated. Directed hopping of the Sb2 dimers due the STM tip can dominate over the thermal motion at temperatures between 300 and 500 K. Statistics on

  1. Effects of annealing temperature on the characteristics of ALD-deposited HfO2 in MIM capacitors

    International Nuclear Information System (INIS)

    Jeong, S.-W.; Lee, H.J.; Kim, K.S.; You, M.T.; Roh, Y.; Noguchi, T.; Xianyu, W.; Jung, J.

    2006-01-01

    We have investigated the annealing effects of HfO 2 films deposited by an atomic layer deposition (ALD) method on the electrical and physical properties in the Si/SiO 2 /Pt/ALD-HfO 2 /Pd metal-insulator-metal (MIM) capacitors. If the annealing temperature for HfO 2 films was restricted below 500 deg. C, an annealing step using a rapid thermal processor (RTP) improves the electrical properties such as the dissipation factor and the dielectric constant. On the other hand, annealing at 700 deg. C degrades the electrical characteristics in general; the dissipation factor increases over the frequency range of 1∼4 MHz, and the leakage current increases up to 2 orders at the low electric field regions. We found that the degradation of electrical properties is due to the grain growth in the HfO 2 film (i.e., poly-crystallization of the film) by the high temperature annealing processing. We suggested that the annealing temperature must be restricted below 500 deg. C to obtain the high quality high-k film for the MIM capacitors

  2. Formation of Mn3O4(001) on MnO(001): Surface and interface structural stability

    International Nuclear Information System (INIS)

    Bayer, Veronika; Podloucky, Raimund; Franchini, Cesare; Allegretti, Francesco; Xu, Bo; Parteder, Georg; Ramsey, Michael G.; Surnev, Svetlozar; Netzer, Falko P.

    2007-01-01

    X-ray absorption and photoemission spectroscopies, high-resolution electron energy loss spectroscopy, spot profile analysis low energy electron diffraction, and density functional theory calculations are employed to study the growth of (001) oriented Mn 3 O 4 surfaces on a Pd(100)-supported MnO(001) substrate, with the Hausmannite planar lattice constants aligned along the [110] direction of the underlying MnO(001) support. We show that despite the rather large lattice mismatch, abrupt interfaces may exist between rocksalt MnO and Hausmannite. We argue that this process is facilitated by the relatively low computed strain energy and we propose realistic models for the interface. An atop site registry between the Mn(O) atoms of the oxygen rich Mn 3 O 4 termination and the MnO(001) O(Mn) atoms underneath is found to be the energetically most favorable configuration. The significant planar expansion is accompanied by a large compression of the Mn 3 O 4 vertical lattice constant, yielding structural distortion of the O-Mn-O octahedral axis. Spot profile analysis low energy electron diffraction experiments show that the conversion reaction proceeds easily in both directions, thus indicating the reversible redox character of the transition

  3. Detecting Fermi-level shifts by Auger electron spectroscopy in Si and GaAs

    Science.gov (United States)

    Debehets, J.; Homm, P.; Menghini, M.; Chambers, S. A.; Marchiori, C.; Heyns, M.; Locquet, J. P.; Seo, J. W.

    2018-05-01

    In this paper, changes in surface Fermi-level of Si and GaAs, caused by doping and cleaning, are investigated by Auger electron spectroscopy. Based on the Auger voltage contrast, we compared the Auger transition peak energy but with higher accuracy by using a more accurate analyzer and an improved peak position determination method. For silicon, a peak shift as large as 0.46 eV was detected when comparing a cleaned p-type and n-type wafer, which corresponds rather well with the theoretical difference in Fermi-levels. If no cleaning was applied, the peak position did not differ significantly for both wafer types, indicating Fermi-level pinning in the band gap. For GaAs, peak shifts were detected after cleaning with HF and (NH4)2S-solutions in an inert atmosphere (N2-gas). Although the (NH4)2S-cleaning in N2 is very efficient in removing the oxygen from the surface, the observed Ga- and As-peak shifts are smaller than those obtained after the HF-cleaning. It is shown that the magnitude of the shift is related to the surface composition. After Si-deposition on the (NH4)2S-cleaned surface, the Fermi-level shifts back to a similar position as observed for an as-received wafer, indicating that this combination is not successful in unpinning the Fermi-level of GaAs.

  4. Surface oxidation of porous ZrB2-SiC ceramic composites by continuous-wave ytterbium fibre laser

    International Nuclear Information System (INIS)

    Mahmod, Dayang Salyani Abang; Glandut, Nicolas; Khan, Amir Azam; Labbe, Jean-Claude

    2015-01-01

    Highlights: • Surface oxidation of ZrB 2 -SiC ceramic composites by Yb-fibre laser. • Round spiral laser pattern created for the surface oxidation. • Presence of laser-formed oxide scale and unaffected beneath regions. • Crazed but uncracked surface oxide. • A dense glassy SiO 2 -rich layer exhibited enhances oxidation resistance. - Abstract: Surface treatment of ceramic substrates by a laser beam can allow to incorporate interesting properties to these ceramics. In the present work, surface oxidation of ca. 30% porous ZrB 2 -SiC ceramic composites by using an ytterbium fibre laser was conducted. Oxidation of ceramic substrates through this process under ambient conditions has certain advantages compared to the classical oxidation method. A particular spiral laser pattern was created in order to produce an oxidized structure on ZrB 2 -SiC porous substrates. The laser parameters were as follows i.e., laser power of 50, 60 and 70 W, a beam diameter of 1.25 mm, velocity of 2 mm/s, acceleration and deceleration of 1 mm/s 2 . The microstructural and morphological changes in the laser-treated region was examined using scanning electron microscopy, energy dispersive X-ray spectroscopy, and X-ray diffraction. At laser power of 70 W, the sample exhibits uniform oxidation. It revealed that the very porous bulk beneath remained unaffected and unoxidized because this laser-formed oxide scale protects the substrate from oxidation. The presence of oxidized and unaffected regions indicated a high degree of heat localization. The dense glassy SiO 2 -rich layer prevents the inward oxygen diffusion into the inner bulk hence enhances the oxidation resistance.

  5. Investigation of the effect of the incorporated Fe atoms in the ion-beam induced nanopatterns on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Khanbabaee, Behnam; Biermanns, Andreas; Pietsch, Ullrich [Siegen Univ. (Germany). Festkoerperphysik; Cornejo, Marina; Frost, Frank [Leibniz-Institute fuer Oberflaechenmodifizierung e.V. (IOM), Leipzig (Germany)

    2012-07-01

    Ion beam erosion of semiconductor surfaces can modify the surface and depends on main sputtering parameters; different surface topographies such as ripple or dot like pattern are fabricated on the surface. Recent experiments have shown that the incorporation of foreign metallic atoms during the sputtering process plays a crucial role in pattern formation on surfaces. In the result of investigation we report on the depth profile of Fe atoms incorporated in sputtering process on Si(100) with low energy Kr ion beam. X-ray reflectivity (XRR) measurements determine the concentration profile of Fe atoms. X-ray absorption near edge spectroscopy (XANES) at the Fe K-edge (7112 eV) shows the formation of Fe rich silicide near surface region. X-ray photoelectron spectroscopy (XPS) shows a shift in the binding energy of Si2p levels at the surface compared top bulk confirming the formation of different phases of Fe-silicide on tope and below the surface. The depth profiles obtained by XRR are compared to results obtained by complementary secondary-ion mass spectrometry (SIMS).

  6. Surface modelling on heavy atom crystalline compounds: HfO2 and UO2 fluorite structures

    International Nuclear Information System (INIS)

    Evarestov, Robert; Bandura, Andrei; Blokhin, Eugeny

    2009-01-01

    The study of the bulk and surface properties of cubic (fluorite structure) HfO 2 and UO 2 was performed using the hybrid Hartree-Fock density functional theory linear combination of atomic orbitals simulations via the CRYSTAL06 computer code. The Stuttgart small-core pseudopotentials and corresponding basis sets were used for the core-valence interactions. The influence of relativistic effects on the structure and properties of the systems was studied. It was found that surface properties of Mott-Hubbard dielectric UO 2 differ from those found for other metal oxides with the closed-shell configuration of d-electrons

  7. Determinants of quality of life of patients with heart failure and iron deficiency treated with ferric carboxymaltose: FAIR-HF sub-analysis.

    Science.gov (United States)

    Gutzwiller, Florian S; Pfeil, Alena M; Comin-Colet, Josep; Ponikowski, Piotr; Filippatos, Gerasimos; Mori, Claudio; Braunhofer, Peter G; Szucs, Thomas D; Schwenkglenks, Matthias; Anker, Stefan D

    2013-10-09

    Heart failure (HF) is a burden to patients and health care systems. The objectives of HF treatment are to improve health related quality of life (HRQoL) and reduce mortality and morbidity. We aimed to evaluate determinants of health-related quality of life (HRQoL) in patients with iron deficiency and HF treated with intravenous (i.v.) iron substitution or placebo. A randomised, double-blind, placebo-controlled trial (n = 459) in iron-deficient chronic heart failure (CHF) patients with or without anaemia studied clinical and HRQoL benefits of i.v. iron substitution using ferric carboxymaltose (FCM) over a 24-week trial period. Multivariate analysis was carried out with various clinical variables as independent variables and HRQoL measures as dependent variables. Mean change from baseline of European Quality of Life - 5 Dimensions (EQ-5D) (value set-based) utilities (on a 0 to 100 scale) at week 24 was 8.91 (i.v. iron) and 0.68 (placebo; p model remained stable. In this study, i.v. iron substitution, exercise tolerance, stroke, country of residence and renal function influenced measures of HRQoL in patients with heart failure and iron deficiency. © 2013.

  8. Tensile behavior of laser treated Fe-Si-B metallic glass

    Energy Technology Data Exchange (ETDEWEB)

    Joshi, Sameehan S.; Samimi, Peyman; Ghamarian, Iman; Katakam, Shravana; Collins, Peter C.; Dahotre, Narendra B., E-mail: narendra.dahotre@unt.edu [Department of Materials Science and Engineering, University of North Texas, 1150 Union Circle 305310, Denton, Texas 76203-5017 (United States)

    2015-10-28

    Fe-Si-B metallic glass foils were treated with a linear laser track using a continuous wave Nd-YAG laser and its effect on the overall tensile behavior was investigated. Microstructure and phase evolutions were evaluated using X-ray diffraction, resistivity measurements, and transmission electron microscopy. Crystallization fraction was estimated via the differential scanning calorimetry technique. Metallic glass foils treated with the lower laser fluences (<0.49 J/mm{sup 2}) experienced structural relaxation, whereas higher laser fluences led to crystallization within the laser treated region. The overall tensile behavior was least impacted by structural relaxation, whereas crystallization severely reduced the ultimate tensile strength of the laser treated metallic glass foils.

  9. Molecular-dynamics theory of the temperature-dependent surface phonons of W(001)

    International Nuclear Information System (INIS)

    Wang, C.Z.; Fasolino, A.; Tosatti, E.

    1987-04-01

    We study the temperature-dependent zone-boundary surface phonons across the c(2x2)→1x1 reconstruction phase transition of the clean W(001) surface. Velocity-velocity correlations and hence the phonon spectral densities are calculated by molecular dynamics for the surface atoms of a finite thickness (001) slab, with interatomic potentials established in a previous study of the surface statics. Our calculated k = (1/2,1/2)(2π/a) surface phonon are dominated by three main low-frequency modes. Of these, the longitudinal and the shear horizontal are reconstruction-related and display critical broadening and softening at the phase transition, while the third, the shear vertical, is basically unaffected. The reconstruction phase mode, shear horizontal, appears to be responsible for the phase fluctuations which destroy long-range order at the transition. (author). 30 refs, 12 figs

  10. First Principles Calculations of Oxygen Adsorption on the UN(001) Surface

    International Nuclear Information System (INIS)

    Zhukovskii, Yuri F.; Bocharov, Dmitry; Kotomin, Eugene Alexej; Evarestov, Robert; Bandura, A.V.

    2009-01-01

    Fabrication, handling and disposal of nuclear fuel materials require comprehensive knowledge of their surface morphology and reactivity. Due to unavoidable contact with air components (even at low partial pressures), UN samples contain considerable amount of oxygen impurities affecting fuel properties. In this study we focus on reactivity of the energetically most stable (001) substrate of uranium nitride towards the atomic oxygen as one of initial stages for further UN oxidation. The basic properties of O atoms adsorbed on the UN(001) surface are simulated here combining the two first principles calculation methods based on the plane wave basis set and that of the localized orbitals.

  11. Surface segregation of Ge during Si growth on Ge/Si(0 0 1) at low temperature observed by high-resolution RBS

    International Nuclear Information System (INIS)

    Nakajima, K.; Hosaka, N.; Hattori, T.; Kimura, K.

    2002-01-01

    The Si/Ge/Si(0 0 1) multilayer with about 1 ML Ge layer is fabricated by evaporating Si overlayer on a Ge/Si(0 0 1) surface at 20-300 deg. C. The depth profile of the Ge atoms is observed by high-resolution Rutherford backscattering spectroscopy to investigate the possibility of Ge delta doping in Si. The observed profile of the Ge atoms spreads over several atomic layers even at 20 deg. C and a significant amount of Ge is located in the surface layer at higher temperatures. The results at 20-150 deg. C are well explained with two-layer model for surface segregation of the Ge atoms and the segregation rates are estimated. The activation energy for surface segregation of Ge atoms in amorphous Si is evaluated to be 0.035 eV, which is much smaller than the value reported for Si deposition at 500 deg. C. The small activation energy suggests that local heating during the Si deposition is dominant at low temperature

  12. Molecular modeling of alkyl monolayers on the Si (100)-2 x 1 surface

    NARCIS (Netherlands)

    Lee, M.V.; Guo, D.; Linford, M.R.; Zuilhof, H.

    2004-01-01

    Molecular modeling was used to simulate various surfaces derived from the addition of 1-alkenes and 1-alkynes to Si=Si dimers on the Si(100)-2 × 1 surface. The primary aim was to better understand the interactions between adsorbates on the surface and distortions of the underlying silicon crystal

  13. SHI induced effects on the electrical and optical properties of HfO_2 thin films deposited by RF sputtering

    International Nuclear Information System (INIS)

    Manikanthababu, N.; Dhanunjaya, M.; Nageswara Rao, S.V.S.; Pathak, A.P.

    2016-01-01

    The continuous downscaling of Metal Oxide Semiconductor (MOS) devices has reached a limit with SiO_2 as a gate dielectric material. Introducing high-k dielectric materials as a replacement for the conservative SiO_2 is the only alternative to reduce the leakage current. HfO_2 is a reliable and an impending material for the wide usage as a gate dielectric in semiconductor industry. HfO_2 thin films were synthesized by RF sputtering technique. Here, we present a study of Swift Heavy Ion (SHI) irradiation with100 MeV Ag ions for studying the optical properties as well as 80 MeV Ni ions for studying the electrical properties of HfO_2/Si thin films. Rutherford Backscattering Spectrometry (RBS), Field Emission Scanning Electron Microscope (FESEM), energy-dispersive X-ray spectroscopy (EDS), profilometer and I–V (leakage current) measurements have been employed to study the SHI induced effects on both the structural, electrical and optical properties.

  14. Effects of Inoculum Amount, Initial pH, and Nicotine Load on the Set-Up of Bioaugmented System with Pseudomonas Sp. HF-1 to Treat Tobacco Wastewater

    Directory of Open Access Journals (Sweden)

    Kun Zhang

    2014-01-01

    Full Text Available This study evaluated and optimized the influence of inoculum amount, initial pH, and nicotine load on the construction of Pseudomonas sp. HF-1 bioaugmented system for tobacco wastewater treatment. The results demonstrated that the optimum condition for the set-up of strain HF-1 bioaugmented system was 1.10 mg/g (dry weight of strain HF-1/dry weight of activated sludge of inoculum amount, initial pH 7.0, and 250–1000 mg/L nicotine load. Higher than 1.10 mg/g could lead to noncolonization of strain HF-1 in activated sludge and failure of set-up of this bioaugmented system. Higher than pH 8.0 could restrain the colonization of strain HF-1 in activated sludge. Even though strain HF-1 colonizes in the activated sludge when pH was above 8.0, the removal of nicotine and total organic carbon (TOC was suppressed due to low activities of bacteria in the activated sludge. Nicotine load did not show inhibition effect on set-up of bioaugmented system, but the ability of TOC removal was restrained when the nicotine load was above 1000 mg/L. This work could offer vital parameters for the set-up of bioaugmented system to treat tobacco wastewater in engineering.

  15. DNA immobilization on polymer-modified Si surface by controlling pH

    International Nuclear Information System (INIS)

    Demirel, Goekcen Birlik; Caykara, Tuncer

    2009-01-01

    A novel approach based on polymer-modified Si surface as DNA sensor platforms is presented. The polymer-modified Si surface was prepared by using 3-(methacryloxypropyl)trimethoxysilane [γ-MPS] and poly(acrylamide) [PAAm]. Firstly, a layer of γ-MPS was formed on the hydroxylated silicon surface as a monolayer and then modified with different molecular weight of PAAm to form polymer-modified surface. The polymer-modified Si surface was used for dsDNA immobilization. All steps about formation of layer structure were characterized by ellipsometry, atomic force microscopy (AFM), attenuated total reflectance Fourier transformed infrared (ATR-FTIR), and contact angle (CA) measurements. We found that in this case the amount of dsDNA immobilized onto the surface was dictated by the electrostatic interaction between the substrate surface and the DNA. Our results thus demonstrated that DNA molecules could be immobilized differently onto the polymer-modified support surface via electrostatic interactions.

  16. Facile and efficient synthesis of the surface tantalum hydride (≡SiO)2TaIIIH and tris-siloxy tantalum (≡SiO)3TaIII starting from novel tantalum surface species (≡SiO)TaMe4 and (≡SiO)2TaMe 3

    KAUST Repository

    Chen, Yin

    2014-03-10

    By grafting of TaMe5 (1) on the surface of silica partially dehydroxylated at 500 C (silica500), a mixture of (≡SiO)TaMe4 (2a; major, 65 ± 5%) and (≡SiO) 2TaMe3 (2b; minor, 35 ± 5%) was produced, which has been characterized by microanalysis, IR, and SS NMR (1H, 13C, 1H-13C HETCOR, proton double and triple quantum). After grafting, these surface organometallic compounds are more stable than the precursor TaMe5. Treatment of 2a,b with water and H 2 resulted in the formation of methane in amount of 3.6 ± 0.2 and 3.4 ± 0.2 mol/grafted Ta, respectively. 2a,b react with H2 (800 mbar) to form (≡SiO)2TaH. After (≡SiO) 2TaH was heated to 500 C under hydrogen or vacuum, [(≡SiO) 3Ta][≡SiH] was produced, and the structure was confirmed by IR, NMR, and EXAFS. Considering the difficulty of the previous preparation method, these syntheses represent a facile and convenient way to prepare tantalum surface species (≡SiO)2TaH and (≡SiO)3Ta via the intermediate of the new surface organometallic precursors: (≡SiO)TaMe4/(≡SiO)2TaMe3. (≡SiO)2TaH and (≡SiO)3Ta exhibit equal reactivities in alkane metathesis and ethylene polymerization in comparison to those in previous reports. © 2014 American Chemical Society.

  17. Facile and efficient synthesis of the surface tantalum hydride (≡SiO)2TaIIIH and tris-siloxy tantalum (≡SiO)3TaIII starting from novel tantalum surface species (≡SiO)TaMe4 and (≡SiO)2TaMe 3

    KAUST Repository

    Chen, Yin; Ould-Chikh, Samy; Abou-Hamad, Edy; Callens, Emmanuel; Mohandas, Janet Chakkamadathil; Khalid, Syed M.; Basset, Jean-Marie

    2014-01-01

    By grafting of TaMe5 (1) on the surface of silica partially dehydroxylated at 500 C (silica500), a mixture of (≡SiO)TaMe4 (2a; major, 65 ± 5%) and (≡SiO) 2TaMe3 (2b; minor, 35 ± 5%) was produced, which has been characterized by microanalysis, IR, and SS NMR (1H, 13C, 1H-13C HETCOR, proton double and triple quantum). After grafting, these surface organometallic compounds are more stable than the precursor TaMe5. Treatment of 2a,b with water and H 2 resulted in the formation of methane in amount of 3.6 ± 0.2 and 3.4 ± 0.2 mol/grafted Ta, respectively. 2a,b react with H2 (800 mbar) to form (≡SiO)2TaH. After (≡SiO) 2TaH was heated to 500 C under hydrogen or vacuum, [(≡SiO) 3Ta][≡SiH] was produced, and the structure was confirmed by IR, NMR, and EXAFS. Considering the difficulty of the previous preparation method, these syntheses represent a facile and convenient way to prepare tantalum surface species (≡SiO)2TaH and (≡SiO)3Ta via the intermediate of the new surface organometallic precursors: (≡SiO)TaMe4/(≡SiO)2TaMe3. (≡SiO)2TaH and (≡SiO)3Ta exhibit equal reactivities in alkane metathesis and ethylene polymerization in comparison to those in previous reports. © 2014 American Chemical Society.

  18. Mechanical properties of thermomechanical treated hyper-eutectic Al-Si-(Fe, Mn, Cu) materials

    OpenAIRE

    Umezawa, Osamu

    2005-01-01

    Tensile and high-cycle fatigue behavior of thermomechanical treated hyper-eutectic Al-Si-(Fe, Mn, Cu) materials were studied. Through the repeated thermomechanical treatment (RTMT) which is a repeat of the multi steps cold-working followed by heat treatment, Si crystals and/or intermetallic compounds were broken into some fragments and dispersed in the aluminum matrix. Fine dispersion of the second phase particles exhibited good ductility, since early fracture was overcome. A few large Si cry...

  19. X-ray in-situ study of copper electrodeposition on UHV prepared GaAs(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Gruender, Yvonne

    2008-06-02

    For this work a unique setup for in-situ electrochemical studies was employed and improved. This setup permits UHV preparation of the GaAs(001) surface with a defined surface termination (arsenic-rich or gallium-rich) and its characterization by SXRD in UHV, under ambient pressure in inert gas and in electrolyte under potential control without passing through air. The GaAs(001) surfaces were capped by amorphous arsenic. This permitted to ship them through ambient air. Afterwards smooth well defined GaAs(001) surfaces could be recovered by thermal annealing in UHV. A first investigation of the arsenic capped sample was done by atomic force microscopy (AFM) and Surface X-Ray Diffraction (SXRD). The non bulk like termination of the arsenic buried GaAs(001) surface was revealed. For the electrochemical metal deposition, arsenic terminated (2 x 4) reconstructed and gallium terminated (4 x 2) reconstructed GaAs(001) surfaces were employed. These surfaces were characterized by STM, LEED and a first time by SXRD. The surfaces are smooth, however, a higher degree of disorder than for MBE prepared reconstructed GaAs(001) is found. After exposure of the sample to nitrogen, the surfaces were then again studied by SXRD. These two steps characterizing the bare GaAs(001) surfaces permitted us to get a better knowledge of the starting surface and its influence on the later electrodeposited copper. At ambient pressure both reconstructions are lifted, but the surface is not bulk-like terminated as can be deduced from the crystal truncation rods. Epitaxial copper clusters grow upon electrodeposition on the UHV prepared GaAs(001) surface. The copper lattice is rotated and inclined with respect to the GaAs substrate lattice, leading to eight symmetry equivalent domains. The influence of the surface termination as well as the nucleation potential on the structure of the electrodeposited copper were investigated. The tilt and rotation angles do not depend on the deposition potential but

  20. Effect of Laser Feeding on Heat Treated Aluminium Alloy Surface Properties

    Directory of Open Access Journals (Sweden)

    Labisz K.

    2016-06-01

    Full Text Available In this paper are presented the investigation results concerning microstructure as well as mechanical properties of the surface layer of cast aluminium-silicon-copper alloy after heat treatment alloyed and/ or remelted with SiC ceramic powder using High Power Diode Laser (HPDL. For investigation of the achieved structure following methods were used: light and scanning electron microscopy with EDS microanalysis as well as mechanical properties using Rockwell hardness tester were measured. By mind of scanning electron microscopy, using secondary electron detection was it possible to determine the distribution of ceramic SiC powder phase occurred in the alloy after laser treatment. After the laser surface treatment carried out on the previously heat treated aluminium alloys, in the structure are observed changes concerning the distribution and morphology of the alloy phases as well as the added ceramic powder, these features influence the hardness of the obtained layers. In the structure, there were discovered three zones: the remelting zone (RZ the heat influence zone (HAZ and transition zone, with different structure and properties. In this paper also the laser treatment conditions: the laser power and ceramic powder feed rate were investigated. The surface laser structure changes in a manner, that there zones are revealed in the form of. This carried out investigations make it possible to develop, interesting technology, which could be very attractive for different branches of industry.

  1. Enhanced the hydrophobic surface and the photo-activity of TiO2-SiO2 composites

    Science.gov (United States)

    Wahyuni, S.; Prasetya, A. T.

    2017-02-01

    The aim of this research is to develop nanomaterials for coating applications. This research studied the effect of various TiO2-SiO2 composites in acrylic paint to enhance the hydrophobic properties of the substrate. Titanium dioxide containing silica in the range 20-35 mol% has been synthesized using sol-gel route. The XRD’s spectra show that increasing SiO2 content in the composite, decreasing its crystalline properties but increasing the surface area. TiO2-SiO2 composite was dispersed in acrylic paint in 2% composition by weight. The largest contact angle was 70, which produced by the substrate coated with TS-35-modified acrylic paint. This study also investigated the enhanced photo-activity of TiO2-SiO2 modified with poly-aniline. The XRD spectra show that the treatment does not change the crystal structure of TiO2. The photo-activity of the composite was evaluated by degradation of Rhodamine-B with visible light. The best performance of the degradation process was handled by the composite treated with 0.1mL anilines per gram of TiO2-SiO2 composite (TSP-A). On the other side, the contact angle 70 has not shown an excellent hydrophobic activity. However, the AFM spectra showed that nanoroughness has started to form on the surface of acrylic paint modified with TiO2-SiO2 than acrylic alone.

  2. Interface engineered HfO2-based 3D vertical ReRAM

    International Nuclear Information System (INIS)

    Hudec, Boris; Wang, I-Ting; Lai, Wei-Li; Chang, Che-Chia; Hou, Tuo-Hung; Jančovič, Peter; Fröhlich, Karol; Mičušík, Matej; Omastová, Mária

    2016-01-01

    We demonstrate a double-layer 3D vertical resistive random access memory (ReRAM) stack implementing a Pt/HfO 2 /TiN memory cell. The HfO 2 switching layer is grown by atomic layer deposition on the sidewall of a SiO 2 /TiN/SiO 2 /TiN/SiO 2 multilayer pillar. A steep vertical profile was achieved using CMOS-compatible TiN dry etching. We employ in situ TiN bottom interface engineering by ozone, which results in (a) significant forming voltage reduction which allows for forming-free operation in AC pulsed mode, and (b) non-linearity tuning of low resistance state by current compliance during Set operation. The vertical ReRAM shows excellent read and write disturb immunity between vertically stacked cells, retention over 10 4 s and excellent switching stability at 400 K. Endurance of 10 7 write cycles was achieved using 100 ns wide AC pulses while fast switching speed using pulses of only 10 ns width is also demonstrated. The active switching region was evaluated to be located closer to the bottom interface which allows for the observed high endurance. (paper)

  3. Electrical characterisation of ferroelectric field effect transistors based on ferroelectric HfO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Yurchuk, Ekaterina

    2015-02-06

    Ferroelectric field effect transistor (FeFET) memories based on a new type of ferroelectric material (silicon doped hafnium oxide) were studied within the scope of the present work. Utilisation of silicon doped hafnium oxide (Si:HfO{sub 2}) thin films instead of conventional perovskite ferroelectrics as a functional layer in FeFETs provides compatibility to the CMOS process as well as improved device scalability. The influence of different process parameters on the properties of Si:HfO{sub 2} thin films was analysed in order to gain better insight into the occurrence of ferroelectricity in this system. A subsequent examination of the potential of this material as well as its possible limitations with the respect to the application in non-volatile memories followed. The Si:HfO{sub 2}-based ferroelectric transistors that were fully integrated into the state-of-the-art high-k metal gate CMOS technology were studied in this work for the first time. The memory performance of these devices scaled down to 28 nm gate length was investigated. Special attention was paid to the charge trapping phenomenon shown to significantly affect the device behaviour.

  4. SiN sub x passivation of silicon surfaces

    Science.gov (United States)

    Olsen, L. C.

    1986-01-01

    The objectives were to perform surface characterization of high efficiency n+/p and p+/n silicon cells, to relate surface density to substrate dopant concentration, and to identify dominant current loss mechanisms in high efficiency cells. The approach was to measure density of states on homogeneously doped substrates with high frequency C-V and Al/SiN sub x/Si structures; to investigate density of states and photoresponse of high efficiency N+/P and P+/N cells; and to conduct I-V-T studies to identify current loss nechanisms in high efficiency cells. Results are given in tables and graphs.

  5. Ultrahigh B doping (≤1022 cm-3) during Si(001) gas-source molecular-beam epitaxy: B incorporation, electrical activation, and hole transport

    International Nuclear Information System (INIS)

    Glass, G.; Kim, H.; Desjardins, P.; Taylor, N.; Spila, T.; Lu, Q.; Greene, J. E.

    2000-01-01

    Si(001) layers doped with B concentrations C B between 1x10 17 and 1.2x10 22 cm -3 (24 at %) were grown on Si(001)2x1 at temperatures T s =500-850 degree sign C by gas-source molecular-beam epitaxy from Si 2 H 6 and B 2 H 6 . C B increases linearly with the incident precursor flux ratio J B 2 H 6 /J Si 2 H 6 and B is incorporated into substitutional electrically active sites at concentrations up to C B * (T s ) which, for T s =600 degree sign C, is 2.5x10 20 cm -3 . At higher B concentrations, C B increases faster than J B 2 H 6 /J Si 2 H 6 and there is a large and discontinuous decrease in the activated fraction of incorporated B. However, the total activated B concentration continues to increase and reaches a value of N B =1.3x10 21 cm -3 with C B =1.2x10 22 cm -3 . High-resolution x-ray diffraction (HR-XRD) and reciprocal space mapping measurements show that all films, irrespective of C B and T s , are fully strained. No B precipitates or misfit dislocations were detected by HR-XRD or transmission electron microscopy. The lattice constant in the film growth direction a (perpendicular sign) decreases linearly with increasing C B up to the limit of full electrical activation and continues to decrease, but nonlinearly, with C B >C B * . Room-temperature resistivity and conductivity mobility values are in good agreement with theoretical values for B concentrations up to C B =2.5x10 20 and 2x10 21 cm -3 , respectively. All results can be explained on the basis of a model which accounts for strong B surface segregation to the second-layer with a saturation coverage θ B,sat of 0.5 ML (corresponding to C B =C B * ). At higher C B (i.e., θ B >θ B,sat ), B accumulates in the upper layer as shown by thermally programmed desorption measurements, and a parallel incorporation channel becomes available in which B is incorporated into substitutional sites as B pairs that are electrically inactive but have a low charge-scattering cross section. (c) 2000 The American Physical

  6. Effect of Peripheral Arterial Disease on Functional and Clinical Outcomes in Patients with Heart Failure From HF-ACTION

    Science.gov (United States)

    Jones, W. Schuyler; Clare, Robert; Ellis, Stephen J.; Mills, James S.; Fischman, David L.; Kraus, William E.; Whellan, David J.; O'Connor, Christopher M.; Patel, Manesh R.

    2011-01-01

    Patients with peripheral arterial disease (PAD) have lower functional capacity and worse clinical outcomes than age and gender matched patients. Few data exist on the relationship of PAD with functional and clinical outcomes in heart failure (HF) patients. We sought to compare HF patients with and without PAD for baseline functional capacity, response to exercise training, and clinical outcomes. HF-ACTION was a randomized controlled trial comparing usual care to structured exercise training plus usual care in HF patients with an ejection fraction ≤ 35% and NYHA class II – IV heart failure symptoms. Cardiopulmonary exercise (CPX) testing occurred at enrollment, 3 months, and 1 year. Clinical follow-up occurred up to 4 years. Of the 2331 HF-ACTION patients, 157 (6.8%) had PAD. At baseline, HF patients with PAD had a lower exercise duration (8.0 vs. 9.8 minutes, p<0.001), lower peak oxygen consumption (VO2) (12.5 vs. 14.6 mL/kg/min, p<0.001), and shorter six minute walking distance (306 vs. 371 meters, p<0.001) compared to HF patients without PAD. At three months, HF patients with PAD had less improvement on CPX testing [exercise duration (0.5 vs. 1.1 minutes; p=0.002) and peak VO2 (mean change; 0.1 vs. 0.6 mL/kg/min; p=0.04)] compared to HF patients without PAD. PAD was an independent predictor of all-cause death or hospitalization [hazard ratio (95% CI); 1.31 (1.06 – 1.62), p=0.011]. PAD patients with HF have depressed baseline exercise capacity and decreased response to exercise training. In conclusion, PAD is an independent predictor of all-cause death or hospitalization in HF patients. PMID:21565325

  7. Investigation of the {Fe}/{Si} interface and its phase transformations

    Science.gov (United States)

    Fanciulli, M.; Degroote, S.; Weyer, G.; Langouche, G.

    1997-04-01

    Thin 57Fe films (3-10 Å) have been grown by molecular beam epitaxy (MBE) on (7 × 7) reconstructed Si(111) and (2 × 1) reconstructed Si(001) surfaces and by e-gun evaporation on an H-terminated Si(111) surface. Conversion electron Mössbauer spectroscopy (CEMS) with high statistical accuracy and resolution allowed a detailed microscopic investigation of the silicide formation mechanism and of the structural phase transformations upon annealing.

  8. Microstructural Evolution of NiCoCrAlHfYSi and NiCoCrAlTaY Coatings Deposited by AC-HVAF and APS

    Science.gov (United States)

    Han, Yujun; Chen, Hongfei; Gao, Dong; Yang, Guang; Liu, Bin; Chu, Yajie; Fan, Jinkai; Gao, Yanfeng

    2017-12-01

    The chemical composition of NiCoCrAlHfYSi with a suitable particle size, deposited using an activated combustion-high velocity air fuel (AC-HVAF) spray, is a potentially promising process because dense, continuous and pure alumina can be formed on the surface of the MCrAlY metallic coatings after isothermal oxidation exposure. The NiCoCrAlHfYSi (Amdry386) and NiCoCrAlTaY (Amdry997) coatings were produced using AC-HVAF and APS, respectively. Isothermal oxidation was subsequently conducted at 1050 °C in air for 200 h. This paper compares the characteristics of four coated samples, including the surface roughness, elastic modulus, hardness, oxide content, microstructural characteristics and phase evolution of thermally grown oxides (TGO). The growth of both the TGO and alumina scales in the TGO of the HVAF386 coating was relatively rapid. The θ- to α-alumina phase transformation was strongly determined by the Hf and Si dopants in the HVAF386 coating. Finally, the extent of grain refinement and deformation storage energy in the HVAF997 coatings were determined to be significantly crucial for the θ- to α-alumina phase transformation.

  9. A computational study on the adsorption configurations and reactions of SiH{sub x}(x = 1-4) on clean and H-covered Si(100) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Le, Thong N-M [Molecular Science and Nano-Materials Laboratory, Institute for Computational Science and Technology, Quang Trung Software Park, Dist. 12, Ho Chi Minh City (Viet Nam); Raghunath, P. [Center for Interdisciplinary Molecular Science, Department of Applied Chemistry, National Chiao Tung University, Hsinchu 300, Taiwan (China); Huynh, Lam K., E-mail: lamhuynh.us@gmail.com [Department of Applied Chemistry, School of Biotechnology,International University, VNU-HCMC, Quarter 6, Linh Trung, Thu Duc District, Ho Chi Minh City (Viet Nam); Lin, M.C., E-mail: chemmcl@emory.edu [Center for Interdisciplinary Molecular Science, Department of Applied Chemistry, National Chiao Tung University, Hsinchu 300, Taiwan (China)

    2016-11-30

    Highlights: • Possible adsorption configurations of all adsorbates on Si(100) surface are systematically explored. • The mechanisms leading to the formation of silicon adatoms on the surface are proposed. • The barriers for hydrogen abstractions from the surface are negligible comparing to the barriers for the hydrogen migrations. • The barriers for hydrogen abstractions from the adsorbed speices are negligible comparing to the barriers for the decompositions. - Abstract: Possible adsorption configurations of H and SiH{sub x} (x = 1 − 4) on clean and H-covered Si(100) surfaces are determined by using spin-polarized DFT calculations. The results show that, on the clean surface, the gas-phase hydrogen atom and SiH{sub 3} radicals effectively adsorb on the top sites, while SiH and SiH{sub 2} prefer the bridge sites of the first layer. Another possibility for SiH is to reside on the hollow sites with a triple-bond configuration. For a partially H-coverd Si(100) surface, the mechanism is similar but with higher adsorption energies in most cases. This suggests that the surface species become more stable in the presence of surface hydrogens. The minimum energy paths for the adsorption/migration and reactions of H/SiH{sub x} species on the surfaces are explored using the climbing image-nudged elastic band method. The competitive surface processes for Si thin-film formation from SiH{sub x} precursors are also predicted. The study reveals that the migration of hydrogen adatom is unimportant with respect to leaving open surface sites because of its high barriers (>29.0 kcal/mol). Alternatively, the abstraction of hydrogen adatoms by H/SiH{sub x} radicals is more favorable. Moreover, the removal of hydrogen atoms from adsorbed SiH{sub x}, an essential step for forming Si layers, is dominated by abstraction rather than the decomposition processes.

  10. Alkyl-terminated Si(111) surfaces: A high-resolution, core level photoelectron spectroscopy study

    Energy Technology Data Exchange (ETDEWEB)

    Terry, J.; Linford, M.R.; Wigren, C.; Cao, R.; Pianetta, P.; Chidsey, C.E. [Stanford University, Stanford, California 94309 (United States)

    1999-01-01

    The bonding of alkyl monolayers to Si(111) surfaces has been studied with high-resolution core level photoelectron spectroscopy (PES). Two very different wet-chemical methods have been used to prepare the alkyl monolayers: (i) Olefin insertion into the H{endash}Si bond of the H{endash}Si(111) surface, and (ii) replacement of Cl on the Cl{endash}Si(111) surface by an alkyl group from an alkyllithium reagent. In both cases, PES has revealed a C 1s component shifted to lower binding energy and a Si 2p component shifted to higher binding energy. Both components are attributed to the presence of a C{endash}Si bond at the interface. Along with photoelectron diffraction data [Appl. Phys. Lett. {bold 71}, 1056, (1997)], these data are used to show that these two synthetic methods can be used to functionalize the Si(111) surface. {copyright} {ital 1999 American Institute of Physics.}

  11. Study on Brewster angle thin film polarizer using hafnia-silica mixture as high-refractive-index material

    Science.gov (United States)

    Xu, Nuo; Zhu, Meiping; Sun, Jian; Chai, Yingjie; Kui, Yi; Zhao, Yuanan; Shao, Jianda

    2018-02-01

    Two kinds of polarizer coatings were prepared by electron beam evaporation, using HfO2-SiO2 mixture and HfO2 as the high-refractive-index materials, respectively. The HfO2-SiO2 mixture layer was implemented by coevaporating SiO2 and metal Hf, the materials were deposited at an oxygen atmosphere to achieve stoichiometric coatings. The certain HfO2 and SiO2 content ratio is controlled by adjusting the deposition rate of HfO2 and SiO2 using individual quartz crystal monitor. The spectral performance, surface and interfacial properties, as well as the laser-induced damage performance were studied and compared. Comparing with polarizer coating using HfO2 as high-refractive-index material, the polarizer coating using HfO2-SiO2 mixture as high-refractive-index material shows better performance with broader polarizing bandwidth, lower surface roughness, better interfacial property while maintaining high laser-induced damage threshold.

  12. Surface spins disorder in uncoated and SiO{sub 2} coated maghemite nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Zeb, F. [Nanoscience and Technology Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan); Nadeem, K., E-mail: kashif.nadeem@iiu.edu.pk [Nanoscience and Technology Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan); Shah, S. Kamran Ali; Kamran, M. [Nanoscience and Technology Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan); Gul, I. Hussain [School of Chemical & Materials Engineering, National University of Sciences and Technology (NUST), H-12, 44000 Islamabad, Pakistan (Pakistan); Ali, L. [Materials Research Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan)

    2017-05-01

    We studied the surface spins disorder in uncoated and silica (SiO{sub 2}) coated maghemite (γ-Fe{sub 2}O{sub 3}) nanoparticles using temperature and time dependent magnetization. The average crystallite size for SiO{sub 2} coated and uncoated nanoparticles was about 12 and 29 nm, respectively. Scanning electron microscopy (SEM) showed that the nanoparticles are spherical in shape and well separated. Temperature scans of zero field cooled (ZFC)/field cooled (FC) magnetization measurements showed lower average blocking temperature (T{sub B}) for SiO{sub 2} coated maghemite nanoparticles as compared to uncoated nanoparticles. The saturation magnetization (M{sub s}) of SiO{sub 2} coated maghemite nanoparticles was also lower than the uncoated nanoparticles and is attributed to smaller average crystallite size of SiO{sub 2} coated nanoparticles. For saturation magnetization vs. temperature data, Bloch's law (M(T)= M(0).(1− BT{sup b})) was fitted well for both uncoated and SiO{sub 2} coated nanoparticles and yields: B =3×10{sup −7} K{sup -b}, b=2.22 and B=0.0127 K{sup -b}, b=0.57 for uncoated and SiO{sub 2} coated nanoparticles, respectively. Higher value of B for SiO{sub 2} coated nanoparticles depicts decrease in exchange coupling due to enhanced surface spins disorder (broken surface bonds) as compared to uncoated nanoparticles. The Bloch's exponent b was decreased for SiO{sub 2} coated nanoparticles which is due to their smaller average crystallite size or finite size effects. Furthermore, a sharp increase of coercivity at low temperatures (<25 K) was observed for SiO{sub 2} coated nanoparticles which is also due to contribution of increased surface anisotropy or frozen surface spins in these smaller nanoparticles. The FC magnetic relaxation data was fitted to stretched exponential law which revealed slower magnetic relaxation for SiO{sub 2} coated nanoparticles. All these measurements revealed smaller average crystallite size and enhanced surface

  13. Effects of atomic hydrogen on the selective area growth of Si and Si1-xGex thin films on Si and SiO2 surfaces: Inhibition, nucleation, and growth

    International Nuclear Information System (INIS)

    Schroeder, T.W.; Lam, A.M.; Ma, P.F.; Engstrom, J.R.

    2004-01-01

    Supersonic molecular beam techniques have been used to study the nucleation of Si and Si 1-x Ge x thin films on Si and SiO 2 surfaces, where Si 2 H 6 and GeH 4 have been used as sources. A particular emphasis of this study has been an examination of the effects of a coincident flux of atomic hydrogen. The time associated with formation of stable islands of Si or Si 1-x Ge x on SiO 2 surfaces--the incubation time--has been found to depend strongly on the kinetic energy of the incident molecular precursors (Si 2 H 6 and GeH 4 ) and the substrate temperature. After coalescence, thin film morphology has been found to depend primarily on substrate temperature, with smoother films being grown at substrate temperatures below 600 deg. C. Introduction of a coincident flux of atomic hydrogen has a large effect on the nucleation and growth process. First, the incubation time in the presence of atomic hydrogen has been found to increase, especially at substrate temperatures below 630 deg. C, suggesting that hydrogen atoms adsorbed on Si-like sites on SiO 2 can effectively block nucleation of Si. Unfortunately, in terms of promoting selective area growth, coincident atomic hydrogen also decreases the rate of epitaxial growth rate, essentially offsetting any increase in the incubation time for growth on SiO 2 . Concerning Si 1-x Ge x growth, the introduction of GeH 4 produces substantial changes in both thin film morphology and the rate nucleation of poly-Si 1-x Ge x on SiO 2 . Briefly, the addition of Ge increases the incubation time, while it lessens the effect of coincident hydrogen on the incubation time. Finally, a comparison of the maximum island density, the time to reach this density, and the steady-state polycrystalline growth rate strongly suggests that all thin films [Si, Si 1-x Ge x , both with and without H(g)] nucleate at special sites on the SiO 2 surface, and grow primarily via direct deposition of adatoms on pre-existing islands

  14. Influence of corrosive solutions on microhardness and chemistry of magnesium oxide /001/ surfaces

    Science.gov (United States)

    Ishigaki, H.; Miyoshi, K.; Buckley, D. H.

    1982-01-01

    X-ray photoelectron spectroscopy analyses and hardness experiments were conducted on cleaved magnesium oxide /001/ surfaces. The magnesium oxide bulk crystals were cleaved to specimen size along the /001/ surface, and indentations were made on the cleaved surface in corrosive solutions containing HCl, NaOH, or HNO3 and in water without exposing the specimen to any other environment. The results indicated that chloride (such as MgCl2) and sodium films are formed on the magnesium oxide surface as a result of interactions between an HCl-containing solution and a cleaved magnesium oxide surface. The chloride films soften the magnesium oxide surface. In this case microhardness is strongly influenced by the pH value of the solution. The lower the pH, the lower the microhardness. Sodium films, which are formed on the magnesium oxide surface exposed to an NaOH containing solution, do not soften the magnesium oxide surface.

  15. Temperature dependence of ordered GeSi island growth on patterned Si (001) substrates

    International Nuclear Information System (INIS)

    ZhongZhenyang; Chen Peixuan; Jiang Zuimin; Bauer, Guenther

    2008-01-01

    Statistical information on GeSi islands grown on two-dimensionally pit-patterned Si substrates at different temperatures is presented. Three growth regimes on patterned substrates are identified: (i) kinetically limited growth at low growth temperatures, (ii) ordered island growth in an intermediate temperature range, and (iii) stochastic island growth within pits at high temperatures. A qualitative model based on growth kinetics is proposed to explain these phenomena. It can serve as a guidance to realize optimum growth conditions for ordered islands on patterned substrates

  16. Searching triaxial superdeformation in 175Hf

    International Nuclear Information System (INIS)

    Li Xiaowei; Zhejiang Normal Univ., Jinhua; Yu Shaoying; Zhejiang Normal Univ., Jinhua; Chinese Academy of Sciences, Beijing; Shen Caiwan; National Laboratory of Heavy Ion Accelerator of Lanzhou, Lanzhou; Chen Yongshou; Chinese Academy of Sciences, Beijing; National Laboratory of Heavy Ion Accelerator of Lanzhou

    2006-01-01

    A two-dimensional Total Routhian Surface (TRS) calculation is carried out in order to ascertain if there is triaxial superdeformation in 175 Hf. A five quasi-particle configuration is chosen in the calculation. Unfortunately, the TRS minimum does not show up in the total potential energy surface. (authors)

  17. Strain-Compensated InGaAsP Superlattices for Defect Reduction of InP Grown on Exact-Oriented (001 Patterned Si Substrates by Metal Organic Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Ludovico Megalini

    2018-02-01

    Full Text Available We report on the use of InGaAsP strain-compensated superlattices (SC-SLs as a technique to reduce the defect density of Indium Phosphide (InP grown on silicon (InP-on-Si by Metal Organic Chemical Vapor Deposition (MOCVD. Initially, a 2 μm thick gallium arsenide (GaAs layer was grown with very high uniformity on exact oriented (001 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO2 stripes and oriented along the [110] direction. Undercut at the Si/SiO2 interface was used to reduce the propagation of defects into the III–V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD; atomic force microscopy (AFM; transmission electron microscopy (TEM; and electron channeling contrast imaging (ECCI; which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 108/cm2 and 1.2 nm; respectively and 7.8 × 107/cm2 and 10.8 nm for the GaAs-on-Si layer.

  18. Ab initio investigation of isomerism in not rigid dimer molecules of (LiAB)2 salts with 20 valent electrons (AB-=BO-, AlO-, BS-, AlS-, CN-, CP-, SiN-, SiP-)

    International Nuclear Information System (INIS)

    Charkin, O.P.; Klimenko, N.M.; MakKi, M.L.; Shlojer, P.R.

    1999-01-01

    Ab initio calculations of potential energy surfaces in neighborhood of key structures of non rigid dimer molecules of lithium salts of (LiAB) 2 type - (LiBO) 2 , (LiAlO) 2 , (LiBS) 2 , (LiAlS) 2 , (LiCN) 2 , (LiSiN) 2 , (LiCP) 2 , (LiSiP) 2 - with 20 valent electrons are done in the framework of MP2/6-31G8//HF/6-31G* + ZPE(HF/6-31G*) and MP4SDTQ/6-31G*//MP2/6-31G* + ZPE(MP2/6-31G*) approximations. Totality of low-lying isomers containing four- and six-membered cycles with lithium bridges is localized. It is shown that for all cycles not rigidity to high deformation of angles in the case of low energy changes is characterized. Equilibrium geometrical parameters, relative energy and energy of isomer decomposition, frequency and intensities of normal vibrations are determined [ru

  19. An accurate potential energy surface for the F + H2 → HF + H reaction by the coupled-cluster method

    International Nuclear Information System (INIS)

    Chen, Jun; Sun, Zhigang; Zhang, Dong H.

    2015-01-01

    A three dimensional potential energy surface for the F + H 2 → HF + H reaction has been computed by the spin unrestricted coupled cluster method with singles, doubles, triples, and perturbative quadruples [UCCSDT(2) Q ] using the augmented correlation-consistent polarised valence quadruple zeta basis set for the fluorine atom and the correlation-consistent polarised valence quadruple zeta basis set for the hydrogen atom. All the calculations are based on the restricted open-shell Hartree-Fock orbitals, together with the frozen core approximations, and the UCCSD(T)/complete basis set (CBS) correction term was included. The global potential energy surface was calculated by fitting the sampled ab initio points without any scaling factor for the correlation energy part using a neutral network function method. Extensive dynamics calculations have been carried out on the potential energy surface. The reaction rate constants, integral cross sections, product rotational states distribution, and forward and backward scattering as a function of collision energy of the F + HD → HF + D, F + HD → DF + H, and F + H 2 reaction, were calculated by the time-independent quantum dynamics scattering theory using the new surface. The satisfactory agreement with the reported experimental observations previously demonstrates the accuracy of the new potential energy surface

  20. SiGe Based Low Temperature Electronics for Lunar Surface Applications

    Science.gov (United States)

    Mojarradi, Mohammad M.; Kolawa, Elizabeth; Blalock, Benjamin; Cressler, John

    2012-01-01

    The temperature at the permanently shadowed regions of the moon's surface is approximately -240 C. Other areas of the lunar surface experience temperatures that vary between 120 C and -180 C during the day and night respectively. To protect against the large temperature variations of the moon surface, traditional electronics used in lunar robotics systems are placed inside a thermally controlled housing which is bulky, consumes power and adds complexity to the integration and test. SiGe Based electronics have the capability to operate over wide temperature range like that of the lunar surface. Deploying low temperature SiGe electronics in a lander platform can minimize the need for the central thermal protection system and enable the development of a new generation of landers and mobility platforms with highly efficient distributed architecture. For the past five years a team consisting of NASA, university and industry researchers has been examining the low temperature and wide temperature characteristic of SiGe based transistors for developing electronics for wide temperature needs of NASA environments such as the Moon, Titan, Mars and Europa. This presentation reports on the status of the development of wide temperature SiGe based electronics for the landers and lunar surface mobility systems.

  1. Surface oxidation of porous ZrB{sub 2}-SiC ceramic composites by continuous-wave ytterbium fibre laser

    Energy Technology Data Exchange (ETDEWEB)

    Mahmod, Dayang Salyani Abang, E-mail: dygsalyani@gmail.com [Department of Mechanical and Manufacturing Engineering, Faculty of Engineering, Universiti Malaysia Sarawak, 94300 Kota Samarahan, Sarawak (Malaysia); Glandut, Nicolas [SPCTS, UMR 7315, CNRS, University of Limoges, European Ceramic Center, 12 Rue Atlantis, 87068 Limoges (France); Khan, Amir Azam [Department of Mechanical and Manufacturing Engineering, Faculty of Engineering, Universiti Malaysia Sarawak, 94300 Kota Samarahan, Sarawak (Malaysia); Labbe, Jean-Claude [SPCTS, UMR 7315, CNRS, University of Limoges, European Ceramic Center, 12 Rue Atlantis, 87068 Limoges (France)

    2015-12-01

    Highlights: • Surface oxidation of ZrB{sub 2}-SiC ceramic composites by Yb-fibre laser. • Round spiral laser pattern created for the surface oxidation. • Presence of laser-formed oxide scale and unaffected beneath regions. • Crazed but uncracked surface oxide. • A dense glassy SiO{sub 2}-rich layer exhibited enhances oxidation resistance. - Abstract: Surface treatment of ceramic substrates by a laser beam can allow to incorporate interesting properties to these ceramics. In the present work, surface oxidation of ca. 30% porous ZrB{sub 2}-SiC ceramic composites by using an ytterbium fibre laser was conducted. Oxidation of ceramic substrates through this process under ambient conditions has certain advantages compared to the classical oxidation method. A particular spiral laser pattern was created in order to produce an oxidized structure on ZrB{sub 2}-SiC porous substrates. The laser parameters were as follows i.e., laser power of 50, 60 and 70 W, a beam diameter of 1.25 mm, velocity of 2 mm/s, acceleration and deceleration of 1 mm/s{sup 2}. The microstructural and morphological changes in the laser-treated region was examined using scanning electron microscopy, energy dispersive X-ray spectroscopy, and X-ray diffraction. At laser power of 70 W, the sample exhibits uniform oxidation. It revealed that the very porous bulk beneath remained unaffected and unoxidized because this laser-formed oxide scale protects the substrate from oxidation. The presence of oxidized and unaffected regions indicated a high degree of heat localization. The dense glassy SiO{sub 2}-rich layer prevents the inward oxygen diffusion into the inner bulk hence enhances the oxidation resistance.

  2. Photoluminescence studies of cubic phase GaN grown by molecular beam epitaxy on (001) silicon covered with SiC layer

    International Nuclear Information System (INIS)

    Godlewski, M.; Ivanov, V.Yu.; Bergman, J.P.; Monemar, B.; Barski, A.; Langer, R.

    1997-01-01

    In this work we evaluate optical properties of cubic phase GaN epilayers grown on top of (001) silicon substrate prepared by new process. Prior to the growth Si substrate was annealed at 1300-1400 o C in propane. The so-prepared substrate is covered within a thin (∼ 4 nm) SiC wafer, which allowed a successful growth of good morphological quality cubic phase GaN epilayers. The present results confirm recent suggestion on smaller ionization energies of acceptors in cubic phase GaN epilayers. (author)

  3. SHI induced effects on the electrical and optical properties of HfO{sub 2} thin films deposited by RF sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Manikanthababu, N.; Dhanunjaya, M.; Nageswara Rao, S.V.S.; Pathak, A.P., E-mail: appsp@uohyd.ernet.in

    2016-07-15

    The continuous downscaling of Metal Oxide Semiconductor (MOS) devices has reached a limit with SiO{sub 2} as a gate dielectric material. Introducing high-k dielectric materials as a replacement for the conservative SiO{sub 2} is the only alternative to reduce the leakage current. HfO{sub 2} is a reliable and an impending material for the wide usage as a gate dielectric in semiconductor industry. HfO{sub 2} thin films were synthesized by RF sputtering technique. Here, we present a study of Swift Heavy Ion (SHI) irradiation with100 MeV Ag ions for studying the optical properties as well as 80 MeV Ni ions for studying the electrical properties of HfO{sub 2}/Si thin films. Rutherford Backscattering Spectrometry (RBS), Field Emission Scanning Electron Microscope (FESEM), energy-dispersive X-ray spectroscopy (EDS), profilometer and I–V (leakage current) measurements have been employed to study the SHI induced effects on both the structural, electrical and optical properties.

  4. Adsorption of carbon monoxide on the Si(111)-7 × 7 surface

    Energy Technology Data Exchange (ETDEWEB)

    Shong, Bonggeun, E-mail: bshong@cnu.ac.kr

    2017-05-31

    Highlights: • Detailed chemistry of CO with the Si(111)-7 × 7 surface is computationally studied. • On-top on rest-atoms and back-bond insertion on adatoms are suggested geometries. • The two structures exhibit no activation barrier for adsorption and significant stability. • Geometrical and spectroscopic properties of CO adsorbates are predicted. • Direction of the interfacial charge transfer depends on the bonding configuration. - Abstract: The adsorption of CO and surface chemistry of Si are well-understood topics in surface science. However, research into the adsorption of CO on the Si(111)-7 × 7 surface is deficient. In this study, the adsorption of CO on Si(111)-7 × 7 is investigated via high-level density functional theory calculations using cluster model. Two adsorption configurations are found to be kinetically and thermodynamically viable: on-top on rest-atoms and back-bond insertion on adatoms, both binding to the surface via C atom. Structural, electronic, and spectroscopic properties of the adsorbates indicate a σ-donating/π-accepting nature of the CO−Si bonds in both configurations. The domination of σ-donation in the on-top configuration results in a net positive charge on the on-top adsorbate, and the opposite situation yields a net negative charge on the back-bond insertion adsorbates. Our study provides a detailed understanding of the previous experimental observations of fundamental surface chemical phenomena, suggesting possible applications of Si surface functionalization using CO.

  5. Influence of the step properties on submonolayer growth of Ge and Si at the Si(111) surface

    Energy Technology Data Exchange (ETDEWEB)

    Romanyuk, Konstantin

    2009-10-21

    The present work describes an experimental investigation of the influence of the step properties on the submonolayer growth at the Si(111) surface. In particular the influence of step properties on the morphology, shape and structural stability of 2D Si/Ge nanostructures was explored. Visualization, morphology and composition measurements of the 2D SiGe nanostructures were carried out by scanning tunneling microscopy (STM). The formation of Ge nanowire arrays on highly ordered kink-free Si stepped surfaces is demonstrated. The crystalline nanowires with minimal kink densities were grown using Bi surfactant mediated epitaxy. The nanowires extend over lengths larger than 1 {mu}m have a width of 4 nm. To achieve the desired growth conditions for the formation of such nanowire arrays, a modified variant of surfactant mediated epitaxy was explored. It was shown that controlling the surfactant coverage at the surface and/or at step edges modifies the growth properties of surface steps in a decisive way. The surfactant coverage at step edges can be associated with Bi passivation of the step edges. The analysis of island size distributions showed that the step edge passivation can be tuned independently by substrate temperature and by Bi rate deposition. The measurements of the island size distributions for Si and Ge in surfactant mediated growth reveal different scaling functions for different Bi deposition rates on Bi terminated Si(111) surface. The scaling function changes also with temperature. The main mechanism, which results in the difference of the scaling functions can be revealed with data of Kinetic Monte-Carlo simulations. According to the data of the Si island size distributions at different growth temperatures and different Bi deposition rates the change of SiGe island shape and preferred step directions were attributed to the change of the step edge passivation. It was shown that the change of the step edge passivation is followed by a change of the

  6. Effect of input power and gas pressure on the roughening and selective etching of SiO2/Si surfaces in reactive plasmas

    International Nuclear Information System (INIS)

    Zhong, X. X.; Huang, X. Z.; Tam, E.; Ostrikov, K.; Colpo, P.; Rossi, F.

    2010-01-01

    We report on the application low-temperature plasmas for roughening Si surfaces which is becoming increasingly important for a number of applications ranging from Si quantum dots to cell and protein attachment for devices such as 'laboratory on a chip' and sensors. It is a requirement that Si surface roughening is scalable and is a single-step process. It is shown that the removal of naturally forming SiO 2 can be used to assist in the roughening of the surface using a low-temperature plasma-based etching approach, similar to the commonly used in semiconductor micromanufacturing. It is demonstrated that the selectivity of SiO 2 /Si etching can be easily controlled by tuning the plasma power, working gas pressure, and other discharge parameters. The achieved selectivity ranges from 0.4 to 25.2 thus providing an effective means for the control of surface roughness of Si during the oxide layer removal, which is required for many advance applications in bio- and nanotechnology.

  7. HF-voltage testing of accelerating system functional model

    International Nuclear Information System (INIS)

    Gladkov, A.V.; Stepanov, V.B.

    1989-01-01

    Owing to ambiguity in interpreting the notion of the electron strength of the operating HF device in an acceleator a technique of measurements and result processing, based on statistical analysis of the data is suggested. Experimental testing on electric strength of structures with HF focusing was carried out using a bench in the form of a cylindrical vacuum container inside which a double H-resonator with HF quadrupole electrodes without surface modulation was installed. The dependences obtained permit to evaluate the bahaviour of the HF device from the viewpoint of electric strength and radiation hazard for the whole range of possible values of voltage on the basis of data on the frequency of breakdowns and radiation situation only in one experimental point. 12 refs.; 8 figs

  8. Metallurgical Parameters Controlling the Eutectic Silicon Charateristics in Be-Treated Al-Si-Mg Alloys

    Directory of Open Access Journals (Sweden)

    Mohamed F. Ibrahim

    2016-01-01

    Full Text Available The present work was carried out on Al-7%Si-0.4%Mg-X alloy (where X = Mg, Fe, Sr or Be, where the effect of solidification rate on the eutectic silicon characteristics was investigated. Two solidification rates corresponding to dendrite arm spacings (DAS of 24 and 65 μm were employed. Samples with 24 μm DAS were solution heat-treated at 540 °C for 5 and 12 h prior to quenching in warm water at 65 °C. Eutectic Si particle charateristics were measured using an image analyzer. The results show that the addition of 0.05% Be leads to partial modification of the Si particles. Full modification was only obtained when Sr was added in an amount of 150–200 ppm, depending on the applied solidification rate. Increasing the amount of Mg to 0.8% in Sr-modified alloys leads to a reduction in the effectiveness of Sr as the main modifier. Similar observations were made when the Fe content was increased in Be-treated alloys due to the Be-Fe interaction. Over-modification results in the precipitation of hard Sr-rich particles, mainly Al4SrSi2, whereas overheating causes incipient melting of the Al-Cu eutectic and hence the surrounding matrix. Both factors lead to a deterioration in the alloy mechanical properties. Furthermore, the presence of long, acicular Si particles accelerates the occurrence of fracture and, as a result, yields poor ductility. In low iron (less than 0.1 wt% Al-Si-Mg alloys, the mechanical properties in the as cast, as well as heat treated conditions, are mainly controlled by the eutectic Si charatersitics. Increasing the iron content and, hence, the volume fraction of Fe-based intermetallics leads to a complex fracture mode.

  9. Surface current measurements in Juan de Fuca Strait using the SeaSonde HF [high frequency] radar

    International Nuclear Information System (INIS)

    Hodgins, D.O.

    1994-09-01

    The shore-based SeaSonde high-frequency (HF) radar was deployed for three weeks in summer 1993 to measure surface currents in the Strait of Georgia, British Columbia. Experimental objectives included documenting the complex flow regime generated by large tides and the brackish plume of the Fraser River, and determining the radar performance under low-wind, low-salinity conditions. The radar data showed that surface flows are dominated by the plume jet formed by the Fraser River outflow, giving rise to recurring, energetic eddies with scales of 8-12 km, strong flow meanders, and convergent fronts. These features were continuously modulated by the along-channel tidal flows. Comparisons with a detailed numerical model hindcast gave good correlation between observed and predicted flow fields, especially at tidal and low frequencies. Radar return was found to be correlated with local winds and radar performance was independent of salinity variations in the plume. Synthetic aperture radar (SAR) provides a map of the radar scattering characteristics of the ocean surface on a capillary wave scale. ERS-1 satellite and airborne SAR images for July 28, 1993 were obtained and surface features were examined in the context of the HF radar current fields. Results show that SAR images alone cannot reliably provide the dynamical data required in this region by oil spill models. Under certain conditions, however, the radar imagery offers valuable physical information on phenomena affecting oil slick development. Interpretation of SAR imagery in conjunction with other remote sensing information would offer more quantitative prediction data. 28 refs., 334 figs., 1 tab

  10. Adsorption Mechanisms of NH3 on Chlorinated Si(100)-2 x 1 Surface

    International Nuclear Information System (INIS)

    Lee, Hee Soon; Choi, Cheol Ho

    2012-01-01

    The potential energy surfaces of ammonia molecule adsorptions on the symmetrically chlorinated Si(100)- 2 x 1 surface were explored with SIMOMM:MP2/6-31G(d). It was found that the initial nucleophilic attack by ammonia nitrogen to the surface Si forms a S N 2 type transition state, which eventually leads to an HCl molecular desorption. The second ammonia molecule adsorption requires much less reaction barrier, which can be rationalized by the surface cooperative effect. In general, it was shown that the surface Si-Cl bonds can be easily subjected to the substitution reactions by ammonia molecules yielding symmetric surface Si-NH 2 bonds, which can be a good initial template for subsequent surface chemical modifications. The ammonia adsorptions are in general more facile than the corresponding water adsorption, since ammonia is better nucleophile

  11. Effect of Mica and Hematite (001) Surfaces on the Precipitation of Calcite

    OpenAIRE

    Huifang Xu; Mo Zhou; Yihang Fang; H. Henry Teng

    2018-01-01

    The substrate effect of mica and hematite on the nucleation and crystallization of calcite was investigated using scanning electron microscope (SEM), X-ray diffraction (XRD), and electron backscatter diffraction (EBSD) methods. On mica, we found, in the absence of Mg2+, the substrates’ (001) surfaces with hexagonal and pseudo-hexagonal two-dimensional (2-D) structure can affect the orientation of calcite nucleation with calcite (001) ~// mica (001) and calcite (010) ~// mica (010) to be the m...

  12. Study of surface segregation of Si on palladium silicide using Auger electron spectroscopy

    International Nuclear Information System (INIS)

    Abhaya, S; Amarendra, G; Gopalan, Padma; Reddy, G L N; Saroja, S

    2004-01-01

    The transformation of Pd/Si to Pd 2 Si/Si is studied using Auger electron spectroscopy over a wide temperature range of 370-1020 K. The Pd film gets totally converted to Pd 2 Si upon annealing at 520 K, and beyond 570 K, Si starts segregating on the surface of silicide. It is found that the presence of surface oxygen influences the segregation of Si. The time evolution study of Si segregation reveals that segregation kinetics is very fast and the segregated Si concentration increases as the temperature is increased. Scanning electron microscopy measurements show that Pd 2 Si is formed in the form of islands, which grow as the annealing temperature is increased

  13. ZrCu2P2 and HfCu2P2 phosphides and their crystal structure

    International Nuclear Information System (INIS)

    Lomnitskaya, Ya.F.

    1986-01-01

    Isostructural ZrCu 2 P 2 and HfCu 2 P 2 compounds are prepared for the first time. X-ray diffraction analysis (of powder, DRON-2.0 diffractometer, FeKsub(α) radiation) was used to study crystal structure of HfCu 2 P 2 phosphide belonging to the CaAl 2 Si 2 structural type (sp. group P anti 3 m 1, R=0.095). Lattice parameters the compounds are as follows: for ZrCu 2 P 2 a=0.3810(1), c=0.6184(5); for HfCu 2 P 2 a=0.3799(1), c=0.6160(2) (nm). Atomic parameters in the HfCu 2 P 2 structure and interatomic distances are determined

  14. STM/STS measurements of the layered superconductor β-HfNCl1-x

    International Nuclear Information System (INIS)

    Ekino, Toshikazu; Sugimoto, Akira; Shohara, Kazuhiro; Yamanaka, Shoji; Gabovich, Alexander M.

    2010-01-01

    Scanning tunneling microcopy/spectroscopy (STM/STS) measurements have been carried out on SmSI (β) type HfNCl 1-x (x∼0.3) samples with T c =24K. The STM image on the cleaved surface of ab plane at 5 K clearly reveals a triangular arrangement of bright spots. The separation of the nearest-neighbor spots, 0.369 nm, is in agreement with the a lattice parameter. The STS measurements at 5 K reveal almost constant gap values 2Δ=20meV within the area of at least 10x10nm 2 , thus demonstrating a huge ratio 2Δ/k B T c =10. This ratio is very similar to that found in high-T c oxide and organic superconductors.

  15. Fabrication of a bionic microstructure on a C/SiC brake lining surface: Positive applications of surface defects for surface wetting control

    Science.gov (United States)

    Wu, M. L.; Ren, C. Z.; Xu, H. Z.; Zhou, C. L.

    2018-05-01

    The material removal processes generate interesting surface topographies, unfortunately, that was usually considered to be surface defects. To date, little attention has been devoted to the positive applications of these interesting surface defects resulted from laser ablation to improve C/SiC surface wettability. In this study, the formation mechanism behind surface defects (residual particles) is discussed first. The results showed that the residual particles with various diameters experienced regeneration and migration, causing them to accumulate repeatedly. The effective accumulation of these residual particles with various diameters provides a new method about fabricating bionic microstructures for surface wetting control. The negligible influence of ablation processes on the chemical component of the subsurface was studied by comparing the C-O-Si weight percentage at the C/SiC subsurface. A group of microstructures were fabricated under different laser trace and different laser parameters. Surface wettability experimental results for different types of microstructures were compared. The results showed that the surface wettability increased as the laser scanning speed decreased. The surface wettability increased with the density of the laser scanning trace. We also demonstrated the application of optimized combination of laser parameters and laser trace to simulate a lotus leaf's microstructure on C/SiC surfaces. The parameter selection depends on the specific material properties.

  16. Ab initio study of the electron-phonon coupling at the Cr(001) surface

    Science.gov (United States)

    Peters, L.; Rudenko, A. N.; Katsnelson, M. I.

    2018-04-01

    It is experimentally well established that the Cr(001) surface exhibits a sharp resonance around the Fermi level. However, there is no consensus about its physical origin. It is proposed to be either due to a single particle dz2 surface state renormalized by electron-phonon coupling or the orbital Kondo effect involving the degenerate dx z/ dy z states. In this paper we examine the electron-phonon coupling of the Cr(001) surface by means of ab-initio calculations in the form of density functional perturbation theory. More precisely, the electron-phonon mass-enhancement factor of the surface layer is investigated for the 3d states. For the majority and minority spin dz2 surface states we find values of 0.19 and 0.16. We show that these calculated electron-phonon mass-enhancement factors are not in agreement with the experimental data even if we use realistic values for the temperature range and surface Debye frequency for the fit of the experimental data. More precisely, then experimentally an electron-phonon mass-enhancement factor of 0.70 ±0.10 is obtained, which is not in agreement with our calculated values of 0.19 and 0.16. Our findings suggest that the experimentally observed resonance at the Cr(001) surface is not due to electron-phonon effects but due to electron-electron correlation effects.

  17. Antiferromagnetic MnN layer on the MnGa(001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Guerrero-Sánchez, J., E-mail: guerrero@cnyn.unam.mx; Takeuchi, Noboru

    2016-12-30

    Highlights: • A ferromagnetic Gallium terminated surface is stable before N incorporation. • After N incorporation, an antiferromagnetic MnN layer becomes stable in a wide range of chemical potential. • Spin density distribution shows an antiferromagnetic/ferromagnetic (MnN/MnGa) arrangement at the surface. - Abstract: Spin polarized first principles total energy calculations have been applied to study the stability and magnetic properties of the MnGa(001) surface and the formation of a topmost MnN layer with the deposit of nitrogen. Before nitrogen adsorption, surface formation energies show a stable gallium terminated ferromagnetic surface. After incorporation of nitrogen atoms, the antiferromagnetic manganese terminated surface becomes stable due to the formation of a MnN layer (Mn-N bonding at the surface). Spin density distribution shows a ferromagnetic/antiferromagnetic arrangement in the first surface layers. This thermodynamically stable structure may be exploited to growth MnGa/MnN magnetic heterostructures as well as to look for exchange biased systems.

  18. On the Control of the Fixed Charge Densities in Al2O3-Based Silicon Surface Passivation Schemes.

    Science.gov (United States)

    Simon, Daniel K; Jordan, Paul M; Mikolajick, Thomas; Dirnstorfer, Ingo

    2015-12-30

    A controlled field-effect passivation by a well-defined density of fixed charges is crucial for modern solar cell surface passivation schemes. Al2O3 nanolayers grown by atomic layer deposition contain negative fixed charges. Electrical measurements on slant-etched layers reveal that these charges are located within a 1 nm distance to the interface with the Si substrate. When inserting additional interface layers, the fixed charge density can be continuously adjusted from 3.5 × 10(12) cm(-2) (negative polarity) to 0.0 and up to 4.0 × 10(12) cm(-2) (positive polarity). A HfO2 interface layer of one or more monolayers reduces the negative fixed charges in Al2O3 to zero. The role of HfO2 is described as an inert spacer controlling the distance between Al2O3 and the Si substrate. It is suggested that this spacer alters the nonstoichiometric initial Al2O3 growth regime, which is responsible for the charge formation. On the basis of this charge-free HfO2/Al2O3 stack, negative or positive fixed charges can be formed by introducing additional thin Al2O3 or SiO2 layers between the Si substrate and this HfO2/Al2O3 capping layer. All stacks provide very good passivation of the silicon surface. The measured effective carrier lifetimes are between 1 and 30 ms. This charge control in Al2O3 nanolayers allows the construction of zero-fixed-charge passivation layers as well as layers with tailored fixed charge densities for future solar cell concepts and other field-effect based devices.

  19. [Ocular Surface Evaluation in Patients Treated with Prostaglandin Analogues Considering Preservative Agent].

    Science.gov (United States)

    Mlčáková, E; Mlčák, P; Karhanová, M; Langová, K; Marešová, K

    The aim of this study was to evaluate the ocular surface in patients treated with prostaglandin analogues considering contained preservative agent. 60 patients with glaucoma or ocular hypertension treated with prostaglandin analogue monotherapy were enrolled in this observational study. 20 patients with glaucoma suspect or ocular hypertension without local or systemic glaucoma medication formed the control group. Demographic data and medical history were recorded for each participant. Patients filled in the Ocular surface disease index© (OSDI) questionnaire and underwent an ophthalmological examination including assessment of conjunctival hyperaemia according to Efron, tear film break up time (BUT) and fluorescein staining according to the Oxford grading scheme. Treated participants were divided into 3 groups according to the preservative contained in the currently used prostaglandin analogue: the preservative-free group (18 patients), the polyquaternium group (17 patients) and the benzalkonium chloride (BAK) group (25 patients). The control group had significantly lower fluorescein staining than the preservative-free group (p=0.001), the polyquaternium group (p=0.007) and the BAK group (p=0.002). The conjunctival hyperaemia was significantly lower in the preservative-free group compared to the polyquaternium group (p=0.011). There was no significant difference among the other groups. The difference neither in the OSDI score nor in the BUT was statistically important. This study confirmed that the ocular surface is worse in patients treated with prostaglandin analogue monotherapy than in people without glaucoma medication. A significant difference among treated patients depending on a preservative agent was not proved.Key words: benzalkonium chloride, glaucoma, ocular surface disease, preservatives, prostaglandin analogues.

  20. First-Principles Study on the Adsorption Properties of Transition-Metal Atoms on CaO(001) Surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yu, Byung Deok [University of Seoul, Seoul (Korea, Republic of); Jang, Young-Rok [Incheon National University, Incheon (Korea, Republic of)

    2017-03-15

    By using first-principles electronic-structure calculations based on the density functional theory, we systematically investigated the adsorption properties of transition-metal (TM) adatoms on CaO(001) surfaces. Optimized adsorption structures and energetics of TM adatoms on CaO(001) are reported for various adsorption structures. The results are different from those of TM adatoms on MgO(001). Concomitantly, this suggests different dynamical properties of TM adatoms on CaO(001) surfaces as compared with TM adatoms on MgO(001) surfaces. Also performed was an analysis of the electronic structures of the TM adatoms on CaO(001) by using the energy positions of the adsorbate states with respect to the valence band maximum of CaO. The results are discussed in connection with the charge states of the TM adatoms on doped CaO(001).