WorldWideScience

Sample records for gaas nanowires grown

  1. Strain in GaAs / InAs core-shell nanowire heterostructures grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Rieger, Torsten; Lepsa, Mihail Ion [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires (NWs) has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. Compared to planar heterostructures, the nanowire approach offers an advantage regarding the possibility to form heterostructures between highly lattice mismatched systems, because the free surface of the nanowires allows to relieve the strain more efficiently. One particular way to form heterostructures in the NW geometry, is the fabrication of core-shell devices, in which a NW core is surrounded by a shell of different material. The understanding of the mutual strain between core and shell, as well as the relaxation behavior of the system are crucial for the fabrication of functional devices. In this contribution we report on first X-ray diffraction measurements of GaAs-core/InAs-shell nanowires grown on GaAs(111) by molecular beam epitaxy. Using symmetric- and grazing-incidence X-ray diffraction, the relaxation state of the InAs shell as well as the strain in the GaAs core are measured as function of the InAs shell thickness, showing a gradual relaxation behavior of the shell.

  2. Twins and strain relaxation in zinc-blende GaAs nanowires grown on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Piñero, J.C., E-mail: josecarlos.pinero@uca.es [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Araújo, D.; Pastore, C.E.; Gutierrez, M. [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Frigeri, C. [Istituto CNR-IMEM Parco Area delle Scienze 37/A, Fontanini, 43010, Parma (Italy); Benali, A.; Lelièvre, J.F.; Gendry, M. [INL-Institut des Nanotechnologies de Lyon, UMR 5270 Ecole Centrale de Lyon 36, Avenue Guy de Collongue, 69134, Ecully Cedex (France)

    2017-02-15

    Highlights: • A TEM-HREM study of GaAs nanowires, growth over Si, is presented. • Misfit dislocations are detected in the Si/GaAs magma interface. • The study demonstrates strain relaxation through twin formation in some nanowires. - Abstract: To integrate materials with large lattice mismatch as GaAs on silicon (Si) substrate, one possible approach, to improve the GaAs crystalline quality, is to use nanowires (NWs) technology. In the present contribution, NWs are grown on <111> oriented Si substrates by molecular beam epitaxy (MBE) using vapor-liquid-solid (VLS) method. Transmission electron microscopy (TEM) analyses show that NWs are mainly grown alternating wurtzite and zinc blend (ZB) phases, and only few are purely ZB. On the latter, High Resolution Electron Microscopy (HREM) evidences the presence of twins near the surface of the NW showing limited concordance with the calculations of Yuan (2013) [1], where {111} twin planes in a <111>-oriented GaAs NW attain attractive interactions mediated by surface strain. In addition, such twins allow slight strain relaxation and are probably induced by the local huge elastic strain observed by HREM in the lattice between the twin and the surface. The latter is attributed to some slight bending of the NW as shown by the inversion of the strain from one side to the other side of the NW.

  3. Structural evolution of self-assisted GaAs nanowires grown on Si(111)

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [University of Siegen, Solid State Physics Group, Walter-Flex-Str. 3, 57072 Siegen (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2011-04-15

    GaAs nanowires are grown on Si(111) by self-assisted molecular beam epitaxy, and the ratio between wurtzite and zinc-blende phases is determined as function of nanowire length using asymmetric X-ray diffraction. We show that under the applied growth conditions, nanowires grow in both phases during the initial stage of growth, whereas the zinc-blende content increases with growth time and dominates in long nanowires. Compared to the zinc-blende units, the vertical lattice parameter of the wurtzite segments is 0.7% larger, as measured by the positions of respective diffraction peaks. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. In situ transmission electron microscopy analyses of thermally annealed self catalyzed GaAs nanowires grown by molecular beam epitaxy

    DEFF Research Database (Denmark)

    Ambrosini, S.; Wagner, Jakob Birkedal; Booth, Tim

    2011-01-01

    Self catalyzed GaAs nanowires grown on Si-treated GaAs substrates were studied with a transmission electron microscope before and after annealing at 600◦C. At room temperature the nanowires have a zincblende structure and are locally characterized by a high density of rotational twins and stacking...... faults. Selected area diffraction patterns and high-resolution transmission electron microscopy images show that nanowires undergo structural modifications upon annealing, suggesting a decrease of defect density following the thermal treatment....

  5. Electrodeposition of Metal on GaAs Nanowires

    Science.gov (United States)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  6. Substrate and Mg doping effects in GaAs nanowires

    Directory of Open Access Journals (Sweden)

    Perumal Kannappan

    2017-10-01

    Full Text Available Mg doping of GaAs nanowires has been established as a viable alternative to Be doping in order to achieve p-type electrical conductivity. Although reports on the optical properties are available, few reports exist about the physical properties of intermediate-to-high Mg doping in GaAs nanowires grown by molecular beam epitaxy (MBE on GaAs(111B and Si(111 substrates. In this work, we address this topic and present further understanding on the fundamental aspects. As the Mg doping was increased, structural and optical investigations revealed: i a lower influence of the polytypic nature of the GaAs nanowires on their electronic structure; ii a considerable reduction of the density of vertical nanowires, which is almost null for growth on Si(111; iii the occurrence of a higher WZ phase fraction, in particular for growth on Si(111; iv an increase of the activation energy to release the less bound carrier in the radiative state from nanowires grown on GaAs(111B; and v a higher influence of defects on the activation of nonradiative de-excitation channels in the case of nanowires only grown on Si(111. Back-gate field effect transistors were fabricated with individual nanowires and the p-type electrical conductivity was measured with free hole concentration ranging from 2.7 × 1016 cm−3 to 1.4 × 1017 cm−3. The estimated electrical mobility was in the range ≈0.3–39 cm2/Vs and the dominant scattering mechanism is ascribed to the WZ/ZB interfaces. Electrical and optical measurements showed a lower influence of the polytypic structure of the nanowires on their electronic structure. The involvement of Mg in one of the radiative transitions observed for growth on the Si(111 substrate is suggested.

  7. X-ray diffraction from single GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas

    2012-11-12

    In recent years, developments in X-ray focussing optics have allowed to produce highly intense, coherent X-ray beams with spot sizes in the range of 100 nm and below. Together with the development of new experimental stations, X-ray diffraction techniques can now be applied to study single nanometer-sized objects. In the present work, X-ray diffraction is applied to study different aspects of the epitaxial growth of GaAs nanowires. Besides conventional diffraction methods, which employ X-ray beams with dimensions of several tens of {mu}m, special emphasis lies on the use of nanodiffraction methods which allow to study single nanowires in their as-grown state without further preparation. In particular, coherent X-ray diffraction is applied to measure simultaneously the 3-dimensional shape and lattice parameters of GaAs nanowires grown by metal-organic vapor phase epitaxy. It is observed that due to a high density of zinc-blende rotational twins within the nanowires, their lattice parameter deviates systematically from the bulk zinc-blende phase. In a second step, the initial stage in the growth of GaAs nanowires on Si (1 1 1) surfaces is studied. This nanowires, obtained by Ga-assisted growth in molecular beam epitaxy, grow predominantly in the cubic zinc-blende structure, but contain inclusions of the hexagonal wurtzite phase close to their bottom interface. Using nanodiffraction methods, the position of the different structural units along the growth axis is determined. Because the GaAs lattice is 4% larger than silicon, these nanowires release their lattice mismatch by the inclusion of dislocations at the interface. Whereas NWs with diameters below 50 nm are free of strain, a rough interface structure in nanowires with diameters above 100 nm prevents a complete plastic relaxation, leading to a residual strain at the interface that decays elastically along the growth direction. Finally, measurements on GaAs-core/InAs-shell nanowire heterostructures are presented

  8. X-ray characterization of Au-free grown GaAs nanowires on Si

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2011-07-01

    Semiconductor nanowires (NW) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. However, many details of the growth mechanism are not well understood. In this contribution we present a x-ray diffraction study of the early stage of Au-free GaAs nanowire growth on Si(111)-substrates with native oxide using the nano-focus setup available at the ID1 beamline of ESRF. The GaAs NWs were grown by molecular beam epitaxy (MBE), and their formation was induced by Ga droplets. Using a nanometer-sized x-ray beam, size and lattice parameters of individual wires were measured separately. Using asymmetric x-ray diffraction on particular zinc-blende (ZB) and wurtzite (W) sensitive reflections, we show that under the used conditions the NW growth starts with predominantly WZ phases and continues mainly in ZB phase. In addition we can show that the WZ segments of the NWs exhibit a different vertical lattice parameter compared to the zinc-blende segments. A combination of x-ray diffraction from single wires and grazing incidence diffraction shows that the base of the NW is compressively strained along the inplane direction. This strain is released within 20 nm from the substrate-interface.

  9. X-ray characterization Si-doped InAs nanowires grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Grap, Thomas; Lepsa, Mihail [Forschungszentrum Juelich, Institut fuer Bio- und Nanosysteme (Germany)

    2011-07-01

    Semiconductor nanowires (NW) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. However, many details of the growth mechanism are not well understood. In particular, understanding and control of doping mechanisms during NW growth are important issues for technological applications. In this contribution we present a x-ray diffraction study of the influence of Si-doping in InAs NWs grown on GaAs(111) substrates using In-assisted MBE growth. With the help of coplanar and asymmetric x-ray diffraction, we monitor the evolution of the lattice constants and structure of the InAs NWs as function of doping concentration. We observe that increasing the nominal doping concentration leads to the appearance of additional diffraction maxima corresponding to material whose vertical lattice parameter is 1% smaller than that of the undoped nanowires. Those lattice parameters can be attributed with alloy formation in the form of island like crystallites.

  10. Observation of linear I-V curves on vertical GaAs nanowires with atomic force microscope

    Science.gov (United States)

    Geydt, P.; Alekseev, P. A.; Dunaevskiy, M.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2015-12-01

    In this work we demonstrate the possibility of studying the current-voltage characteristics for single vertically standing semiconductor nanowires on standard AFM equipped by current measuring module in PeakForce Tapping mode. On the basis of research of eight different samples of p-doped GaAs nanowires grown on different GaAs substrates, peculiar electrical effects were revealed. It was found how covering of substrate surface by SiOx layer increases the current, as well as phosphorous passivation of the grown nanowires. Elimination of the Schottky barrier between golden cap and the top parts of nanowires was observed. It was additionally studied that charge accumulation on the shell of single nanowires affects its resistivity and causes the hysteresis loops on I-V curves.

  11. Tandem Solar Cells Using GaAs Nanowires on Si: Design, Fabrication, and Observation of Voltage Addition.

    Science.gov (United States)

    Yao, Maoqing; Cong, Sen; Arab, Shermin; Huang, Ningfeng; Povinelli, Michelle L; Cronin, Stephen B; Dapkus, P Daniel; Zhou, Chongwu

    2015-11-11

    Multijunction solar cells provide us a viable approach to achieve efficiencies higher than the Shockley-Queisser limit. Due to their unique optical, electrical, and crystallographic features, semiconductor nanowires are good candidates to achieve monolithic integration of solar cell materials that are not lattice-matched. Here, we report the first realization of nanowire-on-Si tandem cells with the observation of voltage addition of the GaAs nanowire top cell and the Si bottom cell with an open circuit voltage of 0.956 V and an efficiency of 11.4%. Our simulation showed that the current-matching condition plays an important role in the overall efficiency. Furthermore, we characterized GaAs nanowire arrays grown on lattice-mismatched Si substrates and estimated the carrier density using photoluminescence. A low-resistance connecting junction was obtained using n(+)-GaAs/p(+)-Si heterojunction. Finally, we demonstrated tandem solar cells based on top GaAs nanowire array solar cells grown on bottom planar Si solar cells. The reported nanowire-on-Si tandem cell opens up great opportunities for high-efficiency, low-cost multijunction solar cells.

  12. Alloy formation during InAs nanowire growth on GaAs(111)

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Saqib, Muhammad; Biermanns, Andreas; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. A possible way to obtain nanowires is the growth in molecular beam epitaxy on the (111)B oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of InAs nanowires grown on GaAs substrates covered by different oxide-layers using X-ray diffraction. In this contribution, we report on the structural investigation of InAs nanowires grown via an In droplet on GaAs substrates covered by different oxide layers using X-ray diffraction. Using a combination of symmetric and asymmetric X-ray diffraction, we observe that for growth on a defective oxide layer, alloy formation takes place and a large amount of InGaAs is formed, whereas for growth on an initially smooth oxide layer, only pure InAs is formed.

  13. Towards quantum dots on GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Moesl, Johannes; Ludwig, Stefan [Fakultaet fuer Physik, Center for NanoScience, LMU Munich, Geschwister-Scholl- Platz 1, D-80539 Muenchen (Germany); Fontcuberta i Morral, Anna [TU Munich, Walter Schottky Institut, Am Coulombwall 3, 85748 Garching (Germany); EPF, Lausanne (Switzerland)

    2009-07-01

    Semiconductor nanowires is an emergent research topic in the field of nanoelectronics, as they form an excellent building block for 0D and 1D applications and allow novel architectures and material combinations. We study electronic transport properties of catalyst-free MBE grown GaAs nanowires, p-doped at a number of different doping levels. Detailed characterization of the wires including electronic contacts fabricated by e-beam lithography and based on palladium or annealed zinc-silver alloys are discussed. Contact properties and a pronounced hysteresis of the current through the nanowires, as a backgate-voltage is swept, are explained within tentative models. In addition we present first transport measurements on quantum dots, which are defined electrostatically as well as by etched constrictions.

  14. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    Science.gov (United States)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  15. Fabrication and optical properties of multishell InAs quantum dots on GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Xin; Zhang, Xia, E-mail: xzhang@bupt.edu.cn; Li, Junshuai; Cui, Jiangong; Ren, Xiaomin [State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, Beijing 100876 (China)

    2015-02-07

    Hybrid nanostructures combining nanowires with quantum dots promote the development of nanoelectronic and nanophotonic devices with integrated functionalities. In this work, we present a complex nanostructure with multishell quantum dots grown on nanowires. 1–4 shells of Stranski-Krastanov InAs quantum dots are grown on the sidewalls of GaAs nanowires by metal organic chemical vapor deposition. Different dot shells are separated by 8 nm GaAs spacer shells. With increasing the number of shells, the quantum dots become sparser and tend to align in one array, which is caused by the shrinkage of facets on which dots prefer to grow as well as the strain fields produced by the lower set of dots which influences the migration of In adatoms. The size of quantum dots increases with the increase of shell number due to enhanced strain fields coupling. The spectra of multishell dots exhibit multiwavelength emission, and each peak corresponds to a dot shell. This hybrid structure may serve as a promising element in nanowire intermediate band solar cells, infrared nanolasers, and photodetectors.

  16. n-Type Doping and Morphology of GaAs Nanowires in Aerotaxy

    Energy Technology Data Exchange (ETDEWEB)

    Metaferia, Wondwosen [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Sivakumar, Sudhakar [Lund University; Persson, Axel R. [Lund University; Geijselaers, Irene [Lund University; Wallenberg, L. Reine [Lund University; Deppert, Knut [Lund University; Samuelson, Lars [Lund University; Magnusson, Martin [Lund University

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10-3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 1019 cm-3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  17. Resistance Fluctuations in GaAs Nanowire Grids

    Directory of Open Access Journals (Sweden)

    Ivan Marasović

    2014-01-01

    Full Text Available We present a numerical study on resistance fluctuations in a series of nanowire-based grids. Each grid is made of GaAs nanowires arranged in parallel with metallic contacts crossing all nanowires perpendicularly. Electrical properties of GaAs nanowires known from previous experimental research are used as input parameters in the simulation procedure. Due to the nonhomogeneous doping, the resistivity changes along nanowire. Allowing two possible nanowire orientations (“upwards” or “downwards”, the resulting grid is partially disordered in vertical direction which causes resistance fluctuations. The system is modeled using a two-dimensional random resistor network. Transfer-matrix computation algorithm is used to calculate the total network resistance. It is found that probability density function (PDF of resistance fluctuations for a series of nanowire grids changes from Gaussian behavior towards the Bramwell-Holdsworth-Pinton distribution when both nanowire orientations are equally represented in the grid.

  18. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    Science.gov (United States)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be -oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  19. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    Science.gov (United States)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  20. Influence of substrate orientation on the structural properties of GaAs nanowires in MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Muhammad, R., E-mail: rosnita@utm.my; Othaman, Z., E-mail: zulothaman@gmail.com; Ibrahim, Z., E-mail: zuhairi@utm.my; Sakrani, S., E-mail: samsudi3@yahoo.com [Faculty of Science, UniversitiTeknologi Malaysia, 81310 UTM, Johor (Malaysia); Wahab, Y., E-mail: wyussof@gmail.com [Razak School, UniversitiTeknologi Malaysia, 54100 Kuala Lumpur (Malaysia)

    2016-04-19

    In this study, the effect of substrate orientation on the structural properties of GaAs nanowires grown by a metal organic chemical vapor deposition has been investigated. Gold colloids were used as catalyst to initiate the growth of nanowiresby the vapour-liquid-solid (VLS) mechanism. From the field-emission scanning electron microscopy (FE-SEM), the growth of the nanowires were at an elevation angle of 90°, 60°, 65° and 35° with respect to the GaAs substrate for (111)B, (311)B, (110) and (100) orientations respectively. The preferential NW growth direction is always <111>B. High-resolution transmission electron microscope (HRTEM) micrograph showed the NWs that grew on the GaAs(111)B has more structural defects when compared to others. Energy dispersive X-ray analysis (EDX) indicated the presence of Au, Ga and As. The bigger diameter NWs dominates the (111)B substrate surface.

  1. Towards low-dimensional hole systems in Be-doped GaAs nanowires

    DEFF Research Database (Denmark)

    Ullah, A. R.; Gluschke, J. G.; Jeppesen, Peter Krogstrup

    2017-01-01

    -gates produced using GaAs nanowires with three different Be-doping densities and various AuBe contact processing recipes. We show that contact annealing only brings small improvements for the moderately doped devices under conditions of lower anneal temperature and short anneal time. We only obtain good......GaAs was central to the development of quantum devices but is rarely used for nanowire-based quantum devices with InAs, InSb and SiGe instead taking the leading role. p-type GaAs nanowires offer a path to studying strongly confined 0D and 1D hole systems with strong spin–orbit effects, motivating...... our development of nanowire transistors featuring Be-doped p-type GaAs nanowires, AuBe alloy contacts and patterned local gate electrodes towards making nanowire-based quantum hole devices. We report on nanowire transistors with traditional substrate back-gates and EBL-defined metal/oxide top...

  2. Ga-assisted catalyst-free growth mechanism of GaAs nanowires by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Colombo, C.; Spirkoska, D.; Frimmer, M.; Abstreiter, G.; Fontcuberta i Morral, A.

    2008-01-01

    The mechanisms of Ga-assisted GaAs nanowires grown by molecular beam epitaxy are addressed. The axial and radial growth rates as a function of the Ga rate and As pressure indicate that on the opposite of what is observed in thin film epitaxy, the growth rate of the nanowires is arsenic limited. As a consequence, the axial growth rate of the wires can be controlled by the As 4 pressure. Additionally, due to the small As 4 pressure leading to nanowire growth, the deposition on the facets is very slow, leading to a much lower radial growth rate. Finally, we present a model that is able to accurately describe the presented observations and predicts a maximum length of nontapered nanowires of 40 μm

  3. Sulfur passivation and contact methods for GaAs nanowire solar cells

    International Nuclear Information System (INIS)

    Tajik, N; Peng, Z; Kuyanov, P; LaPierre, R R

    2011-01-01

    The effect of sulfur passivation on core-shell p-n junction GaAs nanowire (NW) solar cells has been investigated. Devices of two types were investigated, consisting of indium tin oxide contact dots or opaque Au finger electrodes. Lateral carrier transport from the NWs to the contact fingers was achieved via a p-doped GaAs surface conduction layer. NWs between the opaque contact fingers had sidewall surfaces exposed for passivation by sulfur. The relative cell efficiency increased by 19% upon passivation. The contribution of the thin film grown between the NWs to the total cell efficiency was estimated by removing the NWs using a sonication procedure. Mechanisms of carrier transport and photovoltaic effects are discussed on the basis of spatially resolved laser scanning measurements.

  4. Temperature conditions for GaAs nanowire formation by Au-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Tchernycheva, M; Harmand, J C; Patriarche, G; Travers, L; Cirlin, G E

    2006-01-01

    Molecular beam epitaxial growth of GaAs nanowires using Au particles as a catalyst was investigated. Prior to the growth during annealing, Au alloyed with Ga coming from the GaAs substrate, and melted. Phase transitions of the resulting particles were observed in situ by reflection high-energy electron diffraction (RHEED). The temperature domain in which GaAs nanowire growth is possible was determined. The lower limit of this domain (320 deg. C) is close to the observed catalyst solidification temperature. Below this temperature, the catalyst is buried by GaAs growth. Above the higher limit (620 deg. C), the catalyst segregates on the surface with no significant nanowire formation. Inside this domain, the influence of growth temperature on the nanowire morphology and crystalline structure was investigated in detail by scanning electron microscopy and transmission electron microscopy. The correlation of the nanowire morphology with the RHEED patterns observed during the growth was established. Wurtzite GaAs was found to be the dominant crystal structure of the wires

  5. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    Science.gov (United States)

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  6. Growth of InAs/InGaAs nanowires on GaAs(111)B substrates

    Energy Technology Data Exchange (ETDEWEB)

    Scholz, Sven; Schott, Ruediger; Ludwig, Arne; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany); Reuter, Dirk [Arbeitsgruppe fuer optoelektronische Materialien und Bauelemente, Universitaet Paderborn (Germany)

    2013-07-01

    To investigate the structure and behavior of individual 1D-quantum structures, so called nanowires, we have grown single localized Au seeded InAs/InGaAs nanowires on GaAs(111)B substrate by molecular beam epitaxy. The Au-seeds are implanted by focused ion beam (FIB) technology. We developed a AuGa-LMIS to avoid the beam spread induced by using a Wien-Filter, which allows us to reduce the spot size of the focused ion beam and as consequence the number of implanted ions necessary to seed a wire. At present the growth of InAs nanowires is not fully understood and we have been working on optimizing the process. We identified an optimal growth temperature and arsenic to indium ratio for nanowire growth. Further investigations also aim at analyzing the influence of the growth rates and growth directions. We studied the morphology of the nanowires by SEM imaging and the optical properties with photoluminescence spectroscopy.

  7. Schottky barrier measurements on individual GaAs nanowires by X-ray photoemission microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Di Mario, Lorenzo [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Turchini, Stefano, E-mail: stefano.turchini@cnr.it [ISM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Zamborlini, Giovanni; Feyer, Vitaly [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, 52425 Jülich (Germany); Tian, Lin [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Schneider, Claus M. [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, 52425 Jülich (Germany); Fakultät für Physik and Center for Nanointegration Duisburg-Essen (CENIDE), Universität Duisburg-Essen, D-47048 Duisburg (Germany); Rubini, Silvia [IOM-CNR, TASC Laboratory, Basovizza 34149, Trieste (Italy); Martelli, Faustino, E-mail: faustino.martelli@cnr.it [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy)

    2016-11-15

    Highlights: • The Schottky barrier at the interface between Cu and GaAs nanowires was measured. • Individual nanowires were investigated by X-ray Photoemission Microscopy. • The Schottky barrier at different positions along the nanowire was evaluated. - Abstract: We present measurements of the Schottky barrier height on individual GaAs nanowires by means of x-ray photoelectron emission microscopy (XPEEM). Values of 0.73 and 0.51 eV, averaged over the entire wires, were measured on Cu-covered n-doped and p-doped GaAs nanowires, respectively, in agreement with results obtained on bulk material. Our measurements show that XPEEM can become a feasible and reliable investigation tool of interface formation at the nanoscale and pave the way towards the study of size-dependent effects on semiconductor-based structures.

  8. Solar heating of GaAs nanowire solar cells.

    Science.gov (United States)

    Wu, Shao-Hua; Povinelli, Michelle L

    2015-11-30

    We use a coupled thermal-optical approach to model the operating temperature rise in GaAs nanowire solar cells. We find that despite more highly concentrated light absorption and lower thermal conductivity, the overall temperature rise in a nanowire structure is no higher than in a planar structure. Moreover, coating the nanowires with a transparent polymer can increase the radiative cooling power by 2.2 times, lowering the operating temperature by nearly 7 K.

  9. AlGaAs and AlGaAs/GaAs/AlGaAs nanowires grown by molecular beam epitaxy on silicon substrates

    DEFF Research Database (Denmark)

    Cirlin, G E; Reznik, R R; Shtrom, I V

    2017-01-01

    The data on growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on different (1 1 1) substrates by Au-assisted molecular beam epitaxy are presented. The influence of nanowires growth conditions on structural and optical properties is studied in detail...

  10. GaAs nanowire array solar cells with axial p-i-n junctions.

    Science.gov (United States)

    Yao, Maoqing; Huang, Ningfeng; Cong, Sen; Chi, Chun-Yung; Seyedi, M Ashkan; Lin, Yen-Ting; Cao, Yu; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2014-06-11

    Because of unique structural, optical, and electrical properties, solar cells based on semiconductor nanowires are a rapidly evolving scientific enterprise. Various approaches employing III-V nanowires have emerged, among which GaAs, especially, is under intense research and development. Most reported GaAs nanowire solar cells form p-n junctions in the radial direction; however, nanowires using axial junction may enable the attainment of high open circuit voltage (Voc) and integration into multijunction solar cells. Here, we report GaAs nanowire solar cells with axial p-i-n junctions that achieve 7.58% efficiency. Simulations show that axial junctions are more tolerant to doping variation than radial junctions and lead to higher Voc under certain conditions. We further study the effect of wire diameter and junction depth using electrical characterization and cathodoluminescence. The results show that large diameter and shallow junctions are essential for a high extraction efficiency. Our approach opens up great opportunity for future low-cost, high-efficiency photovoltaics.

  11. Bismuth alloying properties in GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Lu [State Key Laboratory of Information Photonics and Optical Communications, Ministry of Education, Beijing University of Posts and Telecommunications, PO Box 72, Beijing 100876 (China); Lu, Pengfei, E-mail: photon.bupt@gmail.com [State Key Laboratory of Information Photonics and Optical Communications, Ministry of Education, Beijing University of Posts and Telecommunications, PO Box 72, Beijing 100876 (China); Cao, Huawei; Cai, Ningning; Yu, Zhongyuan [State Key Laboratory of Information Photonics and Optical Communications, Ministry of Education, Beijing University of Posts and Telecommunications, PO Box 72, Beijing 100876 (China); Gao, Tao [Institute of Atomic and Molecular Physics, Sichuan University, Chengdu 610065 (China); Wang, Shumin [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Photonics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, 41296 Gothenburg (Sweden)

    2013-09-15

    First-principles calculations have been performed to investigate the structural, electronic and optical properties of bismuth alloying in GaAs nanowires. A typical model of Ga{sub 31}As{sub 31} nanowires is introduced for its reasonable band gap. The band gap of GaAs{sub 1−x}Bi{sub x} shrinks clearly with the increasing Bi concentration and the band edge shifts when spin–orbit coupling (SOC) is considered. The insertion of Bi atom leads to hybridization of Ga/As/Bi p states which contributes a lot around Fermi level. Scissor effect is involved. The optical properties are presented, including dielectric function, optical absorption spectra and reflectivity, which are also varied with the increasing of Bi concentrations. - Graphical abstract: Top view of Bi-doped GaAs nanowires. Ga, As, and Bi atoms are denoted with grey, purple and red balls, respectively. Display Omitted - Highlights: • A typical model of Ga{sub 31}As{sub 31} nanowires is introduced for its reasonable band gap. • The band gap of GaAs{sub 1−x}Bi{sub x} shrinks clearly with the increasing Bi concentration. • The band edge shifts when spin–orbit coupling (SOC) is considered. • The insertion of Bi atom leads to hybridization of Ga/As/Bi p states.

  12. Effect of e-beam dose on the fractional density of Au-catalyzed GaAs nanowire growth

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jeung Hun, E-mail: jeunghunpark@gmail.com [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States); Gambin, Vincent [Northrop Grumman Aerospace Systems, Redondo Beach, CA 90278 (United States); Kodambaka, Suneel, E-mail: kodambaka@ucla.edu [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2016-05-31

    Using Au/GaAs as a model system, the effect of initial catalyst patterning conditions on the growth of nanowire was studied. Resulting morphologies and fractional surface densities are determined as a function of e-beam dose, dot size, and inter-dot spacing using scanning and transmission electron microscopies. The majority of resulting nanowires grow randomly oriented with respect to the substrate. The nanowires are tapered with narrow tops, wider bases, and catalysts at the wire tips — characteristics of vapor–liquid–solid process. The base diameters of the wires are larger than the dot size, which is likely due to the non-catalyzed vapor–solid deposition along the sidewalls. The higher dose rate used in pattering leads to the formation of higher aspect ratio nanowires with narrower bases. The fractional surface density is found to increase linearly with the clearing dose and the critical dose for nanowire growth increases with decreasing catalyst pattern size and spacing. At a given dose, the fractional density increases with increasing Au dot size and with decreasing inter-dot spacing. Our results may provide new insights into the role of catalyst preparing conditions on the high density, wafer-scale growth of nanowires. - Highlights: • Initial Au catalyst layers are prepared using electron beam lithography. • GaAs nanowires are grown on GaAs(111)B using molecular beam epitaxy. • Effect of dose, size and spacing of Au dots on morphology and density is studied. • Density of nanowires is controlled by changing exposed dose on Au catalyst.

  13. Doping assessment in GaAs nanowires

    DEFF Research Database (Denmark)

    Goktas, N. Isik; Fiordaliso, Elisabetta Maria; LaPierre, R. R.

    2018-01-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs...

  14. Parallel-aligned GaAs nanowires with (110) orientation laterally grown on [311]B substrates via the gold-catalyzed vapor-liquid-solid mode

    International Nuclear Information System (INIS)

    Zhang Guoqiang; Tateno, Kouta; Gotoh, Hideki; Nakano, Hidetoshi

    2010-01-01

    We report parallel aligned GaAs nanowires (NWs) with (110) orientation laterally grown on [311]B substrates via the vapor-liquid-solid mode and demonstrate their controllability and growth mechanism. We control the size, density, and site of the lateral NWs by using size- and density-selective Au colloidal particles and Au dot arrays defined by electron-beam lithography. The lateral NWs grow only along the [110] and [1-bar 1-bar 0] directions and formation of the stable facets of (111)B and (001) on the sides of the lateral NWs is crucial for lateral NW growth. We clarify the growth mechanism by comparing the growth results on [311]B, (311)A, and (001) substrates and the surface energy change of lateral and freestanding NWs.

  15. Understanding the true shape of Au-catalyzed GaAs nanowires.

    Science.gov (United States)

    Jiang, Nian; Wong-Leung, Jennifer; Joyce, Hannah J; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-10-08

    With increasing interest in nanowire-based devices, a thorough understanding of the nanowire shape is required to gain tight control of the quality of nanowire heterostructures and improve the performance of related devices. We present a systematic study of the sidewalls of Au-catalyzed GaAs nanowires by investigating the faceting process from the beginning with vapor-liquid-solid (VLS) nucleation, followed by the simultaneous radial growth on the sidewalls, and to the end with sidewall transformation during annealing. The VLS nucleation interface of our GaAs nanowires is revealed by examining cross sections of the nanowire, where the nanowire exhibits a Reuleaux triangular shape with three curved surfaces along {112}A. These curved surfaces are not thermodynamically stable and adopt {112}A facets during radial growth. We observe clear differences in radial growth rate between the ⟨112⟩A and ⟨112⟩B directions with {112}B facets forming due to the slower radial growth rate along ⟨112⟩B directions. These sidewalls transform to {110} facets after high temperature (>500 °C) annealing. A nucleation model is proposed to explain the origin of the Reuleaux triangular shape of the nanowires, and the sidewall evolution is explained by surface kinetic and thermodynamic limitations.

  16. In situ electron backscattered diffraction of individual GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Prikhodko, S.V. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)], E-mail: sergey@seas.ucla.edu; Sitzman, S. [Oxford Instruments America, Concord, MA 01742 (United States); Gambin, V. [Northrop Grumman Space Technology, Redondo Beach, CA 90278 (United States); Kodambaka, S. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2008-12-15

    We suggest and demonstrate that electron backscattered diffraction, a scanning electron microscope-based technique, can be used for non-destructive structural and morphological characterization of statistically significant number of nanowires in situ on their growth substrate. We obtain morphological, crystal phase, and crystal orientation information of individual GaAs nanowires in situ on the growth substrate GaAs(1 1 1) B. Our results, verified using transmission electron microscopy and selected area electron diffraction analyses of the same set of wires, indicate that most wires possess a wurtzite structure with a high density of thin structural defects aligned normal to the wire growth axis, while others grow defect-free with a zincblende structure. The demonstrated approach is general, applicable to other material systems, and is expected to provide important insights into the role of substrate structure on nanowire structure on nanowire crystallinity and growth orientation.

  17. Preferential adsorption of gallium on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires

    International Nuclear Information System (INIS)

    Shu Haibo; Chen Xiaoshuang; Ding Zongling; Dong Ruibin; Lu Wei

    2010-01-01

    The mechanism of the preferential adsorption of Ga on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires is studied by using first-principles calculations within density functional theory. The calculated results show that Au preadsorption on GaAs(111)B surface significantly enhances the stability of the Ga adatom in comparison with the adsorption of Ga on clean GaAs(111)B surface. The stabilization of the Ga adatom is due to charge transfers from the Ga 4p and 4s states to the Au 6s and As 4p states. The number of Ga adatoms stabilized on GaAs(111)B surfaces depends on the size of surface Au cluster. The reason is that Au acted as an electron acceptor on GaAs(111)B surface assists the charge transfer of Ga adatoms for filling the partial unoccupied bands of GaAs(111)B surface. Our results are helpful to understand the growth of Au-assisted GaAs nanowires.

  18. Fabrication of GaAs nanowire devices with self-aligning W-gate electrodes using selective-area MOVPE

    International Nuclear Information System (INIS)

    Ooike, N.; Motohisa, J.; Fukui, T.

    2004-01-01

    We propose and demonstrate a novel self-aligning process for fabricating the tungsten (W) gate electrode of GaAs nanowire FETs by using selective-area metalorganic vapor phase epitaxy (SA-MOVPE) where SiO 2 /W composite films are used to mask the substrates. First, to study the growth process and its dependence on mask materials, GaAs wire structures were grown on masked substrates partially covered with a single W layer or SiO 2 /W composite films. We found that lateral growth over the masked regions could be suppressed when a wire along the [110] direction and a SiO 2 /W composite mask were used. Using this composite mask, we fabricated GaAs narrow channel FETs using W as a Schottky gate electrode, and we were able to observe FET characteristics at room temperature

  19. Growth of Ag-seeded III-V Nanowires and TEM Characterization

    DEFF Research Database (Denmark)

    Lindberg, Anna Helmi Caroline

    appropriate, the density and the vertical yield were obtained. The crystal structures for the grown nanowires have been investigated with TEM.We have also performed additional growths to further understand exactly how the nanowire growth proceeds as well as to understand the limitations of using Ag as a seed......This thesis deals with growth and characterization of GaAs and InAs nanowires. Today Au nanoparticle-seeding together with self-catalyzing are the dominating techniques to grow III-V nanowires with molecular beam epitaxy. In this thesis we instead investigate the possibility to use Ag as seed...... particle for growth of GaAs and InAs nanowires. The aim with the experiments performed has been to conclude whether Ag can be used to nucleate and grow nanowires on III-V substrates with molecular beam epitaxy. To investigate this we have performed growths of GaAs nanowires on GaAs(111)B and GaAs(100...

  20. The investigation of alloy formation during InAs nanowires growth on GaAs (111)B substrate

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Walter-Flex-Str. 3, Siegen 57072 (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institute (PGI-9), Forschungzentrum Juelich, Juelich 52425 (Germany)

    2013-07-01

    A possible way to obtain nanowires is the growth in molecular beam epitaxy (MBE) on the (111) oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of two different series (etched and unetched) of NWs samples. Vertically aligned InAs nanowires (NWs) doped with Si were self-assisted grown by molecular beam epitaxy on GaAs [111]B substrates covered with a thin SiO{sub x} layer. Using a combination of symmetric and asymmetric X-ray diffraction we study the influence of Si supply on the growth process and nanostructure formation. We find that the number of parasitic crystallites grown between the NWs increases with increasing Si flux. In addition, we observe the formation of a Ga{sub 0.2}In{sub 0.8}As alloy if the growth is performed on samples covered by a defective (etched) oxide layer. This alloy formation is observed within the crystallites and not within the nanowires. The Gallium concentration is determined from the lattice mismatch of the crystallites relative to the InAs nanowires. No alloy formation is found for samples with faultless oxide layers.

  1. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    Science.gov (United States)

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  2. A graphene/single GaAs nanowire Schottky junction photovoltaic device.

    Science.gov (United States)

    Luo, Yanbin; Yan, Xin; Zhang, Jinnan; Li, Bang; Wu, Yao; Lu, Qichao; Jin, Chenxiaoshuai; Zhang, Xia; Ren, Xiaomin

    2018-05-04

    A graphene/nanowire Schottky junction is a promising structure for low-cost high-performance optoelectronic devices. Here we demonstrate a graphene/single GaAs nanowire Schottky junction photovoltaic device. The Schottky junction is fabricated by covering a single layer graphene onto an n-doped GaAs nanowire. Under 532 nm laser excitation, the device exhibits a high responsivity of 231 mA W-1 and a short response/recover time of 85/118 μs at zero bias. Under AM 1.5 G solar illumination, the device has an open-circuit voltage of 75.0 mV and a short-circuit current density of 425 mA cm-2, yielding a remarkable conversion efficiency of 8.8%. The excellent photovoltaic performance of the device is attributed to the strong built-in electric field in the Schottky junction as well as the transparent property of graphene. The device is promising for self-powered high-speed photodetectors and low-cost high-efficiency solar cells.

  3. The Mn site in Mn-doped GaAs nanowires: an EXAFS study

    International Nuclear Information System (INIS)

    D’Acapito, F; Rovezzi, M; Boscherini, F; Jabeen, F; Bais, G; Piccin, M; Rubini, S; Martelli, F

    2012-01-01

    We present an EXAFS study of the Mn atomic environment in Mn-doped GaAs nanowires. Mn doping has been obtained either via the diffusion of the Mn used as seed for the nanowire growth or by providing Mn during the growth of Au-induced wires. As a general finding, we observe that Mn forms chemical bonds with As but is not incorporated in a substitutional site. In Mn-induced GaAs wires, Mn is mostly found bonded to As in a rather disordered environment and with a stretched bond length, reminiscent of that exhibited by MnAs phases. In Au-seeded nanowires, along with stretched MnAs coordination, we have found the presence of Mn in a MnAu intermetallic compound. (paper)

  4. The influence of surfaces on the transient terahertz conductivity and electron mobility of GaAs nanowires

    International Nuclear Information System (INIS)

    Joyce, Hannah J; Baig, Sarwat A; Parkinson, Patrick; Davies, Christopher L; Boland, Jessica L; Herz, Laura M; Johnston, Michael B; Tan, H Hoe; Jagadish, Chennupati

    2017-01-01

    Bare unpassivated GaAs nanowires feature relatively high electron mobilities (400–2100 cm 2 V −1 s −1 ) and ultrashort charge carrier lifetimes (1–5 ps) at room temperature. These two properties are highly desirable for high speed optoelectronic devices, including photoreceivers, modulators and switches operating at microwave and terahertz frequencies. When engineering these GaAs nanowire-based devices, it is important to have a quantitative understanding of how the charge carrier mobility and lifetime can be tuned. Here we use optical-pump–terahertz-probe spectroscopy to quantify how mobility and lifetime depend on the nanowire surfaces and on carrier density in unpassivated GaAs nanowires. We also present two alternative frameworks for the analysis of nanowire photoconductivity: one based on plasmon resonance and the other based on Maxwell–Garnett effective medium theory with the nanowires modelled as prolate ellipsoids. We find the electron mobility decreases significantly with decreasing nanowire diameter, as charge carriers experience increased scattering at nanowire surfaces. Reducing the diameter from 50 nm to 30 nm degrades the electron mobility by up to 47%. Photoconductivity dynamics were dominated by trapping at saturable states existing at the nanowire surface, and the trapping rate was highest for the nanowires of narrowest diameter. The maximum surface recombination velocity, which occurs in the limit of all traps being empty, was calculated as 1.3  ×  10 6 cm s −1 . We note that when selecting the optimum nanowire diameter for an ultrafast device, there is a trade-off between achieving a short lifetime and a high carrier mobility. To achieve high speed GaAs nanowire devices featuring the highest charge carrier mobilities and shortest lifetimes, we recommend operating the devices at low charge carrier densities. (paper)

  5. Homo- and heteroepitaxial growth behavior of upright InAs nanowires on InAs and GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, Jens; Gottschalch, Volker; Paetzelt, Hendrik [Institut fuer Anorganische Chemie, Universitaet Leipzig, Johannesallee 29, D-04103 Leipzig (Germany); Wagner, Gerald [Institut fuer Kristallographie und Mineralogie, Universitaet Leipzig, Linnestr. 5, D-04103 Leipzig (Germany); Pietsch, Ulrich [Festkoerperphysik, Universitaet Siegen, D-57068 Siegen (Germany)

    2008-07-01

    Semiconductor nanowires (NW) acquire recently attraction because of promising new application fields in electronics and optoelectronic. We applied the vapor-liquid-solid mechanism with gold seeds in combination with low-pressure metal-organic vapor phase epitaxy (LP-MOVPE) to achieve replicable InAs NW growth with high growth rates. Since the initial alloying of the gold seeds with the substrate material plays a deciding role for the inceptive NW growth, InAs free standing nanowires were grown on GaAs(111)B substrate as well as on InAs/GaAs(111)B quasi-substrate. The influence of the MOVPE parameters will be discussed with respect to NW morphology and real-structure. A special focus will be set on the heteroepitaxial InAs NW growth on GaAs substrates. Gracing-incidence X-ray studies and transmission electron microscopy investigations revealed the existence of a thin Ga{sub x}In{sub 1-x}As graduated alloy layer with embedded crystalline gold alloy particles at the NW substrate interface. The effect of droplet composition on the VLS growth will be presented in a thermodynamic model.

  6. Molecular beam epitaxy of GaAs nanowires and their sustainability for optoelectronic applications. Comparing Au- and self-assisted growth methods

    Energy Technology Data Exchange (ETDEWEB)

    Breuer, Steffen

    2011-09-28

    In this work the synthesis of GaAs nanowires by molecular beam epitaxy (MBE) using the vapour-liquid-solid (VLS) mechanism is investigated. A comparison between Au- and self-assisted VLS growth is at the centre of this thesis. While the Au-assisted method is established as a versatile tool for nanowire growth, the recently developed self-assisted variation results from the exchange of Au by Ga droplets and thus eliminates any possibility of Au incorporation. By both methods, we achieve nanowires with epitaxial alignment to the Si(111) substrates. Caused by differences during nanowire nucleation, a parasitic planar layer grows between the nanowires by the Au-assisted method, but can be avoided by the self-assisted method. Au-assisted nanowires grow predominantly in the metastable wurtzite crystal structure, while their self-assisted counterparts have the zincblende structure. All GaAs nanowires are fully relaxed and the strain arising from the lattice mismatch between GaAs and Si of 4.1 % is accommodated by misfit dislocations at the interface. Self-assisted GaAs nanowires are generally found to have vertical and non-polar side facets, while tilted and polar nanofacets were described for Au-assisted GaAs nanowires. We employ VLS nucleation theory to understand the effect of the droplet material on the lateral facets. Optoelectronic applications require long minority carrier lifetimes at room temperature. We fabricate GaAs/(Al,Ga)As core-shell nanowires and analyse them by transient photoluminescence (PL) spectroscopy. The results are 2.5 ns for the self-assisted nanowires as well as 9 ps for the Au-assisted nanowires. By temperature-dependent PL measurements we find a characteristic activation energy of 77 meV that is present only in the Au-assisted nanowires. We conclude that most likely Au is incorporated from the droplets into the GaAs nanowires and acts as a deep, non-radiative recombination centre.

  7. Growth and characteristics of p-type doped GaAs nanowire

    Science.gov (United States)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  8. Polarized recombination of acoustically transported carriers in GaAs nanowires

    Science.gov (United States)

    Möller, Michael; Hernández-Mínguez, Alberto; Breuer, Steffen; Pfüller, Carsten; Brandt, Oliver; de Lima, Mauricio M.; Cantarero, Andrés; Geelhaar, Lutz; Riechert, Henning; Santos, Paulo V.

    2012-05-01

    The oscillating piezoelectric field of a surface acoustic wave (SAW) is employed to transport photoexcited electrons and holes in GaAs nanowires deposited on a SAW delay line on a LiNbO3 crystal. The carriers generated in the nanowire by a focused light spot are acoustically transferred to a second location where they recombine. We show that the recombination of the transported carriers occurs in a zinc blende section on top of the predominant wurtzite nanowire. This allows contactless control of the linear polarized emission by SAWs which is governed by the crystal structure. Additional polarization-resolved photoluminescence measurements were performed to investigate spin conservation during transport.

  9. X-ray investigation of the interface structure of free standing InAs nanowires grown on GaAs[ anti 1 anti 1 anti 1]{sub B}

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, Jens; Gottschalch, Volker; Wagner, Gerald [Universitaet Leipzig, Halbleiterchemie, Leipzig (Germany); Pietsch, Ullrich; Davydok, Anton; Biermanns, Andreas [Universitaet Siegen, Festkoerperphysik, Siegen (Germany); Grenzer, Joerg [Forschungszentrum Rossendorf, Institut fuer Ionenstrahlphysik und Materialforschung, Dresden (Germany)

    2009-09-15

    The heteroepitaxial growth process of InAs nanowires (NW) on GaAs[ anti 1 anti 1 anti 1]{sub B} substrate was investigated by X-ray grazing-incidence diffraction using synchrotron radiation. For crystal growth we applied the vapor-liquid-solid (VLS) growth mechanism via gold seeds. The general sample structure was extracted from various electron microscopic and X-ray diffraction experiments. We found a closed Ga{sub x}In{sub 1-x} As graduated alloy layer at the substrate to NW interface which was formed in the initial stage of VLS growth with a Au-Ga-In liquid alloy. With ongoing growth time a transition from this VLS layer growth to the conventional VLS NW growth was observed. The structural properties of both VLS grown crystal types were examined. Furthermore, we discuss the VLS layer growth process. (orig.)

  10. Multiband model of the valence-band electronic structure in cylindrical GaAs nanowires

    Directory of Open Access Journals (Sweden)

    Čukarić Nemanja A.

    2010-01-01

    Full Text Available We compute the hole states in the GaAs free-standing nanowires, and in the GaAs/(Al,GaAs core-shell nanowires of type I-s, which are grown along the [100] direction. The hole states are extracted from the 4-band Luttinger-Kohn Hamiltonian, which explicitly takes into account mixing between the light and heavy holes. The axial aproximation is adopted, which allowed classification of states according to the total angular monentum (fz when expressed in units of the Planck constant. The envelope functions are expanded in Bessel functions of the first kind. The dispersion relations of the subbands E(kz obtained by the devised method do not resemble parabolas, which is otherwise a feature of the dispersion relations of the conduction subbands. Furthermore, the energy levels of holes whose total orbital momentum is fz=1/2 are shown to cross for a free-standing wire. The low energy fz=1/2 states are found to anticross, but these anticrossings turn into crossings when the ratio of the inner and outer radius of the core-shell wire takes a certain value. The influence of the geometric parameters on the dispersion relations is considered for both free standing and core-shell nanowires.

  11. Facile Five-Step Heteroepitaxial Growth of GaAs Nanowires on Silicon Substrates and the Twin Formation Mechanism.

    Science.gov (United States)

    Yao, Maoqing; Sheng, Chunyang; Ge, Mingyuan; Chi, Chun-Yung; Cong, Sen; Nakano, Aiichiro; Dapkus, P Daniel; Zhou, Chongwu

    2016-02-23

    Monolithic integration of III-V semiconductors with Si has been pursued for some time in the semiconductor industry. However, the mismatch of lattice constants and thermal expansion coefficients represents a large technological challenge for the heteroepitaxial growth. Nanowires, due to their small lateral dimension, can relieve strain and mitigate dislocation formation to allow single-crystal III-V materials to be grown on Si. Here, we report a facile five-step heteroepitaxial growth of GaAs nanowires on Si using selective area growth (SAG) in metalorganic chemical vapor deposition, and we further report an in-depth study on the twin formation mechanism. Rotational twin defects were observed in the nanowire structures and showed strong dependence on the growth condition and nanowire size. We adopt a model of faceted growth to demonstrate the formation of twins during growth, which is well supported by both a transmission electron microscopy study and simulation based on nucleation energetics. Our study has led to twin-free segments in the length up to 80 nm, a significant improvement compared to previous work using SAG. The achievements may open up opportunities for future functional III-V-on-Si heterostructure devices.

  12. Characterization of a Ga-assisted GaAs nanowire array solar cell on si substrate

    DEFF Research Database (Denmark)

    Boulanger, J. P.; Chia, A. C. E.; Wood, B.

    2016-01-01

    A single-junction core-shell GaAs nanowire (NW) solar cell on Si (1 1 1) substrates is presented. A Ga-assisted vapor–liquid–solid growth mechanism was used for the formation of a patterned array of radial p-i-n GaAs NWs encapsulated in AlInP passivation. Novel device fabrication utilizing facet-...

  13. Electronic properties of GaAs, InAs and InP nanowires studied by terahertz spectroscopy

    International Nuclear Information System (INIS)

    Joyce, Hannah J; Docherty, Callum J; Lloyd-Hughes, James; Herz, Laura M; Johnston, Michael B; Gao Qiang; Tan, H Hoe; Jagadish, Chennupati

    2013-01-01

    We have performed a comparative study of ultrafast charge carrier dynamics in a range of III–V nanowires using optical pump–terahertz probe spectroscopy. This versatile technique allows measurement of important parameters for device applications, including carrier lifetimes, surface recombination velocities, carrier mobilities and donor doping levels. GaAs, InAs and InP nanowires of varying diameters were measured. For all samples, the electronic response was dominated by a pronounced surface plasmon mode. Of the three nanowire materials, InAs nanowires exhibited the highest electron mobilities of 6000 cm 2 V −1 s −1 , which highlights their potential for high mobility applications, such as field effect transistors. InP nanowires exhibited the longest carrier lifetimes and the lowest surface recombination velocity of 170 cm s −1 . This very low surface recombination velocity makes InP nanowires suitable for applications where carrier lifetime is crucial, such as in photovoltaics. In contrast, the carrier lifetimes in GaAs nanowires were extremely short, of the order of picoseconds, due to the high surface recombination velocity, which was measured as 5.4 × 10 5   cm s −1 . These findings will assist in the choice of nanowires for different applications, and identify the challenges in producing nanowires suitable for future electronic and optoelectronic devices. (paper)

  14. Characterization of individual stacking faults in a wurtzite GaAs nanowire by nanobeam X-ray diffraction.

    Science.gov (United States)

    Davtyan, Arman; Lehmann, Sebastian; Kriegner, Dominik; Zamani, Reza R; Dick, Kimberly A; Bahrami, Danial; Al-Hassan, Ali; Leake, Steven J; Pietsch, Ullrich; Holý, Václav

    2017-09-01

    Coherent X-ray diffraction was used to measure the type, quantity and the relative distances between stacking faults along the growth direction of two individual wurtzite GaAs nanowires grown by metalorganic vapour epitaxy. The presented approach is based on the general property of the Patterson function, which is the autocorrelation of the electron density as well as the Fourier transformation of the diffracted intensity distribution of an object. Partial Patterson functions were extracted from the diffracted intensity measured along the [000\\bar{1}] direction in the vicinity of the wurtzite 00\\bar{1}\\bar{5} Bragg peak. The maxima of the Patterson function encode both the distances between the fault planes and the type of the fault planes with the sensitivity of a single atomic bilayer. The positions of the fault planes are deduced from the positions and shapes of the maxima of the Patterson function and they are in excellent agreement with the positions found with transmission electron microscopy of the same nanowire.

  15. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  16. VLS-grown diffusion doped ZnO nanowires and their luminescence properties

    International Nuclear Information System (INIS)

    Roy, Pushan Guha; Dutta, Amartya; Das, Arpita; Bhattacharyya, Anirban; Sen, Sayantani; Pramanik, Pallabi

    2015-01-01

    Zinc Oxide (ZnO) nanowires were deposited by vapor–liquid–solid (VLS) method on to aluminum doped ZnO (AZO) thin films grown by sol-gel technique. For various device applications, current injection into such nanowires is critical. This is expected to be more efficient for ZnO nanowires deposited on to AZO compared to those deposited on to a foreign substrate such as silicon. In this work we compare the morphological and optical properties of nanowires grown on AZO with those grown under similar conditions on silicon (Si) wafers. For nanowires grown on silicon, diameters around 44 nm with heights around 2.2 μm were obtained. For the growth on to AZO, the diameters were around 90 nm while the heights were around 520 nm. Room temperature photoluminescence (RT-PL) measurements show improved near band-edge emission for nanowires grown on to AZO, indicating higher material quality. This is further established by low temperature photoluminescence (LT-PL) measurements where excitonic transitions with width as small as 14 meV have been obtained at 4 K for such structures. Electron energy loss spectroscopy (EELS) studies indicate the presence of Al in the nanowires, indicating a new technique for introduction of dopants into these structures. These results indicate that ZnO nanowires on sol-gel grown AZO thin films show promise in the development of various optoelectronic devices. (paper)

  17. Design and Characterisation of III-V Semiconductor Nanowire Lasers

    Science.gov (United States)

    Saxena, Dhruv

    The development of small, power-efficient lasers underpins many of the technologies that we utilise today. Semiconductor nanowires are promising for miniaturising lasers to even smaller dimensions. III-V semiconductors, such as Gallium Arsenide (GaAs) and Indium Phosphide (InP), are the most widely used materials for optoelectronic devices and so the development of nanowire lasers based on these materials is expected to have technologically significant outcomes. This PhD dissertation presents a comprehensive study of the design of III-V semiconductor nanowire lasers, with bulk and quantum confined active regions. Based on the design, various III-V semiconductor nanowire lasers are demonstrated, namely, GaAs nanowire lasers, GaAs/AlGaAs multi-quantum well (MQW) nanowire lasers and InP nanowire lasers. These nanowire lasers are shown to operate at room temperature, have low thresholds, and lase from different transverse modes. The structural and optoelectronic quality of nanowire lasers are characterised via electron microscopy and photoluminescence spectroscopic techniques. Lasing is characterised in all these devices by optical pumping. The lasing characteristics are analysed by rate equation modelling and the lasing mode(s) in these devices is characterised by threshold gain modelling, polarisation measurements and Fourier plane imaging. Firstly, GaAs nanowire lasers that operate at room temperature are demonstrated. This is achieved by determining the optimal nanowire diameter to reduce threshold gain and by passivating nanowires to improve their quantum efficiency (QE). High-quality surface passivated GaAs nanowires of suitable diameters are grown. The growth procedure is tailored to improve both QE and structural uniformity of nanowires. Room-temperature lasing is demonstrated from individual nanowires and lasing is characterised to be from TM01 mode by threshold gain modelling. To lower threshold even further, nanowire lasers with GaAs/AlGaAs coaxial multi

  18. Large area, low capacitance, GaAs nanowire photodetector with a transparent Schottky collecting junction

    International Nuclear Information System (INIS)

    Seyedi, M. A.; Yao, M.; O'Brien, J.; Dapkus, P. D.; Wang, S. Y.

    2013-01-01

    We present experimental results on a GaAs/Indium-Tin-Oxide Schottky-like heterojunction photodetector based on a nanowire device geometry. By distributing the active detecting area over an array of nanowires, it is possible to achieve large area detection with low capacitance. Devices with bare GaAs and passivated AlGaAs/GaAs nanowires are fabricated to compare the responsivity with and without surface passivation. We are able to achieve responsivity of >0.5A/W and Signal-Noise-Ratio in excess of 7 dB for 2 V applied reverse bias with passivated nanowire devices. Capacitance-voltage measurement yields 2 , which shows a strong possibility for high-speed applications with a broad area device

  19. Parameters study on the growth of GaAs nanowires on indium tin oxide by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Dan; Tang, Xiaohong, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn; Li, Xianqiang [OPTIMUS, Photonics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 Singapore (Singapore); Wang, Kai, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn [Department of Electrical & Electronic Engineering, South University of Science and Technology of China, 1088 Xueyuan Avenue, Shenzhen 518055 (China); Olivier, Aurelien [CINTRA UMI 3288, School of Electrical and Electronic Engineering, Nanyang Technological University, Research Techno Plaza, 50 Nanyang Drive, Border X Block, Level 6, 637553 Singapore (Singapore)

    2016-03-07

    After successful demonstration of GaAs nanowire (NW) epitaxial growth on indium tin oxide (ITO) by metal organic chemical vapor deposition, we systematically investigate the effect of growth parameters' effect on the GaAs NW, including temperature, precursor molar flow rates, growth time, and Au catalyst size. 40 nm induced GaAs NWs are observed with zinc-blende structure. Based on vapor-liquid-solid mechanism, a kinetic model is used to deepen our understanding of the incorporation of growth species and the role of various growth parameters in tuning the GaAs NW growth rate. Thermally activated behavior has been investigated by variation of growth temperature. Activation energies of 40 nm Au catalyst induced NWs are calculated at different trimethylgallium (TMGa) molar flow rates about 65 kJ/mol. The GaAs NWs growth rates increase with TMGa molar flow rates whereas the growth rates are almost independent of growth time. Due to Gibbs-Thomson effect, the GaAs NW growth rates increase with Au nanoparticle size at different temperatures. Critical radius is calculated as 2.14 nm at the growth condition of 430 °C and 1.36 μmol/s TMGa flow rate. It is also proved experimentally that Au nanoparticle below the critical radius such as 2 nm cannot initiate the growth of NWs on ITO. This theoretical and experimental growth parameters investigation enables great controllability over GaAs NWs grown on transparent conductive substrate where the methodology can be expanded to other III–V material NWs and is critical for potential hybrid solar cell application.

  20. Self-assisted GaAs nanowires with selectable number density on Silicon without oxide layer

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Esposito, L; Sanguinetti, S; Frigeri, C; Fedorov, A; Geelhaar, L

    2014-01-01

    We present the growth of self-assisted GaAs nanowires (NWs) with selectable number density on bare Si(1 1 1), not covered by the silicon oxide. We determine the number density of the NWs by initially self-assembling GaAs islands on whose top a single NW is nucleated. The number density of the initial GaAs base islands can be tuned by droplet epitaxy and the same degree of control is then transferred to the NWs. This procedure is completely performed during a single growth in an ultra-high vacuum environment and requires neither an oxide layer covering the substrate, nor any pre-patterning technique. (paper)

  1. Growth and Characterisation of GaAs/AlGaAs Core-shell Nanowires for Optoelectronic Device Applications

    Science.gov (United States)

    Jiang, Nian

    III-V semiconductor nanowires have been investigated as key components for future electronic and optoelectronic devices and systems due to their direct band gap and high electron mobility. Amongst the III-V semiconductors, the planar GaAs material system has been extensively studied and used in industries. Accordingly, GaAs nanowires are the prime candidates for nano-scale devices. However, the electronic performance of GaAs nanowires has yet to match that of state-of-the-art planar GaAs devices. The present deficiency of GaAs nanowires is typically attributed to the large surface-to- volume ratio and the tendency for non-radiative recombination centres to form at the surface. The favoured solution of this problem is by coating GaAs nanowires with AlGaAs shells, which replaces the GaAs surface with GaAs/AlGaAs interface. This thesis presents a systematic study of GaAs/AlGaAs core-shell nanowires grown by metal organic chemical vapour deposition (MOCVD), including understanding the growth, and characterisation of their structural and optical properties. The structures of the nanowires were mainly studied by scanning electron microscopy and transmis- sion electron microscopy (TEM). A procedure of microtomy was developed to prepare the cross-sectional samples for the TEM studies. The optical properties were charac- terised by photoluminescence (PL) spectroscopy. Carrier lifetimes were measured by time-resolved PL. The growth of AlGaAs shell was optimised to obtain the best optical properties, e.g. the strongest PL emission and the longest minority carrier lifetimes. (Abstract shortened by ProQuest.).

  2. Structure, interface abruptness and strain relaxation in self-assisted grown InAs/GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Frigeri, Cesare, E-mail: frigeri@imem.cnr.it [CNR-IMEM Institute, Parma (Italy); Scarpellini, David [L–NESS and Dept. Materials Science, University of Milano Bicocca, Milano (Italy); Department of Industrial Engineering University of Rome Tor Vergata, Rome (Italy); Fedorov, Alexey [LNESS and CNR-IFN, Como (Italy); Bietti, Sergio; Somaschini, Claudio [L–NESS and Dept. Materials Science, University of Milano Bicocca, Milano (Italy); Grillo, Vincenzo [CNR-IMEM Institute, Parma (Italy); CNR-S3-NANO Center, Modena (Italy); Esposito, Luca; Salvalaglio, Marco; Marzegalli, Anna; Montalenti, Francesco [L–NESS and Dept. Materials Science, University of Milano Bicocca, Milano (Italy); Sanguinetti, Stefano [L–NESS and Dept. Materials Science, University of Milano Bicocca, Milano (Italy); LNESS and CNR-IFN, Como (Italy)

    2017-02-15

    Highlights: • We study 2 critical issues (interface abruptness and strain release) in InAs/GaAs NWs. • Structural and chemical interface sharpness ≤1.5 nm, better than in previous reports. • Simultaneous elastic and plastic relaxation is shown that agrees with FEM simulations. • Structural, chemical and strain release investigations were performed by STEM. • New MBE self-seeded method whereby InAs is grown by splitting In and As depositions. - Abstract: The structure, interface abruptness and strain relaxation in InAs/GaAs nanowires grown by molecular beam epitaxy in the Ga self-catalysed mode on (111) Si have been investigated by transmission electron microscopy. The nanowires had the zincblende phase. The InAs/GaAs interface was atomically and chemically sharp with a width around 1.5 nm, i.e. significantly smaller than previously reported values. This was achieved by the consumption of the Ga droplet and formation of a flat top facet of the GaAs followed by the growth of InAs by splitting the depositions of In and As. Both elastic and plastic strain relaxation took place simultaneously. Experimental TEM results about strain relaxation very well agree with linear elasticity theory calculations by the finite element methods.

  3. Structure, interface abruptness and strain relaxation in self-assisted grown InAs/GaAs nanowires

    International Nuclear Information System (INIS)

    Frigeri, Cesare; Scarpellini, David; Fedorov, Alexey; Bietti, Sergio; Somaschini, Claudio; Grillo, Vincenzo; Esposito, Luca; Salvalaglio, Marco; Marzegalli, Anna; Montalenti, Francesco; Sanguinetti, Stefano

    2017-01-01

    Highlights: • We study 2 critical issues (interface abruptness and strain release) in InAs/GaAs NWs. • Structural and chemical interface sharpness ≤1.5 nm, better than in previous reports. • Simultaneous elastic and plastic relaxation is shown that agrees with FEM simulations. • Structural, chemical and strain release investigations were performed by STEM. • New MBE self-seeded method whereby InAs is grown by splitting In and As depositions. - Abstract: The structure, interface abruptness and strain relaxation in InAs/GaAs nanowires grown by molecular beam epitaxy in the Ga self-catalysed mode on (111) Si have been investigated by transmission electron microscopy. The nanowires had the zincblende phase. The InAs/GaAs interface was atomically and chemically sharp with a width around 1.5 nm, i.e. significantly smaller than previously reported values. This was achieved by the consumption of the Ga droplet and formation of a flat top facet of the GaAs followed by the growth of InAs by splitting the depositions of In and As. Both elastic and plastic strain relaxation took place simultaneously. Experimental TEM results about strain relaxation very well agree with linear elasticity theory calculations by the finite element methods.

  4. Large area, low capacitance, GaAs nanowire photodetector with a transparent Schottky collecting junction

    Energy Technology Data Exchange (ETDEWEB)

    Seyedi, M. A., E-mail: seyedi@usc.edu; Yao, M.; O' Brien, J.; Dapkus, P. D. [Center for Energy Nanoscience, University of Southern California, Los Angeles, California 90089 (United States); Wang, S. Y. [Center for Energy Nanoscience, University of Southern California, Los Angeles, California 90089 (United States); Nanostructured Energy Conversion Technology and Research (NECTAR), Advanced Studies Laboratories, University of California, Santa Cruz, California 95064, USA and NASA Ames Research Center, Moffett Field, California 94035 (United States)

    2013-12-16

    We present experimental results on a GaAs/Indium-Tin-Oxide Schottky-like heterojunction photodetector based on a nanowire device geometry. By distributing the active detecting area over an array of nanowires, it is possible to achieve large area detection with low capacitance. Devices with bare GaAs and passivated AlGaAs/GaAs nanowires are fabricated to compare the responsivity with and without surface passivation. We are able to achieve responsivity of >0.5A/W and Signal-Noise-Ratio in excess of 7 dB for 2 V applied reverse bias with passivated nanowire devices. Capacitance-voltage measurement yields <5 nF/cm{sup 2}, which shows a strong possibility for high-speed applications with a broad area device.

  5. Self-healing in fractured GaAs nanowires

    International Nuclear Information System (INIS)

    Wang Jun; Lu Chunsheng; Wang Qi; Xiao Pan; Ke Fujiu; Bai Yilong; Shen Yaogen; Wang Yanbo; Chen Bin; Liao Xiaozhou; Gao Huajian

    2012-01-01

    Molecular dynamics simulations are performed to investigate a spontaneous self-healing process in fractured GaAs nanowires with a zinc blende structure. The results show that such self-healing can indeed occur via rebonding of Ga and As atoms across the fracture surfaces, but it can be strongly influenced by several factors, including wire size, number of healing cycles, temperature, fracture morphology, oriented attachment and atomic diffusion. For example, it is found that the self-healing capacity is reduced by 46% as the lateral dimension of the wire increases from 2.3 to 9.2 nm, and by 64% after 24 repeated cycles of fracture and healing. Other factors influencing the self-healing behavior are also discussed.

  6. Electrical and optical characterization of surface passivation in GaAs nanowires.

    Science.gov (United States)

    Chang, Chia-Chi; Chi, Chun-Yung; Yao, Maoqing; Huang, Ningfeng; Chen, Chun-Chung; Theiss, Jesse; Bushmaker, Adam W; Lalumondiere, Stephen; Yeh, Ting-Wei; Povinelli, Michelle L; Zhou, Chongwu; Dapkus, P Daniel; Cronin, Stephen B

    2012-09-12

    We report a systematic study of carrier dynamics in Al(x)Ga(1-x)As-passivated GaAs nanowires. With passivation, the minority carrier diffusion length (L(diff)) increases from 30 to 180 nm, as measured by electron beam induced current (EBIC) mapping, and the photoluminescence (PL) lifetime increases from sub-60 ps to 1.3 ns. A 48-fold enhancement in the continuous-wave PL intensity is observed on the same individual nanowire with and without the Al(x)Ga(1-x)As passivation layer, indicating a significant reduction in surface recombination. These results indicate that, in passivated nanowires, the minority carrier lifetime is not limited by twin stacking faults. From the PL lifetime and minority carrier diffusion length, we estimate the surface recombination velocity (SRV) to range from 1.7 × 10(3) to 1.1 × 10(4) cm·s(-1), and the minority carrier mobility μ is estimated to lie in the range from 10.3 to 67.5 cm(2) V(-1) s(-1) for the passivated nanowires.

  7. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  8. Linearly polarized emission from an embedded quantum dot using nanowire morphology control.

    Science.gov (United States)

    Foster, Andrew P; Bradley, John P; Gardner, Kirsty; Krysa, Andrey B; Royall, Ben; Skolnick, Maurice S; Wilson, Luke R

    2015-03-11

    GaAs nanowires with elongated cross sections are formed using a catalyst-free growth technique. This is achieved by patterning elongated nanoscale openings within a silicon dioxide growth mask on a (111)B GaAs substrate. It is observed that MOVPE-grown vertical nanowires with cross section elongated in the [21̅1̅] and [1̅12] directions remain faithful to the geometry of the openings. An InGaAs quantum dot with weak radial confinement is realized within each nanowire by briefly introducing indium into the reactor during nanowire growth. Photoluminescence emission from an embedded nanowire quantum dot is strongly linearly polarized (typically >90%) with the polarization direction coincident with the axis of elongation. Linearly polarized PL emission is a result of embedding the quantum dot in an anisotropic nanowire structure that supports a single strongly confined, linearly polarized optical mode. This research provides a route to the bottom-up growth of linearly polarized single photon sources of interest for quantum information applications.

  9. Growth and Raman spectroscopy studies of gold-free catalyzed semiconductor nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Zardo, Ilaria

    2010-12-15

    The present Ph.D. thesis proposes two aims: the search for catalysts alternative to gold for the growth of silicon nanowires and the investigation of the structural properties of the gold-free catalyzed Si, Ge, and GaAs nanowires. The successful growth of gold free catalyzed silicon nanowires was obtained using Ga and In as catalyst. Hydrogen plasma conditions were needed during the growth process. We proposed a growth mechanism where the role of the hydrogen plasma is taken into account. The influence of the growth conditions on nanowire growth morphology and structural properties was investigated in detail. The TEM studies showed the occurrence of different kind of twin defects depending on the nanowire growth direction. The intersection of twins in different spatial directions in <111>-oriented nanowires or the periodicity of highly dense twins in <112>-oriented nanowires leads to the formation of hexagonal domains embedded in the diamond silicon structure. A simple crystallographic model which illustrates the formation of the hexagonal phase was proposed. The presence of the hexagonal domains embedded in the diamond silicon structure was investigated also by means of Raman spectroscopy. The measured frequencies of the E2g and A1g modes were found to be in agreement with frequencies expected from phonon dispersion folding. An estimation of the percentage of hexagonal structure with respect to the cubic structure was given. The relative percentage of the two structures was found to change with growth temperature. Spatially resolved Raman scattering experiments were also realized on single Si nanowires. The lattice dynamics of gold-free catalyzed Ge and GaAs nanowires was studied by means of Raman spectroscopy. We performed spatially resolved Raman spectroscopy experiments on single crystalline- amorphous core-shell Ge nanowires. The correlation with TEM studies on nanowires grown under the same conditions and with AFM measurements realized of the same nanowires

  10. Growth of GaAs-nanowires on GaAs (111)B substrates induced by focused ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Schott, Ruediger; Reuter, Dirk; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany)

    2011-07-01

    Semiconductor nanowires are a promising system for applications in the areas of electronics and photonics and also for exploring phenomena at the nanoscale. There are several approaches to grow nanowires at arbitrary sites on the wafer. We report about growing GaAs-nanowires on GaAs(111)B substrates via the vapour-liquid-solid (VLS) mechanism in an ultra-high-vacuum (UHV)-cluster of a molecular beam epitaxy (MBE) and a focused ion beam (FIB) system. Our idea is to implant metal seeds (especially Au) for the nanowire growth by in situ patterning using FIB. Due to the UHV transfer between the FIB and the MBE chamber, no further cleaning step of the substrate surface is necessary. Formations of organized GaAs-nanowires and high aspect ratios are observed.

  11. Radial Growth of Self-Catalyzed GaAs Nanowires and the Evolution of the Liquid Ga-Droplet Studied by Time-Resolved in Situ X-ray Diffraction.

    Science.gov (United States)

    Schroth, Philipp; Jakob, Julian; Feigl, Ludwig; Mostafavi Kashani, Seyed Mohammad; Vogel, Jonas; Strempfer, Jörg; Keller, Thomas F; Pietsch, Ullrich; Baumbach, Tilo

    2018-01-10

    We report on a growth study of self-catalyzed GaAs nanowires based on time-resolved in situ X-ray structure characterization during molecular-beam-epitaxy in combination with ex situ scanning-electron-microscopy. We reveal the evolution of nanowire radius and polytypism and distinguish radial growth processes responsible for tapering and side-wall growth. We interpret our results using a model for diameter self-stabilization processes during growth of self-catalyzed GaAs nanowires including the shape of the liquid Ga-droplet and its evolution during growth.

  12. Early stages of Cs adsorption mechanism for GaAs nanowire surface

    Science.gov (United States)

    Diao, Yu; Liu, Lei; Xia, Sihao; Feng, Shu

    2018-03-01

    In this study, the adsorption mechanism of Cs adatoms on the (100) surface of GaAs nanowire with [0001] growth direction is investigated utilizing first principles method based on density function theory. The adsorption energy, work function, atomic structure and electronic property of clean surface and Cs-covered surfaces with different coverage are discussed. Results show that when only one Cs is adsorbed on the surface, the most favorable adsorption site is BGa-As. With increasing Cs coverage, work function gradually decreases and gets its minimum at 0.75 ML, then rises slightly when Cs coverage comes to 1 ML, indicating the existence of 'Cs-kill' phenomenon. According to further analysis, Cs activation process can effectively reduce the work function due to the formation of a downward band bending region and surface dipole moment directing from Cs adatom to the surface. As Cs coverage increases, the conduction band minimum and valence band maximum both shift towards lower energy side, contributed by the orbital hybridization between Cs-5s, Cs-5p states and Ga-4p, As-4s, As-4p states near Fermi level. The theoretical calculations and analysis in this study can improve the Cs activation technology for negative electron affinity optoelectronic devices based on GaAs nanowires, and also provide a reference for the further Cs/O or Cs/NF3 activation process.

  13. Composition–dependent growth dynamics of selectively grown InGaAs nanowires

    International Nuclear Information System (INIS)

    Kohashi, Y; Hara, S; Motohisa, J

    2014-01-01

    We grew gallium-rich (x > 0.50) and indium-rich (x < 0.50) In 1 − x Ga x As nanowires by catalyst–free selective-area metal–organic vapor-phase epitaxy (SA-MOVPE), and compared their growth dynamics dependence on V/III ratio. It was found that the growth dynamics of In 1 − x Ga x As nanowires is clearly dependent on the alloy composition x. Specifically, for gallium–rich nanowire growth, the axial growth rate of nanowires initially increased with decreasing V/III ratio, and then started to decrease when the V/III ratio continued to decrease below a critical value. On the other hand, axial growth rate of indium-rich nanowires monotonically decreased with decreasing V/III ratio. In addition, the alloy composition was strongly dependent on the V/III ratio for gallium-rich nanowire growth, while it was relatively independent of the V/III ratio for indium-rich nanowire growth. We discuss the origin of dissimilarity in the growth dynamics dependence on V/III ratio between gallium-rich and indium-rich InGaAs nanowire growth, and conclude that it is due to the inherent dissimilarity between GaAs and InAs. Our finding provides important guidelines for achieving precise control of the diameter, height, and alloy composition of nanowires suitable for future nanowire-based electronics. (papers)

  14. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    CERN Document Server

    Verbitskaya, E; Ivanov, A; Strokan, N; Vasilev, V; Markov, A; Polyakov, A; Gavrin, V; Kozlova, Y; Veretenkin, E; Bowles, T J

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p sup + -i-n sup + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E sub v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E sub v +0....

  15. As-Grown Gallium Nitride Nanowire Electromechanical Resonators

    Science.gov (United States)

    Montague, Joshua R.

    Technological development in recent years has led to a ubiquity of micro- and nano-scale electromechanical devices. Sensors for monitoring temperature, pressure, mass, etc., are now found in nearly all electronic devices at both the industrial and consumer levels. As has been true for integrated circuit electronics, these electromechanical devices have continued to be scaled down in size. For many nanometer-scale structures with large surface-to-volume ratio, dissipation (energy loss) becomes prohibitively large causing a decreasing sensitivity with decreasing sensor size. In this work, gallium nitride (GaN) nanowires are investigated as singly-clamped (cantilever) mechanical resonators with typical mechanical quality factors, Q (equal to the ratio of resonance frequency to peak full-width-at-half-maximum-power) and resonance frequencies, respectively, at or above 30,000, and near 1 MHz. These Q values---in vacuum at room temperature---indicate very low levels of dissipation; they are essentially the same as those for bulk quartz crystal resonators that form the basis of simple clocks and mass sensors. The GaN nanowires have lengths and diameters, respectively, of approximately 15 micrometers and hundreds of nanometers. As-grown GaN nanowire Q values are larger than other similarly-sized, bottom-up, cantilever resonators and this property makes them very attractive for use as resonant sensors. We demonstrate the capability of detecting sub-monolayer levels of atomic layer deposited (ALD) films, and the robust nature of the GaN nanowires structure that allows for their 'reuse' after removal of such layers. In addition to electron microscope-based measurement techniques, we demonstrate the successful capacitive detection of a single nanowire using microwave homodyne reflectometry. This technique is then extended to allow for simultaneous measurements of large ensembles of GaN nanowires on a single sample, providing statistical information about the distribution of

  16. High-Performance GaAs Nanowire Solar Cells for Flexible and Transparent Photovoltaics.

    Science.gov (United States)

    Han, Ning; Yang, Zai-xing; Wang, Fengyun; Dong, Guofa; Yip, SenPo; Liang, Xiaoguang; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2015-09-16

    Among many available photovoltaic technologies at present, gallium arsenide (GaAs) is one of the recognized leaders for performance and reliability; however, it is still a great challenge to achieve cost-effective GaAs solar cells for smart systems such as transparent and flexible photovoltaics. In this study, highly crystalline long GaAs nanowires (NWs) with minimal crystal defects are synthesized economically by chemical vapor deposition and configured into novel Schottky photovoltaic structures by simply using asymmetric Au-Al contacts. Without any doping profiles such as p-n junction and complicated coaxial junction structures, the single NW Schottky device shows a record high apparent energy conversion efficiency of 16% under air mass 1.5 global illumination by normalizing to the projection area of the NW. The corresponding photovoltaic output can be further enhanced by connecting individual cells in series and in parallel as well as by fabricating NW array solar cells via contact printing showing an overall efficiency of 1.6%. Importantly, these Schottky cells can be easily integrated on the glass and plastic substrates for transparent and flexible photovoltaics, which explicitly demonstrate the outstanding versatility and promising perspective of these GaAs NW Schottky photovoltaics for next-generation smart solar energy harvesting devices.

  17. Flat-Top and Stacking-Fault-Free GaAs-Related Nanopillars Grown on Si Substrates

    Directory of Open Access Journals (Sweden)

    Kouta Tateno

    2012-01-01

    Full Text Available The VLS (vapor-liquid-solid method is one of the promising techniques for growing vertical III-V compound semiconductor nanowires on Si for application to optoelectronic circuits. Heterostructures grown in the axial direction by the VLS method and in the radial direction by the general layer-by-layer growth method make it possible to fabricate complicated and functional three-dimensional structures in a bottom-up manner. We can grow some vertical heterostructure nanopillars with flat tops on Si(111 substrates, and we have obtained core-multishell Ga(InP/GaAs/GaP nanowires with flat tops and their air-gap structures by using selective wet etching. Simulations indicate that a high- factor of over 2000 can be achieved for this air-gap structure. From the GaAs growth experiments, we found that zincblende GaAs without any stacking faults can be grown after the GaP nanowire growth. Pillars containing a quantum dot and without stacking faults can be grown by using this method. We can also obtain flat-top pillars without removing the Au catalysts when using small Au particles.

  18. Demonstration of GaAsSb/InAs nanowire backward diodes grown using position-controlled vapor-liquid-solid method

    Science.gov (United States)

    Kawaguchi, Kenichi; Takahashi, Tsuyoshi; Okamoto, Naoya; Sato, Masaru

    2018-02-01

    p-GaAsSb/n-InAs type-II nanowire (NW) diodes were fabricated using the position-controlled vapor-liquid-solid growth method. InAs and GaAsSb NW segments were grown vertically on GaAs(111)B substrates with the assistance of Au catalysts. Transmission electron microscopy-energy-dispersive X-ray spectroscopy analysis revealed that the GaAsSb segments have an Sb content of 40%, which is sufficient to form a tunnel heterostructure. Scanning capacitance microscope images clearly indicated the formation of a p-n junction in the NWs. Backward diode characteristics, that is, current flow toward negative bias originating from a tunnel current and current suppression toward positive bias by a heterobarrier, were demonstrated.

  19. Directional and dynamic modulation of the optical emission of an individual GaAs nanowire using surface acoustic waves.

    Science.gov (United States)

    Kinzel, Jörg B; Rudolph, Daniel; Bichler, Max; Abstreiter, Gerhard; Finley, Jonathan J; Koblmüller, Gregor; Wixforth, Achim; Krenner, Hubert J

    2011-04-13

    We report on optical experiments performed on individual GaAs nanowires and the manipulation of their temporal emission characteristics using a surface acoustic wave. We find a pronounced, characteristic suppression of the emission intensity for the surface acoustic wave propagation aligned with the axis of the nanowire. Furthermore, we demonstrate that this quenching is dynamical as it shows a pronounced modulation as the local phase of the surface acoustic wave is tuned. These effects are strongly reduced for a surface acoustic wave applied in the direction perpendicular to the axis of the nanowire due to their inherent one-dimensional geometry. We resolve a fully dynamic modulation of the nanowire emission up to 678 MHz not limited by the physical properties of the nanowires.

  20. Polarity driven simultaneous growth of free-standing and lateral GaAsP epitaxial nanowires on GaAs (001) substrate

    International Nuclear Information System (INIS)

    Sun, Wen; Xu, Hongyi; Guo, Yanan; Gao, Qiang; Hoe Tan, Hark; Jagadish, Chennupati; Zou, Jin

    2013-01-01

    Simultaneous growth of 〈111〉 B free-standing and ±[110] lateral GaAsP epitaxial nanowires on GaAs (001) substrates were observed and investigated by electron microscopy and crystallographic analysis. It was found that the growth of both free-standing and lateral ternary nanowires via Au catalysts was driven by the fact that Au catalysts prefer to maintain low-energy (111) B interfaces with surrounding GaAs(P) materials: in the case of free-standing nanowires, Au catalysts maintain (111) B interfaces with their underlying GaAsP nanowires; while in the case of lateral nanowires, each Au catalyst remain their side (111) B interfaces with the surrounding GaAs(P) material during the lateral nanowire growth

  1. Dynamical theory and experiments on GaAs nanowire growth for photovoltaic applications

    DEFF Research Database (Denmark)

    Krogstrup, Peter

    process is described in terms of a dynamic liquid-solid growth system which continuously seeks to lower the excess Gibbs free energy originating from the adatoms and gas states. Nucleation statistics and the nucleation limited growth at the topfacet which force the solid-liquid growth system far from......The geometry of nanowire solar cells provides many potential advantages compared to planar solar cells, such as reduced reflection, built-in light concentration due to absorption resonances, improved band gap tuning for multi-junction devices and an increased defect tolerance. Moreover, the use...... of nanowires reduces the quantity of material necessary to approach the limits of light to electric power conversion efficiency, allowing for substantial cost reductions if they are grown on a cheap substrate. However, it is far from straightforward to achieve optimum design of bottom up grown nanowire solar...

  2. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    International Nuclear Information System (INIS)

    Verbitskaya, E.; Eremin, V.; Ivanov, A.; Strokan, N.; Vasilev, V.; Markov, A.; Polyakov, A.; Gavrin, V.; Kozlova, Yu.; Veretenkin, E.; Bowles, T.J.

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p + -i-n + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E v +0.075 eV, presumably assigned to Ga antisite and its influence on the concentration of the ionized deep donor level EL2 +

  3. Ag-catalyzed InAs nanowires grown on transferable graphite flakes

    DEFF Research Database (Denmark)

    Meyer-Holdt, Jakob; Kanne, Thomas; Sestoft, Joachim E.

    2016-01-01

    on exfoliated graphite flakes by molecular beam epitaxy. Ag catalyzes the InAs nanowire growth selectively on the graphite flakes and not on the underlying InAs substrates. This allows for easy transfer of the flexible graphite flakes with as-grown nanowire ensembles to arbitrary substrates by a micro...

  4. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  5. Controllable growth and optical properties of InP and InP/InAs nanostructures on the sidewalls of GaAs nanowires

    International Nuclear Information System (INIS)

    Yan, Xin; Zhang, Xia; Li, Junshuai; Cui, Jiangong; Ren, Xiaomin

    2014-01-01

    The growth and optical properties of InP and InP/InAs nanostructures on GaAs nanowires are investigated. InP quantum well and quantum dots (QDs) are formed on the sidewalls of GaAs nanowires successively with increasing the deposition time of InP. The GaAs/InP nanowire heterostructure exhibits a type-II band alignment. The wavelength of the InP quantum well is in the range of 857–892 nm at 77 K, which means that the quantum well is nearly fully strained. The InP quantum dot, which has a bow-shaped cross section, exhibits dislocation-free pure zinc blende structure. Stranski-Krastanow InAs quantum dots are subsequently formed on the GaAs/InP nanowire core-shell structure. The InAs quantum dots are distributed over the middle part of the nanowire, indicating that the In atoms contributing to the quantum dots mainly come from the vapor rather than the substrate. The longest emission wavelength obtained from the InAs QDs is 1039 nm at 77 K. The linewidth is as narrow as 46.3 meV, which is much narrower than those on planar InP substrates and wurtzite InP nanowires, suggesting high-crystal-quality, phase-purity, and size-uniformity of quantum dots

  6. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  7. Photoluminescence study of as-grown vertically standing wurtzite InP nanowire ensembles.

    Science.gov (United States)

    Iqbal, Azhar; Beech, Jason P; Anttu, Nicklas; Pistol, Mats-Erik; Samuelson, Lars; Borgström, Magnus T; Yartsev, Arkady

    2013-03-22

    We demonstrate a method that enables the study of photoluminescence of as-grown nanowires on a native substrate by non-destructively suppressing the contribution of substrate photoluminescence. This is achieved by using polarized photo-excitation and photoluminescence and by making an appropriate choice of incident angle of both excitation beam and photoluminescence collection direction. Using TE-polarized excitation at a wavelength of 488 nm at an incident angle of ∼70° we suppress the InP substrate photoluminescence relative to that of the InP nanowires by about 80 times. Consequently, the photoluminescence originating from the nanowires becomes comparable to and easily distinguishable from the substrate photoluminescence. The measured photoluminescence, which peaks at photon energies of ∼1.35 eV and ∼1.49 eV, corresponds to the InP substrate with zinc-blende crystal structure and to the InP nanowires with wurtzite crystal structure, respectively. The photoluminescence quantum yield of the nanowires was found to be ∼20 times lower than that of the InP substrate. The nanowires, grown vertically in a random ensemble, neither exhibit substantial emission polarization selectivity to the axis of the nanowires nor follow excitation polarization preferences observed previously for a single nanowire.

  8. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    International Nuclear Information System (INIS)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-01-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  9. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-04-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  10. Type I band alignment in GaAs{sub 81}Sb{sub 19}/GaAs core-shell nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Xu, T. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Key Laboratory of Advanced Display and System Application, Shanghai University, 149 Yanchang Road, Shanghai 200072 (China); Wei, M. J. [Key Laboratory of Advanced Display and System Application, Shanghai University, 149 Yanchang Road, Shanghai 200072 (China); Capiod, P.; Díaz Álvarez, A.; Han, X. L.; Troadec, D.; Nys, J. P.; Berthe, M.; Lefebvre, I.; Grandidier, B., E-mail: bruno.grandidier@isen.iemn.univ-lille1.fr [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Patriarche, G. [CNRS-Laboratoire de Photonique et de Nanostructures (LPN), Route de Nozay, 91460 Marcoussis (France); Plissard, S. R. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); CNRS-Laboratoire d' Analyse et d' Architecture des Systèmes (LAAS), Univ. de Toulouse, 7 Avenue du Colonel Roche, F-31400 Toulouse (France); Caroff, P. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, Australian Capital Territory 0200 (Australia); and others

    2015-09-14

    The composition and band gap of the shell that formed during the growth of axial GaAs/GaAs{sub 81}Sb{sub 19}/ GaAs heterostructure nanowires have been investigated by transmission electron microscopy combined with energy dispersion spectroscopy, scanning tunneling spectroscopy, and density functional theory calculations. On the GaAs{sub 81}Sb{sub 19} intermediate segment, the shell is found to be free of Sb (pure GaAs shell) and transparent to the tunneling electrons, despite the (110) biaxial strain that affects its band gap. As a result, a direct measurement of the core band gap allows the quantitative determination of the band offset between the GaAs{sub 81}Sb{sub 19} core and the GaAs shell and identifies it as a type I band alignment.

  11. Multi-spectral optical absorption in substrate-free nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Junpeng; Chia, Andrew; Boulanger, Jonathan; LaPierre, Ray, E-mail: lapierr@mcmaster.ca [Department of Engineering Physics, McMaster University, 1280 Main St. West, Hamilton, Ontario L8S 4L7 (Canada); Dhindsa, Navneet; Khodadad, Iman; Saini, Simarjeet [Department of Electrical and Computer Engineering, University of Waterloo, 200 University Ave West, Waterloo, Ontario N2L 3G1 (Canada); Waterloo Institute of Nanotechnology, University of Waterloo, 200 University Ave West, Waterloo, Ontario N2L 3G1 (Canada)

    2014-09-22

    A method is presented of fabricating gallium arsenide (GaAs) nanowire arrays of controlled diameter and period by reactive ion etching of a GaAs substrate containing an indium gallium arsenide (InGaP) etch stop layer, allowing the precise nanowire length to be controlled. The substrate is subsequently removed by selective etching, using the same InGaP etch stop layer, to create a substrate-free GaAs nanowire array. The optical absorptance of the nanowire array was then directly measured without absorption from a substrate. We directly observe absorptance spectra that can be tuned by the nanowire diameter, as explained with rigorous coupled wave analysis. These results illustrate strong optical absorption suitable for nanowire-based solar cells and multi-spectral absorption for wavelength discriminating photodetectors. The solar-weighted absorptance above the bandgap of GaAs was 94% for a nanowire surface coverage of only 15%.

  12. Growth and properties of low-dimensional III-V semiconductor nanowire heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Heiss, Martin

    2010-08-25

    symmetry from cubic zinc-blende to hexagonal wurtzite structure, while the chemical composition of the material remains constant. The GaAs nanowires synthesized with the Au-free technique can be grown under conditions where a statistical wurtzite/zinc-blende polytypism occurs. A novel method for the direct correlation at the nanoscale of structural and optical properties of single GaAs nanowires is developed in order to characterize the resulting statistically distributed quantum heterostructures. Nanowires consisting of {approx}100% wurtzite and nanowires presenting zinc-blende/wurtzite polytypism are studied by photoluminescence spectroscopy and Transmission Electron Microscopy. The photoluminescence of wurtzite GaAs is found to be consistent with a bulk wurtzite band gap of 1.50 eV, slightly smaller compared to the zinc-blende GaAs band gap. In the polytypic nanowires, it is shown that the regions that are predominantly composed of either zinc-blende or wurtzite phase show photoluminescence emission close to the according bulk band gaps, while regions composed of a non periodic superlattice of wurtzite and zinc-blende phases exhibit a redshift of the photoluminescence spectra as low as 1.455 eV. The dimensions of the quantum heterostructures are correlated with the light emission, allowing us to estimate the band offsets of {delta}E{sub CB}=53{+-}20 meV and {delta}E{sub VB}=76{+-}12 meV between the two crystalline phases. These results are in excellent agreement with recent theoretical band structure calculations. (orig.)

  13. Threefold rotational symmetry in hexagonally shaped core-shell (In,Ga)As/GaAs nanowires revealed by coherent X-ray diffraction imaging.

    Science.gov (United States)

    Davtyan, Arman; Krause, Thilo; Kriegner, Dominik; Al-Hassan, Ali; Bahrami, Danial; Mostafavi Kashani, Seyed Mohammad; Lewis, Ryan B; Küpers, Hanno; Tahraoui, Abbes; Geelhaar, Lutz; Hanke, Michael; Leake, Steven John; Loffeld, Otmar; Pietsch, Ullrich

    2017-06-01

    Coherent X-ray diffraction imaging at symmetric hhh Bragg reflections was used to resolve the structure of GaAs/In 0.15 Ga 0.85 As/GaAs core-shell-shell nanowires grown on a silicon (111) substrate. Diffraction amplitudes in the vicinity of GaAs 111 and GaAs 333 reflections were used to reconstruct the lost phase information. It is demonstrated that the structure of the core-shell-shell nanowire can be identified by means of phase contrast. Interestingly, it is found that both scattered intensity in the (111) plane and the reconstructed scattering phase show an additional threefold symmetry superimposed with the shape function of the investigated hexagonal nanowires. In order to find the origin of this threefold symmetry, elasticity calculations were performed using the finite element method and subsequent kinematic diffraction simulations. These suggest that a non-hexagonal (In,Ga)As shell covering the hexagonal GaAs core might be responsible for the observation.

  14. Structural and morphological TEM characterization of GaAs based nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Soda, Marcello

    2012-02-03

    The question of a structural and morphological characterization of GaAs based nanowires is the research interest of this thesis. For this purpose standard and analytical transmission electron microscopy techniques were employed. New investigation methodologies are introduced in order to obtain a reliable interpretation of the results. The principal motivation on developing a new investigation method is the necessity to relate the results of crystal structure and morphology characterizations to microscopic and NW-specific parameters and not to macroscopic and general growth parameters. This allows a reliable comparison of NW characteristics and enhances the comprehension of their growth mechanism.The analysis of the results on crystal structure investigations, assuming this new perspective, delivers the fundamental finding that the axial growth of Au-assisted GaAs NWs can change in a pseudo Ga-assisted growth due to a non steady-state regime of the Ga accumulation process in the liquid droplet. The attempt to associate the observed crystal structures to one of these two growth modes reveals that zinc blende segments are most probably generated when a pseudo Ga-assisted growth occurs. This experimental evidence is in accordance with investigations developed by Glas et al. and Spirkoska et al. and with the current understanding of the NW growth mechanism and unifies the interpretation of catalytic growth of GaAs NWs. A Mn doped GaAs shell deposited at low temperature on core GaAs NWs is characterized for the first time. The growth is found to be epitaxial and to confer the quality of the core crystal to the shell crystal. As a consequence a high stacking fault density of the core NW limits the temperature of the shell growth due to the formation of clusters. Cross sections of (Ga,Mn)As shells are investigated. Simple kinetic and thermodynamical considerations lead to the conclusion of morphological instability of the low temperature radial growth. Analytical

  15. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    Science.gov (United States)

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  16. Electrical performance of conducting polymer (SPAN) grown on GaAs with different substrate orientations

    Science.gov (United States)

    Jameel, D. A.; Aziz, M.; Felix, J. F.; Al Saqri, N.; Taylor, D.; Albalawi, H.; Alghamdi, H.; Al Mashary, F.; Henini, M.

    2016-11-01

    This article reports the effect of n-type GaAs substrate orientation, namely (100), (311)A and (311)B, on the electrical properties of sulfonated polyaniline (SPAN)/GaAs heterojunction devices. In addition, the inhomogeneity of the interface between various GaAs substrates and SPAN is investigated in terms of barrier height and ideality factor by performing I-V measurements at different temperatures (20-420 K). The I-V results indicate that the value of the rectification ratio (IF/IR) at 0.5 V is higher for SPAN/(311)B GaAs samples than for SPAN/(100) GaAs and SPAN/(311)A GaAs samples. Moreover, the barrier height decreases and the ideality factor increases with decreasing temperature for all three heterostructure devices. The high value of mean barrier Φbarb of SPAN/(311)B (calculated from the plots of Φb 0 as a function of 1/2kT) confirms that the GaAs substrate orientation results in an increase of barrier homogeneities. Furthermore, the C-V characteristics were obtained at room temperature. The C-V measurements showed that the carrier distributions at the interface and away from the interface in high index (311) GaAs orientations are more uniform and have better barrier homogeneity than those grown on the conventional (100) GaAs substrates.

  17. In-situ x-ray characterization of wurtzite formation in GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Krogstrup, Peter; Hannibal Madsen, Morten; Nygaard, Jesper; Feidenhans' l, Robert [Nano-Science Center, Niels Bohr Institute, University of Copenhagen, Copenhagen (Denmark); Hu Wen [Quantum Beam Science Directorate, Japan Atomic Energy Agency, 1-1-1 Koto, Sayo, Hyogo 679-5148 (Japan); Kozu, Miwa; Nakata, Yuka [University of Hyogo, 3-2-1 Koto, Kamigori, Hyogo 678-1297 (Japan); Takahasi, Masamitu [Quantum Beam Science Directorate, Japan Atomic Energy Agency, 1-1-1 Koto, Sayo, Hyogo 679-5148 (Japan); University of Hyogo, 3-2-1 Koto, Kamigori, Hyogo 678-1297 (Japan)

    2012-02-27

    In-situ monitoring of the crystal structure formation during Ga-assisted GaAs nanowire growth on Si(111) substrates has been performed in a combined molecular beam epitaxy growth and x-ray characterization experiment. Under Ga rich conditions, we show that an increase in the V/III ratio increases the formation rate of the wurtzite structure. Moreover, the response time for changes in the structural phase formation to changes in the beam fluxes is observed to be much longer than predicted time scales of adatom kinetics and liquid diffusion. This suggests that the morphology of the growth interface plays the key role for the relative growth structure formation rates.

  18. Isolating GaSb Membranes Grown Metamorphically on GaAs Substrates Using Highly Selective Substrate Removal Etch Processes

    Science.gov (United States)

    Renteria, E. J.; Muniz, A. J.; Addamane, S. J.; Shima, D. M.; Hains, C. P.; Balakrishnan, G.

    2015-05-01

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11,000 ± 2000, whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2- μm-thick GaSb epilayers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high- resolution x-ray diffraction and atomic force microscopy.

  19. Spectroscopic ellipsometry analysis of GaAs1-xNx layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ben Sedrine, N.; Rihani, J.; Stehle, J.L.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    In this work, we present the effect of nitrogen incorporation on the dielectric function of GaAsN samples, grown by molecular beam epitaxy (MBE) followed by a rapid thermal annealing (for 90 s at 680 deg. C). The GaAs 1-x N x samples with N content up to 1.5% (x = 0.0%, 0.1%, 0.5%, 1.5%), are investigated using room temperature spectroscopic ellipsometry (SE). The optical transitions in the spectral region around 3 eV are analyzed by fitting analytical critical point line shapes to the second derivative of the dielectric function. It was found that the features associated with E 1 and E 1 + Δ 1 transitions are blue-shifted and become less sharp with increasing nitrogen incorporation, in contrast to the case of E 0 transition energy in GaAs 1-x N x . An increase of the split-off Δ 1 energy with nitrogen content was also obtained, in agreement to results found with MOVPE GaAs 1-x N x grown samples

  20. Origin of Spontaneous Core-Shell AIGaAs Nanowires Grown by Molecular Beam Epitaxy

    DEFF Research Database (Denmark)

    Dubrovskii, V. G.; Shtrom, I. V.; Reznik, R. R.

    2016-01-01

    Based on the high-angle annular dark-field scanning transmission electron microscopy and energy dispersive X-ray spectroscopy studies, we unravel the origin of spontaneous core shell AlGaAs nanowires grown by gold-assisted molecular beam epitaxy. Our AlGaAs nanowires have a cylindrical core...

  1. A novel method for preparing vertically grown single-crystalline gold nanowires

    International Nuclear Information System (INIS)

    Tung, H-T; Nien, Y-T; Chen, I-G; Song, J-M

    2008-01-01

    A surfactant-free, template-less and seed-less method, namely the thermal-assisted photoreduction (TAP) process, has been developed to synthesize vertically grown Au nanowires (30-80 nm in diameter and about 2 μm in length) on the surface of thin film titanium dioxide (TiO 2 ), which is locally excited by blackbody radiation. The Au nanowires thus produced are single-crystalline with a preferred [11 bar 0] growth direction. The electrical behavior investigated using a nanomanipulation device indicates that the Au nanowires possess an excellent electrical resistivity of about 3.49 x 10 -8 Ω m.

  2. Effects of surface passivation on twin-free GaAs nanosheets.

    Science.gov (United States)

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  3. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    Energy Technology Data Exchange (ETDEWEB)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U., E-mail: usha.philipose@unt.edu [University of North Texas, Department of Physics (United States)

    2016-12-15

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between Sb{sub 2}S{sub 3} and In and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40–60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 10{sup 17} cm{sup −3} and 1000 cm{sup 2} V{sup −1} s{sup −1}, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  4. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    Science.gov (United States)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U.

    2016-12-01

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between S b 2 S 3 and I n and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40-60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 1017 cm-3 and 1000 cm2 V-1 s-1, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  5. Wurtzite InP nanowire arrays grown by selective area MOCVD

    International Nuclear Information System (INIS)

    Chu, Hyung-Joon; Stewart, Lawrence; Yeh, Ting-Wei; Dapkus, P.D.

    2010-01-01

    InP nanowires are a unique material phase because this normally zincblende material forms in the wurtzite crystal structure below a critical diameter owing to the contribution of sidewalls to the total formation energy. This may allow control of the carrier transport and optical properties of InP nanowires for applications such as nano scale transistors, lasers and detectors. In this work, we describe the fabrication of InP nanowire arrays by selective area growth using MOCVD in the diameter range where the wurtzite structure is formed. The spatial growth rate in selective area growth is modeled by a diffusion model for the precursors. The proposed model achieves an average error of 9%. Electron microscopy shows that the grown InP nanowires are in the wurtzite crystal phase with many stacking faults. The threshold diameter of the crystal phase transition of InP nanowires is larger than the thermodynamic estimation. In order to explain this tendency, we propose a surface kinetics model based on a 2 x 2 reconstruction. This model can explain the increased tendency for wurtzite nanowire formation on InP (111)A substrates and the preferred growth direction of binary III-V compound semiconductor nanowires. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Axial strain in GaAs/InAs core-shell nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik, 57068 Siegen (Germany); Rieger, Torsten; Gruetzmacher, Detlev; Ion Lepsa, Mihail [Peter Gruenberg Institute (PGI-9), Forschungszentrum, 52425 Juelich (Germany); JARA-Fundamentals of Future Information Technology, 52425 Juelich (Germany); Bussone, Genziana [Universitaet Siegen, Festkoerperphysik, 57068 Siegen (Germany); ESRF, 6 rue Jules Horowitz, BP220, F-38043 Grenoble Cedex (France)

    2013-01-28

    We study the axial strain relaxation in GaAs/InAs core-shell nanowire heterostructures grown by molecular beam epitaxy. Besides a gradual strain relaxation of the shell material, we find a significant strain in the GaAs core, increasing with shell thickness. This strain is explained by a saturation of the dislocation density at the core-shell interface. Independent measurements of core and shell lattice parameters by x-ray diffraction reveal a relaxation of 93% in a 35 nm thick InAs shell surrounding cores of 80 nm diameter. The compressive strain of -0.5% compared to bulk InAs is accompanied by a tensile strain up to 0.9% in the GaAs core.

  7. Si Incorporation in InP Nanowires Grown by Au-Assisted Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Lorenzo Rigutti

    2009-01-01

    Full Text Available We report on the growth, structural characterization, and conductivity studies of Si-doped InP nanowires grown by Au-assisted molecular beam epitaxy. It is shown that Si doping reduces the mean diffusion length of adatoms on the lateral nanowire surface and consequently reduces the nanowire growth rate and promotes lateral growth. A resistivity as low as 5.1±0.3×10−5 Ω⋅cm is measured for highly doped nanowires. Two dopant incorporation mechanisms are discussed: incorporation via catalyst particle and direct incorporation on the nanowire sidewalls. The first mechanism is shown to be less efficient than the second one, resulting in inhomogeneous radial dopant distribution.

  8. The unexpected beneficial effect of the L-valley population on the electron mobility of GaAs nanowires

    International Nuclear Information System (INIS)

    Marin, E. G.; Ruiz, F. G.; Godoy, A.; Tienda-Luna, I. M.; Gámiz, F.

    2015-01-01

    The impact of the L-valley population on the transport properties of GaAs cylindrical nanowires (NWs) is analyzed by numerically calculating the electron mobility under the momentum relaxation time approximation. In spite of its low contribution to the electron mobility (even for high electron populations in small NWs), it is demonstrated to have a beneficial effect, since it significantly favours the Γ-valley mobility by screening the higher Γ-valley energy subbands

  9. Atomic characterization of Au clusters in vapor-liquid-solid grown silicon nanowires

    International Nuclear Information System (INIS)

    Chen, Wanghua; Roca i Cabarrocas, Pere; Pareige, Philippe; Castro, Celia; Xu, Tao; Grandidier, Bruno; Stiévenard, Didier

    2015-01-01

    By correlating atom probe tomography with other conventional microscope techniques (scanning electron microscope, scanning transmission electron microscope, and scanning tunneling microscopy), the distribution and composition of Au clusters in individual vapor-liquid-solid grown Si nanowires is investigated. Taking advantage of the characteristics of atom probe tomography, we have developed a sample preparation method by inclining the sample at certain angle to characterize the nanowire sidewall without using focused ion beam. With three-dimensional atomic scale reconstruction, we provide direct evidence of Au clusters tending to remain on the nanowire sidewall rather than being incorporated into the Si nanowires. Based on the composition measurement of Au clusters (28% ± 1%), we have demonstrated the supersaturation of Si atoms in Au clusters, which supports the hypothesis that Au clusters are formed simultaneously during nanowire growth rather than during the cooling process

  10. Atomic characterization of Au clusters in vapor-liquid-solid grown silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Wanghua; Roca i Cabarrocas, Pere [Laboratoire de Physique des Interfaces et Couches Minces (LPICM), UMR 7647, CNRS, Ecole Polytechnique, 91128 Palaiseau (France); Pareige, Philippe; Castro, Celia [Groupe de Physique des Matériaux (GPM), Université et INSA de Rouen, UMR 6634, CNRS, Av. de l' Université, BP 12, 76801 Saint Etienne du Rouvray (France); Xu, Tao; Grandidier, Bruno; Stiévenard, Didier [Institut d' Electronique et de Microélectronique et de Nanotechnologies (IEMN), UMR 8520, CNRS, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France)

    2015-09-14

    By correlating atom probe tomography with other conventional microscope techniques (scanning electron microscope, scanning transmission electron microscope, and scanning tunneling microscopy), the distribution and composition of Au clusters in individual vapor-liquid-solid grown Si nanowires is investigated. Taking advantage of the characteristics of atom probe tomography, we have developed a sample preparation method by inclining the sample at certain angle to characterize the nanowire sidewall without using focused ion beam. With three-dimensional atomic scale reconstruction, we provide direct evidence of Au clusters tending to remain on the nanowire sidewall rather than being incorporated into the Si nanowires. Based on the composition measurement of Au clusters (28% ± 1%), we have demonstrated the supersaturation of Si atoms in Au clusters, which supports the hypothesis that Au clusters are formed simultaneously during nanowire growth rather than during the cooling process.

  11. Current–Voltage Characterization of Individual As-Grown Nanowires Using a Scanning Tunneling Microscope

    Science.gov (United States)

    2013-01-01

    Utilizing semiconductor nanowires for (opto)electronics requires exact knowledge of their current–voltage properties. We report accurate on-top imaging and I–V characterization of individual as-grown nanowires, using a subnanometer resolution scanning tunneling microscope with no need for additional microscopy tools, thus allowing versatile application. We form Ohmic contacts to InP and InAs nanowires without any sample processing, followed by quantitative measurements of diameter dependent I–V properties with a very small spread in measured values compared to standard techniques. PMID:24059470

  12. Current-voltage characterization of individual as-grown nanowires using a scanning tunneling microscope.

    Science.gov (United States)

    Timm, Rainer; Persson, Olof; Engberg, David L J; Fian, Alexander; Webb, James L; Wallentin, Jesper; Jönsson, Andreas; Borgström, Magnus T; Samuelson, Lars; Mikkelsen, Anders

    2013-11-13

    Utilizing semiconductor nanowires for (opto)electronics requires exact knowledge of their current-voltage properties. We report accurate on-top imaging and I-V characterization of individual as-grown nanowires, using a subnanometer resolution scanning tunneling microscope with no need for additional microscopy tools, thus allowing versatile application. We form Ohmic contacts to InP and InAs nanowires without any sample processing, followed by quantitative measurements of diameter dependent I-V properties with a very small spread in measured values compared to standard techniques.

  13. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Klimov, E. A. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Vasiliev, A. L.; Imamov, R. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics,” (Russian Federation); Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Trunkin, I. N. [National Research Centre “Kurchatov Institute” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation)

    2017-01-15

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers of arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.

  14. Effect of a High Density of Stacking Faults on the Young's Modulus of GaAs Nanowires.

    Science.gov (United States)

    Chen, Yujie; Burgess, Tim; An, Xianghai; Mai, Yiu-Wing; Tan, H Hoe; Zou, Jin; Ringer, Simon P; Jagadish, Chennupati; Liao, Xiaozhou

    2016-03-09

    Stacking faults (SFs) are commonly observed crystalline defects in III-V semiconductor nanowires (NWs) that affect a variety of physical properties. Understanding the effect of SFs on NW mechanical properties is critical to NW applications in nanodevices. In this study, the Young's moduli of GaAs NWs with two distinct structures, defect-free single crystalline wurtzite (WZ) and highly defective wurtzite containing a high density of SFs (WZ-SF), are investigated using combined in situ compression transmission electron microscopy and finite element analysis. The Young's moduli of both WZ and WZ-SF GaAs NWs were found to increase with decreasing diameter due to the increasing volume fraction of the native oxide shell. The presence of a high density of SFs was further found to increase the Young's modulus by 13%. This stiffening effect of SFs is attributed to the change in the interatomic bonding configuration at the SFs.

  15. Molecular Beam Epitaxy-Grown InGaN Nanowires and Nanomushrooms for Solid State Lighting

    KAUST Repository

    Gasim, Anwar A.

    2012-05-01

    InGaN is a promising semiconductor for solid state lighting thanks to its bandgap which spans the entire visible regime of the electromagnetic spectrum. InGaN is grown heteroepitaxially due to the absence of a native substrate; however, this results in a strained film and a high dislocation density—two effects that have been associated with efficiency droop, which is the disastrous drop in efficiency of a light-emitting diode (LED) as the input current increases. Heteroepitaxially grown nanowires have recently attracted great interest due to their property of eliminating the detrimental effects of the lattice mismatch and the corollary efficiency droop. In this study, InGaN nanowires were grown on a low-cost Si (111) substrate via molecular beam epitaxy. Unique nanostructures, taking the form of mushrooms, have been observed in localized regions on the samples. These nanomushrooms consist of a nanowire body with a wide cap on top. Photoluminescence characterization revealed that the nanowires emit violet-blue, whilst the nanomushrooms emit a broad yellow-orange-red luminescence. The simultaneous emission from the nanowires and nanomushrooms forms white light. Structural characterization of a single nanomushroom via transmission electron microscopy revealed a simultaneous increase in indium and decrease in gallium at the interface between the body and the cap. Furthermore, the cap itself was found to be indium-rich, confirming it as the source of the longer wavelength yellow-orange-red luminescence. It is believed that the nanomushroom cap formed as a consequence of the saturation of growth on the c-plane of the nanowire. It is proposed that the formation of an indium droplet on the tip of the nanowire saturated growth on the c-plane, forcing the indium and gallium adatoms to incorporate on the sidewall m-planes instead, but only at the nanowire tip. This resulted in the formation of a mushroom-like cap on the tip. How and why the indium droplets formed is not

  16. Inhomogeneous Si-doping of gold-seeded InAs nanowires grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Rolland, Chloe; Coinon, Christophe; Wallart, Xavier; Leturcq, Renaud [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Caroff, Philippe [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT 0200 (Australia)

    2013-06-03

    We have investigated in situ Si doping of InAs nanowires grown by molecular beam epitaxy from gold seeds. The effectiveness of n-type doping is confirmed by electrical measurements showing an increase of the electron density with the Si flux. We also observe an increase of the electron density along the nanowires from the tip to the base, attributed to the dopant incorporation on the nanowire facets whereas no detectable incorporation occurs through the seed. Furthermore, the Si incorporation strongly influences the lateral growth of the nanowires without giving rise to significant tapering, revealing the complex interplay between axial and lateral growth.

  17. Electrochemically grown rough-textured nanowires

    International Nuclear Information System (INIS)

    Tyagi, Pawan; Postetter, David; Saragnese, Daniel; Papadakis, Stergios J.; Gracias, David H.

    2010-01-01

    Nanowires with a rough surface texture show unusual electronic, optical, and chemical properties; however, there are only a few existing methods for producing these nanowires. Here, we describe two methods for growing both free standing and lithographically patterned gold (Au) nanowires with a rough surface texture. The first strategy is based on the deposition of nanowires from a silver (Ag)-Au plating solution mixture that precipitates an Ag-Au cyanide complex during electrodeposition at low current densities. This complex disperses in the plating solution, thereby altering the nanowire growth to yield a rough surface texture. These nanowires are mass produced in alumina membranes. The second strategy produces long and rough Au nanowires on lithographically patternable nickel edge templates with corrugations formed by partial etching. These rough nanowires can be easily arrayed and integrated with microscale devices.

  18. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    Energy Technology Data Exchange (ETDEWEB)

    Lavrova, Olga [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials; Balakrishnan, Ganesh [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials

    2017-02-24

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).

  19. Optical Properties of InGaAs/ GaAs Multi Quantum Wells Structure Grown By Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Mohd Sharizal Alias; Mohd Fauzi Maulud; Mohd Razman Yahya; Abdul Fatah Awang Mat; Suomalainen, Soile

    2008-01-01

    Inclusive analysis on the optical characteristics of InGaAs/ GaAs QW structure for 980 nm semiconductor laser operation is presented from experimental and theoretical point of view. The InGaAs/ GaAs quantum well structure is grown by molecular beam epitaxy at different indium composition and quantum well thickness for optical characteristic comparison. Photoluminescence spectra from the measurement show that the spectrum is in good agreement with the simulation results. Detail simulation on the material gain for the InGaAs/ GaAs quantum well as a function of carrier densities and operating temperature is also performed in order to optimize the semiconductor laser design for device fabrication. (author)

  20. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko; Haggren, Tuomas; Lipsanen, Harri [Department of Micro- and Nanosciences, Micronova, Aalto University, P.O. Box 13500, FI-00076 (Finland); Naureen, Shagufta; Shahid, Naeem [Research School of Physics & Engineering, Department of Electronic Materials Engineering, Australian National University, Canberra ACT 2601 (Australia); Jiang, Hua; Kauppinen, Esko [Department of Applied Physics and Nanomicroscopy Center, Aalto University, P.O. Box 15100, FI-00076 (Finland); Srinivasan, Anand [School of Information and Communication Technology, KTH Royal Institute of Technology, Electrum 229, S-164 40 Kista (Sweden)

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  1. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Veer Dhaka

    2016-01-01

    Full Text Available Low temperature (∼200 °C grown atomic layer deposition (ALD films of AlN, TiN, Al2O3, GaN, and TiO2 were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP nanowires (NWs, and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL at low temperatures (15K, and the best passivation was achieved with a few monolayer thick (2Å film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL was achieved with a capping of 2nm thick Al2O3. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al2O3 layer increased the carrier decay time from 251 ps (as-etched nanopillars to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al2O3 provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  2. Characteristics of AlN/GaN nanowire Bragg mirror grown on (001) silicon by molecular beam epitaxy

    KAUST Repository

    Heo, Junseok

    2013-10-01

    GaN nanowires containing AlN/GaN distributed Bragg reflector (DBR) heterostructures have been grown on (001) silicon substrate by molecular beam epitaxy. A peak reflectance of 70% with normal incidence at 560 nm is derived from angle resolved reflectance measurements on the as-grown nanowire DBR array. The measured peak reflectance wavelength is significantly blue-shifted from the ideal calculated value. The discrepancy is explained by investigating the reflectance of the nanoscale DBRs with a finite difference time domain technique. Ensemble nanowire microcavities with In0.3Ga 0.7N nanowires clad by AlN/GaN DBRs have also been characterized. Room temperature emission from the microcavity exhibits considerable linewidth narrowing compared to that measured for unclad In0.3Ga0.7N nanowires. The resonant emission is characterized by a peak wavelength and linewidth of 575 nm and 39 nm, respectively. © 2013 AIP Publishing LLC.

  3. Structural and optical properties of self-catalytic GaAs:Mn nanowires grown by molecular beam epitaxy on silicon substrates

    DEFF Research Database (Denmark)

    Gas, Katarzyna; Sadowski, Janusz; Kasama, Takeshi

    2013-01-01

    .e., it is much lower than the Mn/Ga flux ratio (about 3%) used during the MBE growth. The resistivity measurements of individual nanowires confirmed that they are conductive, in accordance with the photoluminescence measurements which showed the presence of Mn2+ acceptors located at Ga sites of the GaAs host...

  4. Direct Evidence of Mg Incorporation Pathway in Vapor-Liquid-Solid Grown p-type Nonpolar GaN Nanowires

    OpenAIRE

    Patsha, Avinash; Amirthapandian, S.; Pandian, Ramanathaswamy; Bera, S.; Bhattacharya, Anirban; Dhara, Sandip

    2015-01-01

    Doping of III-nitride based compound semiconductor nanowires is still a challenging issue to have a control over the dopant distribution in precise locations of the nanowire optoelectronic devices. Knowledge of the dopant incorporation and its pathways in nanowires for such devices is limited by the growth methods. We report the direct evidence of incorporation pathway for Mg dopants in p-type nonpolar GaN nanowires grown via vapour-liquid-solid (VLS) method in a chemical vapour deposition te...

  5. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  6. Growth-temperature- and thermal-anneal-induced crystalline reorientation of aluminum on GaAs (100) grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, H. F.; Chua, S. J.; Xiang, N.

    2007-01-01

    The authors investigated the growth of Al thin films on GaAs (100) substrates by molecular beam epitaxy. It is found that the growth at 550 degree sign C results in a texture that consists of (100)Al[010](parallel sign)(100)GaAs[011] and (100)Al[010](parallel sign)(100)GaAs[010] rotated 45 degree sign with respect to each other, while the growth at 300 degree sign C leads to a mixture phase of (100)Al[010](parallel sign)(100)GaAs[011] and (110)Al[001](parallel sign)(100)GaAs[011]. In situ annealing of the Al film grown at 300 degree sign C causes a reorientation of the crystalline from (100)Al[010](parallel sign)(100)GaAs[011] to (110)Al[001](parallel sign)(100)GaAs[011]. The grain sizes of the Al film are increased by the increased growth temperature and in situ annealing; the ratio of the exposed to the covered surface is not changed significantly by changing the growth temperature but decreased by annealing; and the small islands in between the large ones are removed by annealing. These observations are explained based on island migration and coalescence

  7. Metal-insulator transition in Pt-C nanowires grown by focused-ion-beam-induced deposition

    International Nuclear Information System (INIS)

    Fernandez-Pacheco, A.; Ibarra, M. R.; De Teresa, J. M.; Cordoba, R.

    2009-01-01

    We present a study of the transport properties of Pt-C nanowires created by focused-ion-beam (FIB)-induced deposition. By means of the measurement of the resistance while the deposit is being performed, we observe a progressive decrease in the nanowire resistivity with thickness, changing from 10 8 μΩ cm for thickness ∼20 nm to a lowest saturated value of 700 μΩ cm for thickness >150 nm. Spectroscopy analysis indicates that this dependence on thickness is caused by a gradient in the metal-carbon ratio as the deposit is grown. We have fabricated nanowires in different ranges of resistivity and studied their conduction mechanism as a function of temperature. A metal-insulator transition as a function of the nanowire thickness is observed. The results will be discussed in terms of the Mott-Anderson theory for noncrystalline materials. An exponential decrease in the conductance with the electric field is found for the most resistive samples, a phenomenon understood by the theory of hopping in lightly doped semiconductors under strong electric fields. This work explains the important discrepancies found in the literature for Pt-C nanostructures grown by FIB and opens the possibility to tune the transport properties of this material by an appropriate selection of the growth parameters.

  8. Generic technique to grow III-V semiconductor nanowires in a closed glass vessel

    Directory of Open Access Journals (Sweden)

    Kan Li

    2016-06-01

    Full Text Available Crystalline III-V semiconductor nanowires have great potential in fabrication of nanodevices for applications in nanoelectronics and optoelectronics, and for studies of novel physical phenomena. Sophisticated epitaxy techniques with precisely controlled growth conditions are often used to prepare high quality III-V nanowires. The growth process and cost of these experiments are therefore dedicated and very high. Here, we report a simple but generic method to synthesize III-V nanowires with high crystal quality. The technique employs a closed evacuated tube vessel with a small tube carrier containing a solid source of materials and another small tube carrier containing a growth substrate inside. The growth of nanowires is achieved after heating the closed vessel in a furnace to a preset high temperature and then cooling it down naturally to room temperature. The technique has been employed to grow InAs, GaAs, and GaSb nanowires on Si/SiO2 substrates. The as-grown nanowires are analyzed by SEM, TEM and Raman spectroscopy and the results show that the nanowires are high quality zincblende single crystals. No particular condition needs to be adjusted and controlled in the experiments. This technique provides a convenient way of synthesis of III-V semiconductor nanowires with high material quality for a wide range of applications.

  9. Optical properties of single wurtzite/zinc-blende ZnSe nanowires grown at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Zannier, V. [IOM-CNR Laboratorio TASC, S. S. 14, Km. 163.5, I-34149 Trieste (Italy); Department of Physics, University of Trieste, Via Valerio 2, I-34127 Trieste (Italy); Cremel, T.; Kheng, K. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, INAC-SP2M, « Nanophysique et Semiconducteurs » Group, F-38000 Grenoble (France); Artioli, A.; Ferrand, D. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Institut Néel, « Nanophysique et Semiconducteurs » Group, F-38000 Grenoble (France); Grillo, V. [IMEM-CNR, Parco Area delle Scienze 37/A, I-43010 Parma (Italy); S3 NANO-CNR, Via Campi 213/A, I-41125 Modena (Italy); Rubini, S. [IOM-CNR Laboratorio TASC, S. S. 14, Km. 163.5, I-34149 Trieste (Italy)

    2015-09-07

    ZnSe nanowires with a dominant wurtzite structure have been grown at low temperature (300 °C) by molecular beam epitaxy assisted by solid Au nanoparticles. The nanowires emission is polarized perpendicularly to their axis in agreement with the wurtzite selection rules. Alternations of wurtzite and zinc-blende regions have been observed by transmission electron microscopy, and their impact on the nanowires optical properties has been studied by microphotoluminescence. The nanowires show a dominant intense near-band-edge emission as well as the ZnSe wurtzite free exciton line. A type II band alignment between zinc-blende and wurtzite ZnSe is evidenced by time-resolved photoluminescence. From this measurement, we deduce values for the conduction and valence band offsets of 98 and 50 meV, respectively.

  10. Interfacial, electrical, and spin-injection properties of epitaxial Co2MnGa grown on GaAs(100)

    DEFF Research Database (Denmark)

    Damsgaard, Christian Danvad; Hickey, M. C.; Holmes, S. N.

    2009-01-01

    The interfacial, electrical, and magnetic properties of the Heusler alloy Co2MnGa grown epitaxially on GaAs(100) are presented with an emphasis on the use of this metal-semiconductor combination for a device that operates on the principles of spin-injection between the two materials. Through...... was monitored in situ by reflection high energy electron diffraction and the bulk composition was measured ex situ with inductively coupled plasma optical emission spectroscopy. The Co2MnGa L21 cubic structure is strained below a thickness of 20 nm on GaAs(100) but relaxed in films thicker than 20 nm...

  11. Strain relaxation and ambipolar electrical transport in GaAs/InSb core-shell nanowires.

    Science.gov (United States)

    Rieger, Torsten; Zellekens, Patrick; Demarina, Natalia; Hassan, Ali Al; Hackemüller, Franz Josef; Lüth, Hans; Pietsch, Ullrich; Schäpers, Thomas; Grützmacher, Detlev; Lepsa, Mihail Ion

    2017-11-30

    The growth, crystal structure, strain relaxation and room temperature transport characteristics of GaAs/InSb core-shell nanowires grown using molecular beam epitaxy are investigated. Due to the large lattice mismatch between GaAs and InSb of 14%, a transition from island-based to layer-like growth occurs during the formation of the shell. High resolution transmission electron microscopy in combination with geometric phase analyses as well as X-ray diffraction with synchrotron radiation are used to investigate the strain relaxation and prove the existence of different dislocations relaxing the strain on zinc blende and wurtzite core-shell nanowire segments. While on the wurtzite phase only Frank partial dislocations are found, the strain on the zinc blende phase is relaxed by dislocations with perfect, Shockley partial and Frank partial dislocations. Even for ultrathin shells of about 2 nm thickness, the strain caused by the high lattice mismatch between GaAs and InSb is relaxed almost completely. Transfer characteristics of the core-shell nanowires show an ambipolar conductance behavior whose strength strongly depends on the dimensions of the nanowires. The interpretation is given based on an electronic band profile which is calculated for completely relaxed core/shell structures. The peculiarities of the band alignment in this situation implies simultaneously occupied electron and hole channels in the InSb shell. The ambipolar behavior is then explained by the change of carrier concentration in both channels by the gate voltage.

  12. Structural characterization of Fe−Pd nanowires grown by electrodeposition using an acid electrolyte

    Energy Technology Data Exchange (ETDEWEB)

    Domenichini, P. [Instituto Balseiro, Universidad Nacional de Cuyo, Av. Bustillo 9500, 8400 San Carlos de Bariloche (Argentina); Condó, A.M. [Instituto Balseiro, Universidad Nacional de Cuyo, Av. Bustillo 9500, 8400 San Carlos de Bariloche (Argentina); Centro Atómico Bariloche, Comisión Nacional de Energía Atómica, Av. Bustillo 9500, 8400 San Carlos de Bariloche (Argentina); Haberkorn, N., E-mail: nhaberk@cab.cnea.gov.ar [Instituto Balseiro, Universidad Nacional de Cuyo, Av. Bustillo 9500, 8400 San Carlos de Bariloche (Argentina); Centro Atómico Bariloche, Comisión Nacional de Energía Atómica, Av. Bustillo 9500, 8400 San Carlos de Bariloche (Argentina)

    2016-07-01

    Fe{sub 70}Pd{sub 30} nanostructures have potential application in actuators due to their conventional and magnetic shape memory. Here, we report the microstructure of electrodeposition grown Fe−Pd nanowires in which the process was confined to polycarbonate membranes with a nominal pore diameter of 200 nm. We used an acid electrolyte (pH ≈ 5) in which the solution was stabilized with sulfosalicylic acid. The average chemical concentration of the nanowires can be systematically shifted from rich palladium to rich iron by changing the growth potential. The study of the microstructure by transmission electron microscopy indicates high chemical inhomogeneities due to phase coexistence between rich palladium regions (with FCC structure) and rich iron regions. The latter present a combination of BCC and amorphous phases. The average chemical composition of the nanowires can be better adjusted by using a low frequency square wave voltage excitation (alternating rich Pd and rich Fe regions). However, independently of the growth process, the nanowires morphology collapses after thermal annealing. This could be ascribed to fragile grain boundaries due to the presence of amorphous hydroxides and chemical impurities produced during the electrochemical process. - Highlights: • Synthesis of Fe−Pd nanowires by electrodeposition is reported. • Structural characterization of the nanowires by transmission electron microscopy. • The synthesis of nanowires with austenitic phase is limited by fragile grain boundaries.

  13. Toward optimized light utilization in nanowire arrays using scalable nanosphere lithography and selected area growth.

    Science.gov (United States)

    Madaria, Anuj R; Yao, Maoqing; Chi, Chunyung; Huang, Ningfeng; Lin, Chenxi; Li, Ruijuan; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2012-06-13

    Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.

  14. Photovoltaic Performance of a Nanowire/Quantum Dot Hybrid Nanostructure Array Solar Cell.

    Science.gov (United States)

    Wu, Yao; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-02-23

    An innovative solar cell based on a nanowire/quantum dot hybrid nanostructure array is designed and analyzed. By growing multilayer InAs quantum dots on the sidewalls of GaAs nanowires, not only the absorption spectrum of GaAs nanowires is extended by quantum dots but also the light absorption of quantum dots is dramatically enhanced due to the light-trapping effect of the nanowire array. By incorporating five layers of InAs quantum dots into a 500-nm high-GaAs nanowire array, the power conversion efficiency enhancement induced by the quantum dots is six times higher than the power conversion efficiency enhancement in thin-film solar cells which contain the same amount of quantum dots, indicating that the nanowire array structure can benefit the photovoltaic performance of quantum dot solar cells.

  15. Thermal stability of iron silicide nanowires epitaxially grown on Si(110) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zou, Zhi-Qiang, E-mail: zouzhq@shanghaitech.edu.cn [School of Physical Science and Technology, ShanghaiTech University, 100 Haike Road, Pudong, Shanghai, 201210 (China); Li, Xu; Liu, Xiao-Yong; Shi, Kai-Juan; Guo, Xin-Qiu [Analytical and Testing Center, Shanghai Jiao Tong University, 800 Dongchuan Road, Shanghai 200240 (China)

    2017-03-31

    Highlights: • The α-FeSi{sub 2} nanowires epitaxially grown on Si(110) can be stable up to 750 °C. • The stable temperature of the nanowires is much lower than that of the bulk α-FeSi{sub 2} due to their small size and high relative surface area. • With increasing annealing temperature, the α-FeSi{sub 2} nanowires undergo an Ostwald ripening process and transform into large β-FeSi{sub 2} nanorods or three-dimensional nanocrystals. • The reduction in surface energy drives the transformation from metallic α-FeSi{sub 2} phase to semiconducting β-FeSi{sub 2} phase. - Abstract: Metallic α-FeSi{sub 2} nanowires (NWs) are epitaxially grown on Si(110) at 650 °C. Their evolution as a function of annealing temperature has been studied in situ by scanning tunneling microscopy. The NWs are stable up to 750 °C, which is much lower than that of the bulk α-FeSi{sub 2}. With further increasing the annealing temperature, some NWs begin to shrink in length and transform into wider and higher semiconducting β-FeSi{sub 2} nanorods or three-dimensional (3D) islands at 925 °C. The phase transformation is driven by the reduction in surface energy. On the other hand, some α-FeSi{sub 2} NWs begin to dissolve and become thinner until disappearing. The growth of the β-FeSi{sub 2} nanorods or 3D nanocrystals follows the Ostwald ripening mechanism, i.e., the large islands grow in size at the expense of the small ones. X-ray photoelectron spectroscopy study shows that the Fe 2p peaks of β-FeSi{sub 2} nanocrystals exhibit a negative shift of 0.2 eV with respect to the α-FeSi{sub 2} NWs.

  16. Horizontal Assembly of Single Nanowire Diode Fabricated by p-n Junction GaN NW Grown by MOCVD

    Directory of Open Access Journals (Sweden)

    Ji-Hyeon Park

    2014-01-01

    Full Text Available Uniaxially p-n junction gallium nitride nanowires have been synthesized via metal-organic chemical vapor deposition method. Nanowires prepared on Si(111 substrates were found to grow perpendicular to the substrate, and the transmission electron microscopy studies demonstrated that the nanowires had singlecrystalline structures with a growth axis. The parallel assembly of the p-n junction nanowire was prepared on a Si substrate with a thermally grown SiO2 layer. The transport studies of horizontal gallium nitride nanowire structures assembled from p- and n-type materials show that these junctions correspond to well-defined p-n junction diodes. The p-n junction devices based on GaN nanowires suspended over the electrodes were fabricated and their electrical properties were investigated. The horizontally assembled gallium nitride nanowire diodes suspended over the electrodes exhibited a substantial increase in conductance under UV light exposure. Apart from the selectivity to different light wavelengths, high responsivity and extremely short response time have also been obtained.

  17. Molecular Beam Epitaxy-Grown InGaN Nanomushrooms and Nanowires for White Light Source Applications

    KAUST Repository

    Gasim, Anwar A.; Bhattacharya, Pallab K.; Cha, Dong Kyu; Ng, Tien Khee; Ooi, Boon S.

    2012-01-01

    We report the observation of coexisting InGaN nanomushrooms and nanowires grown via MBE. Photoluminescence characterization shows that the nanostructures emit yellow and blue light, respectively. The combined emission is promising for white-LEDs.

  18. Structural and electronic properties of InN nanowire network grown by vapor-liquid-solid method

    Science.gov (United States)

    Barick, B. K.; Rodríguez-Fernández, Carlos; Cantarero, Andres; Dhar, S.

    2015-05-01

    Growth of InN nanowires have been carried out on quartz substrates at different temperatures by vapor-liquid-solid (VLS) technique using different thicknesses of Au catalyst layer. It has been found that a narrow window of Au layer thickness and growth temperature leads to multi-nucleation, in which each site acts as the origin of several nanowires. In this multi-nucleation regime, several tens of micrometer long wires with diameter as small as 20 nm are found to grow along [ 11 2 ¯ 0 ] direction (a-plane) to form a dense network. Structural and electronic properties of these wires are studied. As grown nanowires show degenerate n-type behavior. Furthermore, x-ray photoemission study reveals an accumulation of electrons on the surface of these nanowires. Interestingly, the wire network shows persistence of photoconductivity for several hours after switching off the photoexcitation.

  19. Structural and electronic properties of InN nanowire network grown by vapor-liquid-solid method

    Energy Technology Data Exchange (ETDEWEB)

    Barick, B. K., E-mail: bkbarick@gmail.com, E-mail: subho-dh@yahoo.co.in; Dhar, S., E-mail: bkbarick@gmail.com, E-mail: subho-dh@yahoo.co.in [Department of Physics, Indian Institute of Technology, Bombay, Mumbai-400076 (India); Rodríguez-Fernández, Carlos; Cantarero, Andres [Materials Science Institute, University of Valencia, PO Box 22085, 46071 Valencia (Spain)

    2015-05-15

    Growth of InN nanowires have been carried out on quartz substrates at different temperatures by vapor-liquid-solid (VLS) technique using different thicknesses of Au catalyst layer. It has been found that a narrow window of Au layer thickness and growth temperature leads to multi-nucleation, in which each site acts as the origin of several nanowires. In this multi-nucleation regime, several tens of micrometer long wires with diameter as small as 20 nm are found to grow along [112{sup -}0] direction (a-plane) to form a dense network. Structural and electronic properties of these wires are studied. As grown nanowires show degenerate n-type behavior. Furthermore, x-ray photoemission study reveals an accumulation of electrons on the surface of these nanowires. Interestingly, the wire network shows persistence of photoconductivity for several hours after switching off the photoexcitation.

  20. The dependence of the wavelength on MBE growth parameters of GaAs quantum dot in AlGaAs NWs on Si (111) substrate

    Science.gov (United States)

    Reznik, R. R.; Shtrom, I. V.; Samsonenko, Yu B.; Khrebtov, A. I.; Soshnikov, I. P.; Cirlin, G. E.

    2017-11-01

    The data on the growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on Si (111) substrates by Au-assisted molecular beam epitaxy are presented. It is shown that by varying of the growth parameters it is possible to form structures like quantum dots emitting in a wide wavelengths range for both active and barrier parts. The technology proposed opens new possibilities for the integration of direct-band AIIIBV materials on silicon platform.

  1. Homogeneous ZnO nanostructure arrays on GaAs substrates by two-step chemical bath synthesis

    International Nuclear Information System (INIS)

    Huang, Chun-Yuan; Wu, Tzung-Han; Cheng, Chiao-Yang; Su, Yan-Kuin

    2012-01-01

    ZnO nanostructures, including nanowires, nanorods, and nanoneedles, have been deposited on GaAs substrates by the two-step chemical bath synthesis. It was demonstrated that the O 2 -plasma treatment of GaAs substrates prior to the sol–gel deposition of seed layers was essential to conformally grow the nanostructures instead of 2D ZnO bunches and grains on the seed layers. Via adjusting the growth time and concentration of precursors, nanostructures with different average diameter (26–225 nm), length (0.98–2.29 μm), and density (1.9–15.3 × 10 9 cm −2 ) can be obtained. To the best of our knowledge, this is the first demonstration of ZnO nanostructure arrays grown on GaAs substrates by the two-step chemical bath synthesis. As an anti-reflection layer on GaAs-based solar cells, the array of ZnO nanoneedles with an average diameter of 125 nm, a moderate length of 2.29 μm, and the distribution density of 9.8 × 10 9 cm −2 has increased the power conversion efficiency from 7.3 to 12.2 %, corresponding to a 67 % improvement.

  2. A model for arsenic anti-site incorporation in GaAs grown by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schulte, K. L.; Kuech, T. F. [Department of Chemical and Biological Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2014-12-28

    GaAs growth by hydride vapor phase epitaxy (HVPE) has regained interest as a potential route to low cost, high efficiency thin film photovoltaics. In order to attain the highest efficiencies, deep level defect incorporation in these materials must be understood and controlled. The arsenic anti-site defect, As{sub Ga} or EL2, is the predominant deep level defect in HVPE-grown GaAs. In the present study, the relationships between HVPE growth conditions and incorporation of EL2 in GaAs epilayers were determined. Epitaxial n-GaAs layers were grown under a wide range of deposition temperatures (T{sub D}) and gallium chloride partial pressures (P{sub GaCl}), and the EL2 concentration, [EL2], was determined by deep level transient spectroscopy. [EL2] agreed with equilibrium thermodynamic predictions in layers grown under conditions in which the growth rate, R{sub G}, was controlled by conditions near thermodynamic equilibrium. [EL2] fell below equilibrium levels when R{sub G} was controlled by surface kinetic processes, with the disparity increasing as R{sub G} decreased. The surface chemical composition during growth was determined to have a strong influence on EL2 incorporation. Under thermodynamically limited growth conditions, e.g., high T{sub D} and/or low P{sub GaCl}, the surface vacancy concentration was high and the bulk crystal was close to equilibrium with the vapor phase. Under kinetically limited growth conditions, e.g., low T{sub D} and/or high P{sub GaCl}, the surface attained a high GaCl coverage, blocking As adsorption. This competitive adsorption process reduced the growth rate and also limited the amount of arsenic that incorporated as As{sub Ga}. A defect incorporation model which accounted for the surface concentration of arsenic as a function of the growth conditions, was developed. This model was used to identify optimal growth parameters for the growth of thin films for photovoltaics, conditions in which a high growth rate and low [EL2] could be

  3. Conductive atomic force microscopy study of InAs growth kinetics on vicinal GaAs (110)

    International Nuclear Information System (INIS)

    Tejedor, Paloma; Diez-Merino, Laura; Beinik, Igor; Teichert, Christian

    2009-01-01

    Conductive atomic force microscopy has been used to investigate the effect of atomic hydrogen and step orientation on the growth behavior of InAs on GaAs (110) misoriented substrates. Samples grown by conventional molecular beam epitaxy exhibit higher conductivity on [110]-multiatomic step edges, where preferential nucleation of InAs nanowires takes place by step decoration. On H-terminated substrates with triangular terraces bounded by [115]-type steps, three-dimensional InAs clusters grow selectively at the terrace apices as a result of a kinetically driven enhancement in upward mass transport via AsH x intermediate species and a reduction in the surface free energy.

  4. Vertically grown Ge nanowire Schottky diodes on Si and Ge substrates

    Science.gov (United States)

    Chandra, Nishant; Tracy, Clarence J.; Cho, Jeong-Hyun; Picraux, S. T.; Hathwar, Raghuraj; Goodnick, Stephen M.

    2015-07-01

    The processing and performance of Schottky diodes formed from arrays of vertical Ge nanowires (NWs) grown on Ge and Si substrates are reported. The goal of this work is to investigate CMOS compatible processes for integrating NWs as components of vertically scaled integrated circuits, and elucidate transport in vertical Schottky NWs. Vertical phosphorus (P) doped Ge NWs were grown using vapor-liquid-solid epitaxy, and nickel (Ni)-Ge Schottky contacts were made to the tops of the NWs. Current-voltage (I-V) characteristics were measured for variable ranges of NW diameters and numbers of nanowires in the arrays, and the I-V characteristics were fit using modified thermionic emission theory to extract the barrier height and ideality factor. As grown NWs did not show rectifying behavior due to the presence of heavy P side-wall doping during growth, resulting in a tunnel contact. After sidewall etching using a dilute peroxide solution, rectifying behavior was obtained. Schottky barrier heights of 0.3-0.4 V and ideality factors close to 2 were extracted using thermionic emission theory, although the model does not give an accurate fit across the whole bias range. Attempts to account for enhanced side-wall conduction due to non-uniform P doping profile during growth through a simple shunt resistance improve the fit, but are still insufficient to provide a good fit. Full three-dimensional numerical modeling using Silvaco Atlas indicates that at least part of this effect is due to the presence of fixed charge and acceptor like traps on the NW surface, which leads to effectively high ideality factors.

  5. Chirped-pulse manipulated carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs

    International Nuclear Information System (INIS)

    Lee, Chao-Kuei; Lin, Yuan-Yao; Lin, Sung-Hui; Lin, Gong-Ru; Pan, Ci-Ling

    2014-01-01

    Chirped pulse controlled carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs are investigated by degenerate pump-probe technique. Varying the chirped condition of excited pulse from negative to positive increases the carrier relaxation time so as to modify the dispersion and reshape current pulse in time domain. The spectral dependence of carrier dynamics is analytically derived and explained by Shockley-Read Hall model. This observation enables the new feasibility of controlling carrier dynamics in ultrafast optical devices via the chirped pulse excitations

  6. Structural and electronic properties of InN nanowire network grown by vapor-liquid-solid method

    Directory of Open Access Journals (Sweden)

    B. K. Barick

    2015-05-01

    Full Text Available Growth of InN nanowires have been carried out on quartz substrates at different temperatures by vapor-liquid-solid (VLS technique using different thicknesses of Au catalyst layer. It has been found that a narrow window of Au layer thickness and growth temperature leads to multi-nucleation, in which each site acts as the origin of several nanowires. In this multi-nucleation regime, several tens of micrometer long wires with diameter as small as 20 nm are found to grow along [ 11 2 ̄ 0 ] direction (a-plane to form a dense network. Structural and electronic properties of these wires are studied. As grown nanowires show degenerate n-type behavior. Furthermore, x-ray photoemission study reveals an accumulation of electrons on the surface of these nanowires. Interestingly, the wire network shows persistence of photoconductivity for several hours after switching off the photoexcitation.

  7. Optical characteristics of silicon nanowires grown from tin catalyst layers on silicon coated glass

    KAUST Repository

    Ball, Jeremy

    2012-08-20

    The optical characteristics of silicon nanowires grown on Si layers on glass have been modeled using the FDTD (Finite Difference Time Domain) technique and compared with experimental results. The wires were grown by the VLS (vapour-liquid-solid) method using Sn catalyst layers and exhibit a conical shape. The resulting measured and modeled absorption, reflectance and transmittance spectra have been investigated as a function of the thickness of the underlying Si layer and the initial catalyst layer, the latter having a strong influence on wire density. High levels of absorption (>90% in the visible wavelength range) and good agreement between the modeling and experiment have been observed when the nanowires have a relatively high density of ∼4 wires/μ m2. The experimental and modeled results diverge for samples with a lower density of wire growth. The results are discussed along with some implications for solar cell fabrication. © 2012 Optical Society of America.

  8. Optical characteristics of silicon nanowires grown from tin catalyst layers on silicon coated glass

    KAUST Repository

    Ball, Jeremy; Centeno, Anthony; Mendis, Budhika G.; Reehal, H. S.; Alford, Neil

    2012-01-01

    The optical characteristics of silicon nanowires grown on Si layers on glass have been modeled using the FDTD (Finite Difference Time Domain) technique and compared with experimental results. The wires were grown by the VLS (vapour-liquid-solid) method using Sn catalyst layers and exhibit a conical shape. The resulting measured and modeled absorption, reflectance and transmittance spectra have been investigated as a function of the thickness of the underlying Si layer and the initial catalyst layer, the latter having a strong influence on wire density. High levels of absorption (>90% in the visible wavelength range) and good agreement between the modeling and experiment have been observed when the nanowires have a relatively high density of ∼4 wires/μ m2. The experimental and modeled results diverge for samples with a lower density of wire growth. The results are discussed along with some implications for solar cell fabrication. © 2012 Optical Society of America.

  9. Self-catalyzed growth of dilute nitride GaAs/GaAsSbN/GaAs core-shell nanowires by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kasanaboina, Pavan Kumar [Department of Electrical and Computer Engineering, North Carolina A& T State University, Greensboro, North Carolina 27411 (United States); Ahmad, Estiak [Nanoengineering, Joint School of Nanoscience and Nanoengineering, NCA& T State University, Greensboro, North Carolina 27401 (United States); Li, Jia; Iyer, Shanthi [Department of Electrical and Computer Engineering, North Carolina A& T State University, Greensboro, North Carolina 27411 (United States); Nanoengineering, Joint School of Nanoscience and Nanoengineering, NCA& T State University, Greensboro, North Carolina 27401 (United States); Reynolds, C. Lewis; Liu, Yang [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2015-09-07

    Bandgap tuning up to 1.3 μm in GaAsSb based nanowires by incorporation of dilute amount of N is reported. Highly vertical GaAs/GaAsSbN/GaAs core-shell configured nanowires were grown for different N contents on Si (111) substrates using plasma assisted molecular beam epitaxy. X-ray diffraction analysis revealed close lattice matching of GaAsSbN with GaAs. Micro-photoluminescence (μ-PL) revealed red shift as well as broadening of the spectra attesting to N incorporation in the nanowires. Replication of the 4K PL spectra for several different single nanowires compared to the corresponding nanowire array suggests good compositional homogeneity amongst the nanowires. A large red shift of the Raman spectrum and associated symmetric line shape in these nanowires have been attributed to phonon localization at point defects. Transmission electron microscopy reveals the dominance of stacking faults and twins in these nanowires. The lower strain present in these dilute nitride nanowires, as opposed to GaAsSb nanowires having the same PL emission wavelength, and the observation of room temperature PL demonstrate the advantage of the dilute nitride system offers in the nanowire configuration, providing a pathway for realizing nanoscale optoelectronic devices in the telecommunication wavelength region.

  10. Catalyst-free, III-V nanowire photovoltaics

    Science.gov (United States)

    Davies, D. G.; Lambert, N.; Fry, P. W.; Foster, A.; Krysa, A. B.; Wilson, L. R.

    2014-05-01

    We report on room temperature, photovoltaic operation of catalyst-free GaAs p-i-n junction nanowire arrays. Growth studies were first performed to determine the optimum conditions for controlling the vertical and lateral growth of the nanowires. Following this, devices consisting of axial p-i-n junctions were fabricated by planarising the nanowire arrays with a hard baked polymer. We discuss the photovoltaic properties of this proof-of-concept device, and significant improvements to be made during the growth.

  11. Dislocation-limited electron transport in InSb grown on GaAs(0 0 1)

    Energy Technology Data Exchange (ETDEWEB)

    Sato, T. [Center for Nano Materials and Technology, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan)]. E-mail: taku-s@jaist.ac.jp; Suzuki, T. [Center for Nano Materials and Technology, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan); Tomiya, S. [Materials Analysis Laboratory, Sony Corporation, 4-16-1 Okata, Atugi, Kanagawa 243-0021 (Japan); Yamada, S. [Center for Nano Materials and Technology, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan)

    2006-04-01

    We investigated dislocations and electrical properties in InSb thin films with various thickness grown on GaAs(0 0 1). It is found that both the threading dislocation density and the local donor concentration decrease in proportion to the inverse of the distance from the InSb/GaAs interface, which indicates that the former is the origin of the latter. This behavior is well explained by pair annihilation mechanism of the threading dislocations. The electron mobility is limited by ionized donor scattering, i.e. charged dislocation scattering.

  12. Synthesis and characterization of ZnO/Cu2O core–shell nanowires grown by two-step electrodeposition method

    International Nuclear Information System (INIS)

    Messaoudi, O.; Makhlouf, H.; Souissi, A.; Ben assaker, I.; Amiri, G.; Bardaoui, A.; Oueslati, M.; Bechelany, M.; Chtourou, R.

    2015-01-01

    Highlights: • ZnO/Cu 2 O core/shell nanowires have been grown by two-step electrodeposition method. • SEM confirmed the homogenous distribution of Cu 2 O on the deposited nanowires. • The X-ray diffraction demonstrated that the films were pure. • Optical transmissions measurements reveal an additional contribution at about 1.7 eV. • The ZnO/Cu 2 O structure is expected to have an advantage in photovoltaic application. - Abstract: ZnO/Cu 2 O core/shell nanowires have been grown by two-step electrodeposition method on ITO-coated glass substrates. The sample's morphology was explored by means of scanning electron microscopy (SEM). SEM images confirm the homogeneity of the nanowires and the presence of Cu 2 O shell on ZnO core. X-ray diffraction and Raman scattering measurements were used to investigate the purity and the crystallinity of the samples. Optical transmission measurements reveal an additional contribution at about 1.7 eV attributed to the type-II interfacial transition witch confirms the advantage of using the ZnO/Cu 2 O structure in photovoltaic application

  13. X-ray diffraction analysis of InAs nanowires

    International Nuclear Information System (INIS)

    Davydok, Anton

    2013-01-01

    Semiconductor nanowires have attracted great interest as building blocks for future electronic and optoelectronic devices. The variability of the growth process opens the opportunity to control and combine the various properties tailoring for specific application. It was shown that the electrical and optical characteristics of the nanowires are strongly connected with their structure. Despite intensive research in this field, the growth process is still not fully understood. In particular, extensive real structure investigations are required. Most of the reports dedicated on the structural researches are based on the results of scanning electron microscopy (SEM) or transmission electron microscopy (TEM). SEM provides an image of the surface with nanostructures and is mainly used to describe the morphology of the sample, but it does not bring information about the internal structure, phase composition and defect structure. At the same time, the internal structure can be examined by TEM down to atomic scale. TEM image of good quality are very expensive due to the efforts in sample preparation and in localisation of a single object. All these aspects make the statistical structural analysis difficult. In the present work, X-ray diffraction analysis has been applied for structural investigation of InAs nanowires grown by different techniques. Using various X-ray diffraction geometries, the nanowire systems were investigated in terms of the lattice parameters, phase composition, strains and displacement fields and stacking defects. In particular, realizing grazing incidence diffraction and controlling the penetration depth of X-ray beam, we characterized sample series grown by Au-assisted metal organic phase epitaxy on GaAs [111]B substrate with different growth time. According to the results of SEM and X-ray investigations, a model of the growth process has been proposed. A more detailed analysis was performed on InAs nanowires grown by molecular beam epitaxy (MBE) on

  14. Effect of post-growth annealing on secondary phase formation in low-temperature-grown Mn-doped GaAs

    DEFF Research Database (Denmark)

    Kovács, A.; Sadowski, J.; Kasama, Takeshi

    2013-01-01

    The microstructures of annealed GaAs layers containing 0.1%, 0.5% and 2% Mn are studied using aberration-corrected transmission electron microscopy (TEM). The layers were grown by molecular beam epitaxy at 270 °C. After heat treatment at 400, 560 and 630 °C, they are found to contain precipitate...... in annealed GaMnAs layers doped with low Mn concentrations is proposed....

  15. Prediction of phonon thermal transport in thin GaAs, InAs and InP nanowires by molecular dynamics simulations: influence of the interatomic potential

    Energy Technology Data Exchange (ETDEWEB)

    Carrete, J; Longo, R C; Gallego, L J, E-mail: jesus.carrete@usc.es [Departamento de Fisica de la Materia Condensada, Facultad de Fisica, Universidad de Santiago de Compostela, E-15782 Santiago de Compostela (Spain)

    2011-05-06

    A number of different potentials are currently being used in molecular dynamics simulations of semiconductor nanostructures. Confusion can arise if an inappropriate potential is used. To illustrate this point, we performed direct molecular dynamics simulations to predict the room temperature lattice thermal conductivity {lambda} of thin GaAs, InAs and InP nanowires. In each case, simulations performed using the classical Harrison potential afforded values of {lambda} about an order of magnitude smaller than those obtained using more elaborate potentials (an Abell-Tersoff, as parameterized by Hammerschmidt et al for GaAs and InAs, and a potential of Vashishta type for InP). These results will be a warning to those wishing to use computer simulations to orient the development of quasi-one-dimensional systems as heat sinks or thermoelectric devices.

  16. Structural characterization of zincblende Ga1-xMnxN epilayers grown by molecular beam epitaxy on (001) GaAs substrates

    International Nuclear Information System (INIS)

    Fay, M.W.; Han, Y.; Brown, P.D.; Novikov, S.V.; Edmonds, K.W.; Campion, R.P.; Gallagher, B.L.; Foxon, C.T.

    2005-01-01

    Zincblende p-type Ga 1-x Mn x N epilayers, grown with and without AlN/GaN buffer layers using plasma-assisted molecular beam epitaxy on (001) oriented GaAs substrates, have been investigated using a variety of complementary transmission electron microscopy techniques. The epilayers were found to contain a high anisotropic density of stacking faults and microtwins. MnAs inclusions were identified at the Ga 1-x Mn x N/(001)GaAs interface extending into the substrate. The use of AlN/GaN buffer layers was found to inhibit the formation of these inclusions

  17. Submicron resolution X-ray diffraction from periodically patterned GaAs nanorods grown onto Ge[111

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Biermanns, Andreas; Pietsch, Ullrich [Solid State Physics, Siegen University (Germany); Grenzer, Joerg [FZ-Dresden Rossendorf, Dresden (Germany); Paetzelt, Hendrik; Gottschalch, Volker; Bauer, Jens [Solid State Chemistry, University of Leipzig (Germany)

    2009-08-15

    We present high-resolution X-ray diffraction pattern of periodic GaAs nanorods (NRs) ensembles and individual GaAs NRs grown catalyst-free throughout a pre-patterned amorphous SiN{sub x} mask onto Ge[111]B surfaces by selective-area MOVPE method. To the best of our knowledge this is the first report about nano-structure X-ray characterization growth on non-polar substrate. The experiment has been performed at home laboratory and using synchrotron radiation using a micro-sized beam prepared by compound refractive lenses. Due to the non-polar character of the substrate the shapes of NRs appear not uniform and vary between deformed hexagonal and trigonal in symmetry. Because the average diameter of NRs equals the experimental resolution certain cuts through slightly inclined edges or corners of individual NRs with lateral size of about 225 nm could be selected using spatially resolved reciprocal space mapping. (Abstract Copyright [2009], Wiley Periodicals, Inc.)

  18. Additional compound semiconductor nanowires for photonics

    Science.gov (United States)

    Ishikawa, F.

    2016-02-01

    GaAs related compound semiconductor heterostructures are one of the most developed materials for photonics. Those have realized various photonic devices with high efficiency, e. g., lasers, electro-optical modulators, and solar cells. To extend the functions of the materials system, diluted nitride and bismide has been paid attention over the past decade. They can largely decrease the band gap of the alloys, providing the greater tunability of band gap and strain status, eventually suppressing the non-radiative Auger recombinations. On the other hand, selective oxidation for AlGaAs is a vital technique for vertical surface emitting lasers. That enables precisely controlled oxides in the system, enabling the optical and electrical confinement, heat transfer, and mechanical robustness. We introduce the above functions into GaAs nanowires. GaAs/GaAsN core-shell nanowires showed clear redshift of the emitting wavelength toward infrared regime. Further, the introduction of N elongated the carrier lifetime at room temperature indicating the passivation of non-radiative surface recombinations. GaAs/GaAsBi nanowire shows the redshift with metamorphic surface morphology. Selective and whole oxidations of GaAs/AlGaAs core-shell nanowires produce semiconductor/oxide composite GaAs/AlGaOx and oxide GaOx/AlGaOx core-shell nanowires, respectively. Possibly sourced from nano-particle species, the oxide shell shows white luminescence. Those property should extend the functions of the nanowires for their application to photonics.

  19. Enhanced photovoltaic performance of an inclined nanowire array solar cell.

    Science.gov (United States)

    Wu, Yao; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2015-11-30

    An innovative solar cell based on inclined p-i-n nanowire array is designed and analyzed. The results show that the inclined geometry can sufficiently increase the conversion efficiency of solar cells by enhancing the absorption of light in the active region. By tuning the nanowire array density, nanowire diameter, nanowire length, as well as the proportion of intrinsic region of the inclined nanowire solar cell, a remarkable efficiency in excess of 16% can be obtained in GaAs. Similar results have been obtained in InP and Si nanowire solar cells, demonstrating the universality of the performance enhancement of inclined nanowire arrays.

  20. Determination of the stacking fault density in highly defective single GaAs nanowires by means of coherent diffraction imaging

    Science.gov (United States)

    Davtyan, Arman; Biermanns, Andreas; Loffeld, Otmar; Pietsch, Ullrich

    2016-06-01

    Coherent x-ray diffraction imaging is used to measure diffraction patterns from individual highly defective nanowires, showing a complex speckle pattern instead of well-defined Bragg peaks. The approach is tested for nanowires of 500 nm diameter and 500 nm height predominately composed by zinc-blende (ZB) and twinned zinc-blende (TZB) phase domains. Phase retrieval is used to reconstruct the measured 2-dimensional intensity patterns recorded from single nanowires with 3.48 nm and 0.98 nm spatial resolution. Whereas the speckle amplitudes and distribution are perfectly reconstructed, no unique solution could be obtained for the phase structure. The number of phase switches is found to be proportional to the number of measured speckles and follows a narrow number distribution. Using data with 0.98 nm spatial resolution the mean number of phase switches is in reasonable agreement with estimates taken from TEM. However, since the resolved phase domain still is 3-4 times larger than a single GaAs bilayer we explain the non-ambiguous phase reconstruction by the fact that depending on starting phase and sequence of subroutines used during the phase retrieval the retrieved phase domain host a different sequence of randomly stacked bilayers. Modelling possible arrangements of bilayer sequences within a phase domain demonstrate that the complex speckle patterns measured can indeed be explained by the random arrangement of the ZB and TZB phase domains.

  1. Quantum efficiency of InAs/InP nanowire heterostructures grown on silicon substrates

    International Nuclear Information System (INIS)

    Anufriev, Roman; Chauvin, Nicolas; Bru-Chevallier, Catherine; Khmissi, Hammadi; Naji, Khalid; Gendry, Michel; Patriarche, Gilles

    2013-01-01

    Photoluminescence (PL) quantum efficiency (QE) is experimentally investigated, using an integrating sphere, as a function of excitation power on both InAs/InP quantum rod nanowires (QRod-NWs) and radial quantum well nanowires (QWell-NWs) grown on silicon substrates. The measured values of the QE are compared with those of the planar analogues such as quantum dash and quantum well samples, and found to be comparable for the quantum well structures at relatively low power density. Further studies reveal that the values of QE of the QRod-NWs and QWell-NWs are limited by the low quality of the InP NW structure and the quality of radial quantum well, respectively. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Synthesis and characterization of ZnO/Cu{sub 2}O core–shell nanowires grown by two-step electrodeposition method

    Energy Technology Data Exchange (ETDEWEB)

    Messaoudi, O., E-mail: olfamassaoudi@gmail.com [Laboratoire de Photovoltaïque, Centre de Recherches et des Technologies de l’Energie, Technopole Borj Cedria, B.P. 95, Hammam Lif, 2050 (Tunisia); Makhlouf, H.; Souissi, A.; Ben assaker, I. [Laboratoire de Photovoltaïque, Centre de Recherches et des Technologies de l’Energie, Technopole Borj Cedria, B.P. 95, Hammam Lif, 2050 (Tunisia); Amiri, G. [Groupe d’Etude de la Matière Condensée, CNRS Université de Versailles Saint Quentin (France); Bardaoui, A. [Laboratoire de Photovoltaïque, Centre de Recherches et des Technologies de l’Energie, Technopole Borj Cedria, B.P. 95, Hammam Lif, 2050 (Tunisia); Physics Department, Taif University (Saudi Arabia); Oueslati, M. [Unité Nanomatériaux et Photonique, Faculté Des Sciences de Tunis, Campus Universitaire El Manar, 2092, Tunis (Tunisia); Bechelany, M. [European Institute of Membranes (IEM ENSCM UM2 CNRS UMR 5635), University of Montpellier 2, 34095 Montpellier (France); Chtourou, R. [Laboratoire de Photovoltaïque, Centre de Recherches et des Technologies de l’Energie, Technopole Borj Cedria, B.P. 95, Hammam Lif, 2050 (Tunisia)

    2015-07-15

    Highlights: • ZnO/Cu{sub 2}O core/shell nanowires have been grown by two-step electrodeposition method. • SEM confirmed the homogenous distribution of Cu{sub 2}O on the deposited nanowires. • The X-ray diffraction demonstrated that the films were pure. • Optical transmissions measurements reveal an additional contribution at about 1.7 eV. • The ZnO/Cu{sub 2}O structure is expected to have an advantage in photovoltaic application. - Abstract: ZnO/Cu{sub 2}O core/shell nanowires have been grown by two-step electrodeposition method on ITO-coated glass substrates. The sample's morphology was explored by means of scanning electron microscopy (SEM). SEM images confirm the homogeneity of the nanowires and the presence of Cu{sub 2}O shell on ZnO core. X-ray diffraction and Raman scattering measurements were used to investigate the purity and the crystallinity of the samples. Optical transmission measurements reveal an additional contribution at about 1.7 eV attributed to the type-II interfacial transition witch confirms the advantage of using the ZnO/Cu{sub 2}O structure in photovoltaic application.

  3. The effect of the In concentration on the surface morphology of InGaAs-GaAs heterostructures grown by MBE on GaAs substrate

    International Nuclear Information System (INIS)

    Gómez-Barojas, E; Serrano-Rojas, R M; Rodríguez-Moreno, M A; Santamaría-Juárez, G; Silva-González, R; a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" data-affiliation=" (Instituto de Investigación en Comunicación Óptica. Universidad Autónoma de San Luis Potosí, Av. Karakorum 1470, Col. Lomas Altas 4a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" >Vidal-Borbolla, M A

    2014-01-01

    A set of 3 heterostructures were formed by 10 periods of InGaAs-GaAs epitaxially grown on GaAs substrate by means of a molecular beam epitaxial system. Scanning electron microscopy (SEM) cross section images at high magnification show that the heterostructures present good periodicity. SEM micrographs of the surface morphology chemically etched show the coalescence effect of In due to an unequal etching rate of In and GaAs. Auger electron spectroscopy (AES) depth profiles show that the first GaAs layers in the 3 samples are off-stoichiometric and that the alloy layers present In square and triangular depth profiles

  4. Structural characterization of ZnTe grown by atomic-layer-deposition regime on GaAs and GaSb (100) oriented substrates

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, Roberto Saúl [Universidad Politécnica de Pachuca (Mexico); Díaz-Reyes, Joel; Peralta-Clara, María de la Cruz; Veloz-Rendón, Julieta Salomé, E-mail: joel_diaz_reyes@hotmail.com [Centro de Investigación en Biotecnología Aplicada, Instituto Politécnico Nacional, Tlaxcala, (Mexico); Galván-Arellano, Miguel [Centro de Investigación y de Estudios Avanzados, Instituto Politécnico Nacional (Mexico); Anda-Salazar, Francisco de [Instituto de Investigación en Comunicación Óptica, Universidad Autónoma de San Luis Potosí (Mexico); Contreras-Rascon, Jorge Indalecio [Departamento de Física, Universidad de Sonora (Mexico)

    2017-10-15

    This work presents the characterization of ZnTe nano layers grown on GaAs and GaSb (100) substrates by the Atomic Layer Deposition (ALD) regime. Under certain conditions, the alternating exposition of a substrate surface to the element vapours makes possible the growth of atomic layers in a reactor where the atmosphere is high-purity hydrogen. ZnTe was grown simultaneously on GaAs and GaSb at the same run, allowing, a comparison between the effects produced by the superficial processes due to the different used substrates, thereby eliminating possible unintended changes of growth parameters. Nano layers on GaSb maintained their shiny appearance even at temperatures near 420°C. It was found that for exposure times below 2.5 s there was not growth on GaAs, while for GaSb the shortest time was 1.5 s at 385°C. By HRXRD the peak corresponding to (004) diffraction plane of ZnTe was identified and investigated, the FWHM resulted very wide (600-800 arcsec) indicating a highly distorted lattice mainly due to mosaicity. Raman scattering shows the peak corresponding to LO-ZnTe, which is weak and slightly shifted in comparison with the reported for the bulk ZnTe at 210 cm{sup -1}. Additionally, the measurements suggest that the crystalline quality have a dependence with the growth temperature. (author)

  5. Near-thermal limit gating in heavily doped III-V semiconductor nanowires using polymer electrolytes

    Science.gov (United States)

    Ullah, A. R.; Carrad, D. J.; Krogstrup, P.; Nygârd, J.; Micolich, A. P.

    2018-02-01

    Doping is a common route to reducing nanowire transistor on-resistance but it has limits. A high doping level gives significant loss in gate performance and ultimately complete gate failure. We show that electrolyte gating remains effective even when the Be doping in our GaAs nanowires is so high that traditional metal-oxide gates fail. In this regime we obtain a combination of subthreshold swing and contact resistance that surpasses the best existing p -type nanowire metal-oxide semiconductor field-effect transistors (MOSFETs). Our subthreshold swing of 75 mV/dec is within 25 % of the room-temperature thermal limit and comparable with n -InP and n -GaAs nanowire MOSFETs. Our results open a new path to extending the performance and application of nanowire transistors, and motivate further work on improved solid electrolytes for nanoscale device applications.

  6. X-ray characterisation of single GaAs nanorods grown on Si

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, A.; Pietsch, Ullrich [Universitaet Siegen (Germany). Festkoerperphysik; Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-01

    Semiconductor nanorods are of particular interest for new semiconductor devices. The nanorod approach can be used to form radial or axial heterostructures of materials with a large lattice mismatch. For the inspection of average structural parameters of the nanorods, typically X-ray or electron diffraction techniques are used. Alternatively, transmission electron microscopy can be used to inspect few individual nanorods after respective sample preparation. Complementary, recent developments in X-ray optics allow to focus a synchrotron beam down to the nanometer scale and to perform nondestructive diffraction studies at several individual nano-objects grown the same substrate. In this contribution we report on X-ray diffraction studies at individual GaAs nanorods grown Au seed-free on a Si[111] substrate. Due to the nanometer-sized x-ray beam, size and lattice parameters of individual nanorods could be measured and compared to the value obtained from the whole ensemble. Using the coherence properties of the focused beam we could observe speckle-like interference fringes in the surrounding of particular sensitive Bragg reflections which are a measure for the appearance of stacking faults within the nanorods. The separation of the speckles could be used to estimate the number of stacking faults and the size of the coherently scattering nanorod-segments.

  7. Ni3Si2 nanowires grown in situ on Ni foam for high-performance supercapacitors

    Science.gov (United States)

    Jiang, Yizhe; Li, Zhihui; Li, Beibei; Zhang, Jinying; Niu, Chunming

    2016-07-01

    Ni3Si2 nanowires and nanoawls have grown in situ on the surface of Ni foams by a controlled low pressure chemical vapor deposition process. Structural characterization shows that the individual Ni3Si2 nanowire is single crystal covered with a thin layer (1-2 nm) of SiO2 with a diameter of ∼20-30 nm and length of ten's micrometers. Individual nanoawl with a circular cone shape is polycrystalline. Both Ni3Si2 nanowire and nanoawl samples are evaluated as potential electrode materials for supercapacitors. The nanowire electrode delivers a very high specific capacitance and excellent rate capability. A specific capacitance of 760 F g-1 is measured at current density of 0.5 A g-1, which decreases to 518 F g-1 when the current density increases to 10 A g-1. The capacitance is dominated by pseudocapacitance with a mechanism similar to that of NiO or Ni(OH)2 widely studied in the literature. An asymmetric supercapacitor fabricated by pairing Ni3Si2 nanowire electrode with an activated carbon electrode exhibits energy densities of 17.5 Wh kg-1 and 8.8 Wh kg-1 at power densites of 301 W kg-1 and 3000 W kg-1.

  8. Spontaneous core-shell elemental distribution in In-rich InxGa1-xN nanowires grown by molecular beam epitaxy

    Science.gov (United States)

    Gómez-Gómez, M.; Garro, N.; Segura-Ruiz, J.; Martinez-Criado, G.; Cantarero, A.; Mengistu, H. T.; García-Cristóbal, A.; Murcia-Mascarós, S.; Denker, C.; Malindretos, J.; Rizzi, A.

    2014-02-01

    The elemental distribution of self-organized In-rich InxGa1-xN nanowires grown by plasma-assisted molecular beam epitaxy has been investigated using three different techniques with spatial resolution on the nanoscale. Two-dimensional images and elemental profiles of single nanowires obtained by x-ray fluorescence and energy-dispersive x-ray spectroscopy, respectively, have revealed a radial gradient in the alloy composition of each individual nanowire. The spectral selectivity of resonant Raman scattering has been used to enhance the signal from very small volumes with different elemental composition within single nanowires. The combination of the three techniques has provided sufficient sensitivity and spatial resolution to prove the spontaneous formation of a core-shell nanowire and to quantify the thicknesses and alloy compositions of the core and shell regions. A theoretical model based on continuum elastic theory has been used to estimate the strain fields present in such inhomogeneous nanowires. These results suggest new strategies for achieving high quality non-polar heterostructures.

  9. Electrical transport in n-type ZnMgSSe grown by molecular beam epitaxy on GaAs

    International Nuclear Information System (INIS)

    Marshall, T.; Petruzzello, J.A.; Herko, S.P.

    1994-01-01

    Significant progress in improving the Performance of blue-green II-VI semiconductor injection lasers has come about from advances in the epitaxial growth and doping of ZnMgSSe on GaAs substrates. This paper investigates electrical transport and its relation to structural quality in n-type Zn 1-y Mg y S x Se 1-x epilayers doped with Cl, grown by molecular beam epitaxy. The composition parameters x and y vary from about 0.12-0.18 and 0.08-0.15, respectively. The quaternary epilayers studied are lattice-matched (or nearly so) to the GaAs substrate. Temperature-dependent Hall-effect measurements are performed on seven n-type ZnMgSSe:Cl epilayers, and a technique is presented whereby the resulting mobility-vs-temperature data is compared with data for ZnSe to obtain a structural figure of merit that is useful in characterizing the quaternary epilayer. 29 refs., 4 figs

  10. Critical size for the generation of misfit dislocations and their effects on electronic properties in GaAs nanosheets on Si substrate

    International Nuclear Information System (INIS)

    Yuan, Zaoshi; Shimamura, Kohei; Shimojo, Fuyuki; Nakano, Aiichiro

    2013-01-01

    While nanowires and nanosheets (NSs) grown on lattice-mismatched substrates have a number of promising technological applications such as solar cells, generation of misfit dislocations (MFDs) at their interfaces is a major concern for the efficiency of these devices. Here, combined molecular-dynamics and quantum-mechanical simulations are used to study MFDs at the interface between a GaAs NS and a Si substrate. Simulation results show the existence of a critical NS thickness, below which NSs are grown free of MFDs. The calculated critical thickness value is consistent with available experimental observations. Charge transfer at the MFD core is found to modify the electronic band profile at the GaAs/Si interface significantly. These effects should have profound impacts on the efficiency of lattice-mismatched NS devices

  11. Epitaxial nanowire formation in metamorphic GaAs/GaPAs short-period superlattices

    Science.gov (United States)

    Zheng, Nan; Ahrenkiel, S. Phillip

    2017-07-01

    Metamorphic growth presents routes to novel nanomaterials with unique properties that may be suitable for a range of applications. We discuss self-assembled, epitaxial nanowires formed during metalorganic chemical vapor deposition of metamorphic GaAs/GaPAs short-period superlattices. The heterostructures incorporate strain-engineered GaPAs compositional grades on 6°-B miscut GaAs substrates. Lateral diffusion within the SPS into vertically aligned, three-dimensional columns results in nanowires extending along A directions with a lateral period of 70-90 nm. The microstructure is probed by transmission electron microscopy to confirm the presence of coherent GaAs nanowires within GaPAs barriers. The compositional profile is inferred from analysis of {200} dark-field image contrast and lattice images.

  12. Above band gap absorption spectra of the arsenic antisite defect in low temperature grown GaAs and AlGaAs

    DEFF Research Database (Denmark)

    Dankowski, S. U.; Streb, D.; Ruff, M.

    1996-01-01

    coefficients at the band gap are twice as high as for high temperature grown materials. By annealing the samples, we obtained a drastic reduced absorption coefficient below as well as above the band gap. We observed absorption changes up to 17 000 cm(-1) for LT-GaAs and 9000 cm(-1) for LT-AlGaAs taking place......Room temperature absorption spectra of low temperature molecular beam epitaxy grown GaAs (LT-GaAs) and AlGaAs (LT-AlGaAs) are reported. We performed measurements in an extended spectral range from 0.8 eV to photon energies of 2.8 eV far above the band gap. For as-grown LT-materials, the absorption...

  13. Structural and luminescence properties of GaN nanowires grown using cobalt phthalocyanine as catalyst

    Science.gov (United States)

    Yadav, Shivesh; Rodríguez-Fernández, Carlos; de Lima, Mauricio M.; Cantarero, Andres; Dhar, Subhabrata

    2015-12-01

    Catalyst free methods have usually been employed to avoid any catalyst induced contamination for the synthesis of GaN nanowires with better transport and optical properties. Here, we have used a catalytic route to grow GaN nanowires, which show good optical quality. Structural and luminescence properties of GaN nanowires grown by vapor-liquid-solid technique using cobalt phthalocyanine as catalyst are systematically investigated as a function of various growth parameters such as the growth temperature and III/V ratio. The study reveals that most of the nanowires, which are several tens of microns long, grow along [ 10 1 ¯ 0 ] direction. Interestingly, the average wire diameter has been found to decrease with the increase in III/V ratio. It has also been observed that in these samples, defect related broad luminescence features, which are often present in GaN, are completely suppressed. At all temperatures, photoluminescence spectrum is found to be dominated only by a band edge feature, which comprises of free and bound excitonic transitions. Our study furthermore reveals that the bound excitonic feature is associated with excitons trapped in certain deep level defects, which result from the deficiency of nitrogen during growth. This transition has a strong coupling with the localized vibrational modes of the defects.

  14. Purcell effect for finite-length metal-coated and metal nanowires

    DEFF Research Database (Denmark)

    Filonenko, Konstantin V.; Willatzen, Morten; Bordo, Vladimir G.

    2014-01-01

    We investigate the modification (enhancement and suppression) of the spontaneous emission rate of a dipole emitter in two configurations: inside a finite-length semiconductor nanowire surrounded by bulk metal and in the vicinity of a finite metal nanowire. Our analysis is based on a first......-principle approach, which is reduced to a seminumeric one in the limit of large nanowire aspect ratios. The numerical calculations are carried out for an emitter in a GaAs nanowire embedded in Ag or Au and for that nearby an Ag or Au nanowire in vacuum or dielectric. We consider in detail the Purcell and β factors...

  15. ZnO based nanowires grown by chemical vapour deposition for selective hydrogenation of acetylene alcohols

    NARCIS (Netherlands)

    Protasova, L.N.; Rebrov, E.; Choy, K.L.; Pung, S.Y.; Engels, V.; Cabaj, M.; Wheatley, A.E.H.; Schouten, J.C.

    2011-01-01

    Vertically aligned ZnO nanowires (NWs) with a length of 1.5–10 µm and a mean diameter of ca. 150 nm were grown by chemical vapour deposition onto a c-oriented ZnO seed layer which was deposited by atomic layer deposition on Si substrates. The substrates were then spin-coated with an ethanol solution

  16. Some optical and electron microscope comparative studies of excimer laser-assisted and nonassisted molecular-beam epitaxically grown thin GaAs films on Si

    Science.gov (United States)

    Lao, Pudong; Tang, Wade C.; Rajkumar, K. C.; Guha, S.; Madhukar, A.; Liu, J. K.; Grunthaner, F. J.

    1990-01-01

    The quality of GaAs thin films grown via MBE under pulsed excimer laser irradiation on Si substrates is examined in both laser-irradiated and nonirradiated areas using Raman scattering, Rayleigh scattering, and by photoluminescence (PL), as a function of temperature, and by TEM. The temperature dependence of the PL and Raman peak positions indicates the presence of compressive stress in the thin GaAs films in both laser-irradiated and nonirradiated areas. This indicates incomplete homogeneous strain relaxation by dislocations at the growth temperature. The residual compressive strain at the growth temperature is large enough such that even with the introduction of tensile strain arising from the difference in thermal expansion coefficients of GaAs and Si, a compressive strain is still present at room temperature for these thin GaAs/Si films.

  17. Spontaneous core–shell elemental distribution in In-rich InxGa1−xN nanowires grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gómez-Gómez, M; Garro, N; Cantarero, A; Mengistu, H T; García-Cristóbal, A; Murcia-Mascarós, S; Segura-Ruiz, J; Martinez-Criado, G; Denker, C; Malindretos, J; Rizzi, A

    2014-01-01

    The elemental distribution of self-organized In-rich In x Ga 1−x N nanowires grown by plasma-assisted molecular beam epitaxy has been investigated using three different techniques with spatial resolution on the nanoscale. Two-dimensional images and elemental profiles of single nanowires obtained by x-ray fluorescence and energy-dispersive x-ray spectroscopy, respectively, have revealed a radial gradient in the alloy composition of each individual nanowire. The spectral selectivity of resonant Raman scattering has been used to enhance the signal from very small volumes with different elemental composition within single nanowires. The combination of the three techniques has provided sufficient sensitivity and spatial resolution to prove the spontaneous formation of a core–shell nanowire and to quantify the thicknesses and alloy compositions of the core and shell regions. A theoretical model based on continuum elastic theory has been used to estimate the strain fields present in such inhomogeneous nanowires. These results suggest new strategies for achieving high quality non-polar heterostructures. (paper)

  18. Spontaneous core–shell elemental distribution in In-rich In(x)Ga1-xN nanowires grown by molecular beam epitaxy.

    Science.gov (United States)

    Gómez-Gómez, M; Garro, N; Segura-Ruiz, J; Martinez-Criado, G; Cantarero, A; Mengistu, H T; García-Cristóbal, A; Murcia-Mascarós, S; Denker, C; Malindretos, J; Rizzi, A

    2014-02-21

    The elemental distribution of self-organized In-rich In(x)Ga1-xN nanowires grown by plasma-assisted molecular beam epitaxy has been investigated using three different techniques with spatial resolution on the nanoscale. Two-dimensional images and elemental profiles of single nanowires obtained by x-ray fluorescence and energy-dispersive x-ray spectroscopy, respectively, have revealed a radial gradient in the alloy composition of each individual nanowire. The spectral selectivity of resonant Raman scattering has been used to enhance the signal from very small volumes with different elemental composition within single nanowires. The combination of the three techniques has provided sufficient sensitivity and spatial resolution to prove the spontaneous formation of a core–shell nanowire and to quantify the thicknesses and alloy compositions of the core and shell regions. A theoretical model based on continuum elastic theory has been used to estimate the strain fields present in such inhomogeneous nanowires. These results suggest new strategies for achieving high quality nonpolar heterostructures.

  19. X-ray diffraction study of rare earth epitaxial structures grown by MBE onto (111) GaAs

    International Nuclear Information System (INIS)

    Bennett, W.R.; Farrow, R.F.C.; Parkin, S.S.P.; Marinero, E.E.; Segmuller, A.P.

    1989-01-01

    The authors report on the new epitaxial system LaF 3 /Er/Dy/Er/LaF 3 /GaAs(111) grown by molecular beam epitaxy. X-ray diffraction studies have been used to determine the epitaxial relationships between the rare earths, the LaF 3 and the substrate. Further studies of symmetric and asymmetric reflections yielded the in-plane and perpendicular strain components of the rare earth layers. Such systems may be used to probe the effects of magnetoelastic interactions and dimensionality on magnetic ordering in rare earth metal films

  20. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    Energy Technology Data Exchange (ETDEWEB)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.; Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultrahigh Frequency Semiconductor Electronics (Russian Federation); Grekhov, M. M. [National Research Nuclear University “MEPhI” (Russian Federation); Ilyakov, I. E.; Shishkin, B. V.; Akhmedzhanov, R. A. [Russian Academy of Sciences, Institute of Applied Physics (Russian Federation)

    2017-04-15

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  1. Observation of the exciton and Urbach band tail in low-temperature-grown GaAs using four-wave mixing spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Webber, D.; Yildirim, M.; Hacquebard, L.; March, S.; Mathew, R.; Gamouras, A.; Hall, K. C. [Department of Physics and Atmospheric Science, Dalhousie University, Halifax, Nova Scotia B3H 4R2 (Canada); Liu, X.; Dobrowolska, M.; Furdyna, J. K. [Department of Physics, University of Notre Dame, Notre Dame, Indiana 46556 (United States)

    2014-11-03

    Four-wave mixing (FWM) spectroscopy reveals clear signatures associated with the exciton, free carrier inter-band transitions, and the Urbach band tail in low-temperature-grown GaAs, providing a direct measure of the effective band gap as well as insight into the influence of disorder on the electronic structure. The ability to detect (and resolve) these contributions, in contrast to linear spectroscopy, is due to an enhanced sensitivity of FWM to the optical joint density of states and to many-body effects. Our experiments demonstrate the power of FWM for studying the near-band-edge optical properties and coherent carrier dynamics in low-temperature-grown semiconductors.

  2. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    Science.gov (United States)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  3. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  4. High-quality GaN nanowires grown on Si and porous silicon by thermal evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Shekari, L., E-mail: lsg09_phy089@student.usm.my [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Ramizy, A.; Omar, K.; Hassan, H. Abu; Hassan, Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer A new kind of substrate (porous silicon) was used. Black-Right-Pointing-Pointer Also this research introduces an easy and safe method to grow high quality GaN NWs. Black-Right-Pointing-Pointer This is a new growth process to decrease the cost, complexity of growth of GaN NWs. Black-Right-Pointing-Pointer It is a controllable method to synthesize GaN NWs by thermal evaporation. - Abstract: Nanowires (NWs) of GaN thin films were prepared on as-grown Si (1 1 1) and porous silicon (PS) substrates using thermal evaporation method. The film growth produced high-quality wurtzite GaN NWs. The size, morphology, and nanostructures of the crystals were investigated through scanning electron microscopy, high-resolution X-ray diffraction and photoluminescence spectroscopy. The NWs grown on porous silicon were thinner, longer and denser compared with those on as-grown Si. The energy band gap of the NWs grown on PS was larger than that of NWs on as-grown Si. This is due to the greater quantum confinement effects of the crystalline structure of the NWs grown on PS.

  5. GaAs FETs and novel heteroepitaxial quaternary lasers grown on InP substrates by organometallic chemical vapor deposition

    International Nuclear Information System (INIS)

    Lo, Y.H.; Bhat, R.; Chang-Hasnain, C.; Caneau, C.; Zah, C.E.; Lee, T.P.

    1988-01-01

    This paper reports the GaAs MESFETs and 1.3μm buried hetero-structure lasers with AlGaAs/GaAs lateral confinement layers simultaneously grown by OMCVD and fabricated on InP structures. The 1μm recessed gate MESFET has a transconductance of 220 mS/mm and the novel structured laser has a CW threshold current of 45 mA. The heteroepitaxy technology and devices show great promises for long wavelength opto-electronic integrated circuits

  6. Study of electrical properties of single GaN nanowires grown by MOCVD with a Ti mask

    International Nuclear Information System (INIS)

    Vasiliev, A A; Mozharov, A M; Mukhin, I S; Rozhavskaya, M M; Lundin, V V

    2016-01-01

    We researched electrical characteristics of GaN nanowires (NWs) grown by MOCVD through solid titanium film. The technology of creating the ohmic contacts and MESFET structure on single NWs has been developed. The optimal annealing temperature of contacts has been found and conductivity structure, the free carrier concentration and mobility has been evaluated. (paper)

  7. The X-ray investigation of GaAs nanorods grown onto Si[111] substrate

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, A.; Biermanns, Andreas; Pietsch, Ullrich [University of Siegen (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-01

    Nanorods (NR) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. It was found that nearly any AIIIBV semiconductor material can be grown as NRs onto another AIIIBV or group IV[111] substrate independent from lattice mismatch. We presented an X-ray characterization of GaAs NRs on Si[111] grown by gold-seed assist MBE method. We concentrated our research on 4 samples with different growth time: a) at 5s growth time several island but no NWs are found on the surface; b) at 60 s first NWs appeared; c) at 150 s the size of rods is increased; d) at 1800 s many NWs occupy the whole surface. Using synchrotron radiation we have performed experiments in symmetrical and asymmetrical out-of plane scattering geometry and depth resolved grazing-incidence diffraction. Combining the results we were able to determine the strain gradient between wurzite like NR and zincblende substrate. Using particularly asymmetric wurzite-like reflections under coherent beam illumination we could quantify the number of stacking faults In the talk we present details of the analysis and first simulation results.

  8. Broad compositional tunability of indium tin oxide nanowires grown by the vapor-liquid-solid mechanism

    Directory of Open Access Journals (Sweden)

    M. Zervos

    2014-05-01

    Full Text Available Indium tin oxide nanowires were grown by the reaction of In and Sn with O2 at 800 °C via the vapor-liquid-solid mechanism on 1 nm Au/Si(001. We obtain Sn doped In2O3 nanowires having a cubic bixbyite crystal structure by using In:Sn source weight ratios > 1:9 while below this we observe the emergence of tetragonal rutile SnO2 and suppression of In2O3 permitting compositional and structural tuning from SnO2 to In2O3 which is accompanied by a blue shift of the photoluminescence spectrum and increase in carrier lifetime attributed to a higher crystal quality and Fermi level position.

  9. Annealing-induced Fe oxide nanostructures on GaAs

    OpenAIRE

    Lu, Y X; Ahmad, E; Xu, Y B; Thompson, S M

    2005-01-01

    We report the evolution of Fe oxide nanostructures on GaAs(100) upon pre- and post-growth annealing conditions. GaAs nanoscale pyramids were formed on the GaAs surface due to wet etching and thermal annealing. An 8.0-nm epitaxial Fe film was grown, oxidized, and annealed using a gradient temperature method. During the process the nanostripes were formed, and the evolution has been demonstrated using transmission and reflection high energy electron diffraction, and scanning electron microscopy...

  10. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  11. Direct observation of doping incorporation pathways in self-catalytic GaMnAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Kasama, T., E-mail: tk@cen.dtu.dk; Yazdi, S. [Center for Electron Nanoscopy, Technical University of Denmark, DK-2800 Kongens Lyngby (Denmark); Thuvander, M. [Department of Applied Physics, Chalmers University of Technology, SE-41296 Gothenburg (Sweden); Siusys, A. [Institute of Physics, Polish Academy of Sciences, al. Lotników 32/46, PL-02-668 Warszawa (Poland); Gontard, L. C. [Instituto de Ciencia de Materiales de Sevilla (CSIC-US), C/Américo Vespucio 49, 41092 Seville (Spain); Kovács, A.; Duchamp, M.; Dunin-Borkowski, R. E. [Ernst Ruska-Centre for Microscopy and Spectroscopy with Electrons and Peter Grünberg Institute, Forschungszentrum Jülich, D-52425 Jülich (Germany); Gustafsson, A. [Solid State Physics and the Nanometer Structure Consortium, Lund University, P.O. Box 118, SE-221 00 Lund (Sweden); Sadowski, J. [Institute of Physics, Polish Academy of Sciences, al. Lotników 32/46, PL-02-668 Warszawa (Poland); MAX-IV Laboratory, Lund University, P.O. Box 118, SE-221 00 Lund (Sweden)

    2015-08-07

    Doping mechanisms of Mn in GaAs nanowires (NWs) that have been grown self-catalytically at 600 °C by molecular beam epitaxy (MBE) are investigated using advanced electron microscopy techniques and atom probe tomography. Mn is found to be incorporated primarily in the form of non-magnetic tetragonal Ga{sub 0.82}Mn{sub 0.18} nanocrystals in Ga catalyst droplets at the ends of the NWs, while trace amounts of Mn (22 ± 4 at. ppm) are also distributed randomly in the NW bodies without forming clusters or precipitates. The nanocrystals are likely to form after switching off the reaction in the MBE chamber, since they are partially embedded in neck regions of the NWs. The Ga{sub 0.82}Mn{sub 0.18} nanocrystals and the low Mn concentration in the NW bodies are insufficient to induce a ferromagnetic phase transition, suggesting that it is difficult to have high Mn contents in GaAs even in 1-D NW growth via the vapor-liquid-solid process.

  12. Self-assembly of single "square" quantum rings in gold-free GaAs nanowires.

    Science.gov (United States)

    Zha, Guowei; Shang, Xiangjun; Su, Dan; Yu, Ying; Wei, Bin; Wang, Li; Li, Mifeng; Wang, Lijuan; Xu, Jianxing; Ni, Haiqiao; Ji, Yuan; Sun, Baoquan; Niu, Zhichuan

    2014-03-21

    Single nanostructures embedded within nanowires (NWs) represent one of the most promising technologies for applications in quantum photonics. However, fabrication imperfections and etching-induced defects are inevitable for top-down fabrications, whereas self-assembly bottom-up approaches cannot avoid the difficulties of its stochastic nature and are limited to restricted heterogeneous material systems. Here we demonstrate the versatile self-assembly of single "square" quantum rings (QR) on the sidewalls of gold-free GaAs NWs for the first time. By tuning the deposition temperature, As overpressure and amount of gallium-droplets, we were able to control the density and morphology of the structure, yielding novel single quantum dots, QR, coupled QRs, and nano-antidots. A proposed model based on a strain-driven, transport-dependent nucleation of gallium droplets at high temperature accounts for the formation mechanism of these structures. We achieved a single-QR-in-NW structure, of which the optical properties were analyzed using micro-photoluminescence at 10 K and a spatially resolved cathodoluminescence technique at 77 K. The spectra show sharp discrete peaks; of these peaks, the narrowest linewidth (separation) was 578 μeV (1-3 meV), reflecting the quantized nature of the ring-type electronic states.

  13. Properties of InSbN grown on GaAs by radio frequency nitrogen plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lim, K P; Yoon, S F; Pham, H T

    2009-01-01

    We report the growth of InSbN on a lattice-mismatched GaAs substrate using radio frequency nitrogen plasma-assisted molecular beam epitaxy. The effects of a two-step thin InSb buffer layer grown at 330 and 380 deg. C and substrate temperature (270-380 deg. C) on the properties of the InSbN are studied. The crystalline quality of the InSbN is significantly improved by the two-step buffer layer due to defect suppression. The shifting in the absorption edge of the InSbN from ∼5 to 8 μm following an increase in the substrate temperature is correlated with the reduction in free carrier concentration from ∼10 18 to 10 16 cm -3 and increase in concentration of N substituting Sb from ∼0.2 to 1%. These results will be beneficial to those working on the pseudo-monolithic integration of InSbN detectors on a GaAs platform.

  14. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Vertical Silicon Nanowire Field Effect Transistors with Nanoscale Gate-All-Around

    Science.gov (United States)

    Guerfi, Youssouf; Larrieu, Guilhem

    2016-04-01

    Nanowires are considered building blocks for the ultimate scaling of MOS transistors, capable of pushing devices until the most extreme boundaries of miniaturization thanks to their physical and geometrical properties. In particular, nanowires' suitability for forming a gate-all-around (GAA) configuration confers to the device an optimum electrostatic control of the gate over the conduction channel and then a better immunity against the short channel effects (SCE). In this letter, a large-scale process of GAA vertical silicon nanowire (VNW) MOSFETs is presented. A top-down approach is adopted for the realization of VNWs with an optimum reproducibility followed by thin layer engineering at nanoscale. Good overall electrical performances were obtained, with excellent electrostatic behavior (a subthreshold slope (SS) of 95 mV/dec and a drain induced barrier lowering (DIBL) of 25 mV/V) for a 15-nm gate length. Finally, a first demonstration of dual integration of n-type and p-type VNW transistors for the realization of CMOS inverter is proposed.

  16. Use of ion beam techniques to characterize thin plasma grown GaAs and GaAlAs oxide films

    International Nuclear Information System (INIS)

    Kauffman, R.L.; Feldman, L.C.; Chang, R.P.H.

    1978-01-01

    Thin plasma grown films of GaAs oxides and GaAlAs oxides have been analyzed using the combined techniques of Rutherford backscattering, ion-induced X-rays, and nuclear resonance profiling. The stoichiometries of the films have been quantitatively determined and can be combined with other Auger profiling results to characterize the films. The ion-induced X-ray technique has been checked against other measurements to determine its accuracy. For uniform films such as these the X-ray measurements can provide accurate quantitative results. (Auth.)

  17. Near-surface depletion of antimony during the growth of GaAsSb and GaAs/GaAsSb nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Kauko, H.; Helvoort, A. T. J. van, E-mail: a.helvoort@ntnu.no [Department of Physics, Norwegian University of Science and Technology (NTNU), Trondheim (Norway); Fimland, B. O.; Munshi, A. M. [Department of Electronics and Telecommunications, NTNU, Trondheim (Norway); Grieb, T.; Müller, K.; Rosenauer, A. [Institut für Festkörperphysik, Universität Bremen, Bremen (Germany)

    2014-10-14

    The near-surface reduction of the Sb mole fraction during the growth of GaAsSb nanowires (NWs) and GaAs NWs with GaAsSb inserts has been studied using quantitative high-angle annular dark field scanning transmission electron microscopy (STEM). A model for diffusion of Sb in the hexagonal NWs was developed and employed in combination with the quantitative STEM analysis. GaAsSb NWs grown by Ga-assisted molecular beam epitaxy (MBE) and GaAs/GaAsSb NWs grown by Ga- and Au-assisted MBE were investigated. At the high temperatures employed in the NW growth, As-Sb exchange at and outward diffusion of Sb towards the surface take place, resulting in reduction of the Sb concentration at and near the surface in the GaAsSb NWs and the GaAsSb inserts. In GaAsSb NWs, an increasing near-surface depletion of Sb was observed towards the bottom of the NW due to longer exposure to the As beam flux. In GaAsSb inserts, an increasing change in the Sb concentration profile was observed with increasing post-insert axial GaAs growth time, resulting from a combined effect of radial GaAs overgrowth and diffusion of Sb. The effect of growth temperature on the diffusion of Sb in the GaAsSb inserts was identified. The consequences of these findings for growth optimization and the optoelectronic properties of GaAsSb are discussed.

  18. Substrate Misorientation Effects On (A1,Ga)As And (Al,Ga)As/GaAs Structures Grown By Molecular Beam Epitaxy

    Science.gov (United States)

    Tsui, Raymond K.; Kramer, Gary D.; Curless, J. A.; Peffley, Marilyn S.

    1987-04-01

    (Al,Ga)As layers have rough surface morphologies when deposited under certain growth conditions in molecular beam epitaxy (MBE). This leads to poor interfaces between (A1,Ga)- As and GaAs and degraded performance in heterojunction devices. We have observed that by misorienting the substrate slightly from (100), in a manner specific to the growth conditions, smooth (Al,Ga)As layers 3-4 μm thick can be grown at a rate of ≍ 1 μm/h for various AlAs mole fractions, x. Similar conditions for nominal (100) result in a rough, textured morphology. Experiments were carried out using flat substrates of specific misorientations as well as lens-shaped substrates. The lenticular substrates allowed all orientations within 14° of (100) [i.e., out to (511)] to be evaluated in one growth run. Deposition conditions that were varied included x, substrate temperature, and V/III beam flux ratio. Smooth layers obtained using optimal misorientations showed superior optical characteris-tics as determined from low-temperature photoluminescence (PL) measurements. The 4.2K PL spectra of smooth layers exhibit well-resolved exciton-related peaks, and do not have the deeper-level defect-related peaks observed in the spectra of rough layers. Single quantum well structures with A10.3Ga0.7As barriers and a 100 A-wide GaAs well deposited on mis-oriented substrates also have superior optical properties compared to a structure grown on nominal (100). Such findings may have significant implications for the performance of heterojunction device structures grown by MBE.

  19. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  20. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  1. Giant dielectric permittivity in interrupted silver nanowires grown within mesoporous silica

    Science.gov (United States)

    Maity, Anupam; Samanta, Subha; Chatterjee, Soumi; Maiti, Ramaprasad; Biswas, Debasish; Saha, Shyamal K.; Chakravorty, Dipankar

    2018-06-01

    Nanoglasses in the system Ag2O–SiO2 were formed within the pores of mesoporous silica SBA-15 (Santa Barbara Amorphous). Silver nanowires of diameter 5 nm were grown within SBA-15 by the process of electrodeposition. The nanowires were disrupted by applying a suitable voltage pulse. Detailed transmission and scanning electron microscopy studies were carried out. The disrupted silver strands were found to have an average length of 90 nm. The density of interrupted strands was estimated from the electron micrographs and found to have values in the range (10–20)  ×  1010 cm‑2. Dielectric constant and dielectric loss factors of the nanocomposites of disrupted silver strand—containing Ag2O–SiO2 glass and SBA-15 were found to have values in the range 200–300 and 0.014–0.008 respectively at frequencies in the range 10 kHz–2 MHz. These values were found to be in satisfactory agreement with the theoretical model of Rice and Bernasconi emanating from the theory of Gorkhov and Eliashberg. These nanocomposites are expected to be useful in the fabrication of supercapacitors, after developing suitable electrode system for the material.

  2. Role of many-body effects in the coherent dynamics of excitons in low-temperature-grown GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Webber, D.; Hacquebard, L.; Hall, K. C. [Department of Physics and Atmospheric Science, Dalhousie University, Halifax, Nova Scotia B3H 4R2 (Canada); Liu, X.; Dobrowolska, M.; Furdyna, J. K. [Department of Physics, University of Notre Dame, Notre Dame, Indiana 46556 (United States)

    2015-10-05

    Femtosecond four-wave mixing experiments on low-temperature-grown (LT-) GaAs indicate a polarization-dependent nonlinear optical response at the exciton, which we attribute to Coulomb-mediated coupling between excitons and electron-hole pairs simultaneously excited by the broad-bandwidth laser pulses. Strong suppression of the exciton response through screening by carriers injected by a third pump pulse was observed, an effect that is transient due to rapid carrier trapping. Our findings highlight the need to account for the complex interplay of disorder and many-body effects in the design of ultrafast optoelectronic devices using this material.

  3. Enhancement of the 2DEG density in AlGaAs/InGaAs/GaAs P-HEMTs structures grown by MBE on (311)A and (111)A GaAs substrates

    International Nuclear Information System (INIS)

    Rekaya, S.; Sfaxi, L.; Bouzaiene, L.; Maaref, H.; Bru-Chevallier, C.

    2008-01-01

    The pseudomorphic high electron mobility transistor (P-HEMT) structure materials Al 0.33 Ga 0.7 As/In 0.1 Ga 0.9 As/GaAs have been grown by molecular beam epitaxy (MBE) on (311)A and (111)A GaAs substrates. The epitaxy of strain heterostructure on high index GaAs substrate has led to new growth phenomena, material properties and device applications. The photoluminescence (PL) spectra of the structures have been measured at low temperature. The dominant emission in the PL spectra is due to the recombination from the first electron (e1) subband to the first heavy-hole (hh1) subband (E 11 : e1-hh1). This feature (E 11 ) is a relatively broad peak and has a typical asymmetric line shape. The transformation of the PL spectra in the close vicinity of the Fermi edge (E F ) under different excitation densities gives strong evidence for the Fermi Edge Singularity (FES) existence. The density of the quasi-two-dimensional electron gas (2DEG) determined by PL study (n s PL ), is in sufficient agreement with the values found from Hall measurements n s Hall at 77 K. The results prove an increase of the electron density in sample grown on GaAs (111)A and (311)A rather than in equivalent sample grown on (001) GaAs substrate. This effect is in good agreement with our theoretical prediction, which is based on a self-consistent solution of the coupled Schroedinger and Poisson equations

  4. Terahertz-radiation generation and detection in low-temperature-grown GaAs epitaxial films on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation); Buriakov, A. M.; Bilyk, V. R.; Mishina, E. D. [Moscow Technological University “MIREA” (Russian Federation); Klimov, E. A. [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation); Vasil’evskii, I. S. [National Research Nuclear University “MEPhI” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation)

    2017-04-15

    The efficiency of the generation and detection of terahertz radiation in the range up to 3 THz by LT-GaAs films containing equidistant Si doping δ layers and grown by molecular beam epitaxy on GaAs (100) and (111)Ga substrates is studied by terahertz spectroscopy. Microstrip photoconductive antennas are fabricated on the film surface. Terahertz radiation is generated by exposure of the antenna gap to femtosecond optical laser pulses. It is shown that the intensity of terahertz radiation from the photoconductive antenna on LT-GaAs/GaAs (111)Ga is twice as large as the intensity of a similar antenna on LT-GaAs/GaAs(100) and the sensitivity of the antenna on LT-GaAs/GaAs (111)Ga as a terahertz-radiation detector exceeds that of the antenna on LT-GaAs/GaAs(100) by a factor of 1.4.

  5. Tungsten oxide nanowires grown on amorphous-like tungsten films

    International Nuclear Information System (INIS)

    Dellasega, D; Pezzoli, A; Russo, V; Passoni, M; Pietralunga, S M; Nasi, L; Conti, C; Vahid, M J; Tagliaferri, A

    2015-01-01

    Tungsten oxide nanowires have been synthesized by vacuum annealing in the range 500–710 °C from amorphous-like tungsten films, deposited on a Si(100) substrate by pulsed laser deposition (PLD) in the presence of a He background pressure. The oxygen required for the nanowires formation is already adsorbed in the W matrix before annealing, its amount depending on deposition parameters. Nanowire crystalline phase and stoichiometry depend on annealing temperature, ranging from W_1_8O_4_9-Magneli phase to monoclinic WO_3. Sufficiently long annealing induces the formation of micrometer-long nanowires, up to 3.6 μm with an aspect ratio up to 90. Oxide nanowire growth appears to be triggered by the crystallization of the underlying amorphous W film, promoting their synthesis at low temperatures. (paper)

  6. Quantitative and simultaneous analysis of the polarity of polycrystalline ZnO seed layers and related nanowires grown by wet chemical deposition

    Science.gov (United States)

    Guillemin, Sophie; Parize, Romain; Carabetta, Joseph; Cantelli, Valentina; Albertini, David; Gautier, Brice; Brémond, Georges; Fong, Dillon D.; Renevier, Hubert; Consonni, Vincent

    2017-03-01

    The polarity in ZnO nanowires is an important issue since it strongly affects surface configuration and reactivity, nucleation and growth, electro-optical properties, and nanoscale-engineering device performances. However, measuring statistically the polarity of ZnO nanowire arrays grown by chemical bath deposition and elucidating its correlation with the polarity of the underneath polycrystalline ZnO seed layer grown by the sol-gel process represents a major difficulty. To address that issue, we combine resonant x-ray diffraction (XRD) at Zn K-edge using synchrotron radiation with piezoelectric force microscopy and polarity-sensitive chemical etching to statistically investigate the polarity of more than 107 nano-objects both on the macroscopic and local microscopic scales, respectively. By using high temperature annealing under an argon atmosphere, it is shown that the compact, highly c-axis oriented ZnO seed layer is more than 92% Zn-polar and that only a few small O-polar ZnO grains with an amount less than 8% are formed. Correlatively, the resulting ZnO nanowires are also found to be Zn-polar, indicating that their polarity is transferred from the c-axis oriented ZnO grains acting as nucleation sites in the seed layer. These findings pave the way for the development of new strategies to form unipolar ZnO nanowire arrays as a requirement for a number of nanoscale-engineering devices like piezoelectric nanogenerators. They also highlight the great advantage of resonant XRD as a macroscopic, non-destructive method to simultaneously and statistically measure the polarity of ZnO nanowire arrays and of the underneath ZnO seed layer.

  7. Tunnel currents produced by defects in p-n junctions of GaAs grown on vapor phase

    International Nuclear Information System (INIS)

    Barrales Guadarrama, V R; Rodríguez Rodriguez, E M; Barrales Guadarrama, R; Reyes Ayala, N

    2017-01-01

    With the purpose of assessing if the epitaxy on vapor phase technique “Close Space Vapor Deposition (CSVT)” is capable of produce thin films with adequate properties in order to manufacture p-n junctions, a study of invert and direct current was developed, in a temperature range of 94K to 293K, to junctions p-n of GaAs grown through the technique CSVT. It is shown that the dominant current, within the range 10 -7 to 10 -2 A, is consistent with a currents model of the type of internal emission form field, which shows these currents are due to the presence of localized states in the band gap. (paper)

  8. Static and dynamic magnetic properties of B2 ordered Co2MnAl film epitaxially grown on GaAs

    International Nuclear Information System (INIS)

    Liu, Jihong; Qiao, Shuang

    2015-01-01

    Co 2 MnAl, considering its potential 100% spin polarization and high Curie temperature, is expected to be one of the most promising materials for realizing half metallicity. However, on the premise of high polarization, the optimization of the magnetic damping constant is directly determined the critical current density for spin torque transfer switching and also the stability of spin polarization for spin injection transfer, thus research on damping constant is also very important. In this paper, we have systematically investigated the magnetic damping constant in Co 2 MnAl film epitaxially grown on GaAs(100) substrate by FMR and TR-MOKE measurements, and found that the damping constant of 0.023 extracted by FMR is comparable with that of TR-MOKE at low field. While, considering field-dependent spin orientation, we think that the field-dependent damping constant deduced by TR-MOKE may provide important information for Co 2 MnAl/GaAs heterostructure and its potential application in spintronics. - Graphical abstract: Co 2 MnAl, considering its potential 100% spin polarization and high Curie temperature, is expected to be one of the most promising materials for realizing half metallicity. In this paper, we have successfully grown the B2-ordered Co 2 MnAl film on GaAs (100) substrate and systematically investigated the magnetic damping constant in Co 2 MnAl film epitaxially grown on GaAs(100) substrate by employing both FMR and TR-MOKE measurements. Our results show that the damping constant of 0.023 extracted by FMR is comparable with that of TR-MOKE at low field. However, considering field-dependent spin orientation, we think that the field-dependent damping constant deduced by TR-MOKE may be more useful for Co 2 MnAl/GaAs heterostructure and its possible application in spintronics. - Highlights: • B2 ordered Co 2 MnAl was successfully prepared and studied by LMOKE and ROT-MOKE. • Static magnetic measurements show clear cubic anisotropy with K C of 5.0 × 10 4

  9. Carrier thermalization dynamics in single zincblende and wurtzite InP Nanowires.

    Science.gov (United States)

    Wang, Yuda; Jackson, Howard E; Smith, Leigh M; Burgess, Tim; Paiman, Suriati; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-12-10

    Using transient Rayleigh scattering (TRS) measurements, we obtain photoexcited carrier thermalization dynamics for both zincblende (ZB) and wurtzite (WZ) InP single nanowires (NW) with picosecond resolution. A phenomenological fitting model based on direct band-to-band transition theory is developed to extract the electron-hole-plasma density and temperature as a function of time from TRS measurements of single nanowires, which have complex valence band structures. We find that the thermalization dynamics of hot carriers depends strongly on material (GaAs NW vs InP NW) and less strongly on crystal structure (ZB vs WZ). The thermalization dynamics of ZB and WZ InP NWs are similar. But a comparison of the thermalization dynamics in ZB and WZ InP NWs with ZB GaAs NWs reveals more than an order of magnitude slower relaxation for the InP NWs. We interpret these results as reflecting their distinctive phonon band structures that lead to different hot phonon effects. Knowledge of hot carrier thermalization dynamics is an essential component for effective incorporation of nanowire materials into electronic devices.

  10. Diffusion of $^{52}$Mn in GaAs

    CERN Multimedia

    2002-01-01

    Following our previous diffusion studies performed with the modified radiotracer technique, we propose to determine the diffusion of Mn in GaAs under intrinsic conditions in a previously un-investigated temperature region. The aim of the presently proposed experiments is twofold. \\begin{itemize} \\item A quantitative study of Mn diffusion in GaAs at low Mn concentrations would be decisive in providing new information on the diffusion mechanism involved. \\item As Ga vacancies are expected to be involved in the Mn diffusion process it can be predicted that also the GaAs material growth technique most likely plays a role. To clarify this assumption diffusion experiments will be conducted for GaAs material grown by two different techniques. \\end{itemize} For such experiments we ask for two runs of 3 shifts (total of 6 shifts) with $^{52}$Mn$^{+}$ ion beam.

  11. Understanding InP Nanowire Array Solar Cell Performance by Nanoprobe-Enabled Single Nanowire Measurements.

    Science.gov (United States)

    Otnes, Gaute; Barrigón, Enrique; Sundvall, Christian; Svensson, K Erik; Heurlin, Magnus; Siefer, Gerald; Samuelson, Lars; Åberg, Ingvar; Borgström, Magnus T

    2018-05-09

    III-V solar cells in the nanowire geometry might hold significant synthesis-cost and device-design advantages as compared to thin films and have shown impressive performance improvements in recent years. To continue this development there is a need for characterization techniques giving quick and reliable feedback for growth development. Further, characterization techniques which can improve understanding of the link between nanowire growth conditions, subsequent processing, and solar cell performance are desired. Here, we present the use of a nanoprobe system inside a scanning electron microscope to efficiently contact single nanowires and characterize them in terms of key parameters for solar cell performance. Specifically, we study single as-grown InP nanowires and use electron beam induced current characterization to understand the charge carrier collection properties, and dark current-voltage characteristics to understand the diode recombination characteristics. By correlating the single nanowire measurements to performance of fully processed nanowire array solar cells, we identify how the performance limiting parameters are related to growth and/or processing conditions. We use this understanding to achieve a more than 7-fold improvement in efficiency of our InP nanowire solar cells, grown from a different seed particle pattern than previously reported from our group. The best cell shows a certified efficiency of 15.0%; the highest reported value for a bottom-up synthesized InP nanowire solar cell. We believe the presented approach have significant potential to speed-up the development of nanowire solar cells, as well as other nanowire-based electronic/optoelectronic devices.

  12. Stability of Organic Nanowires

    DEFF Research Database (Denmark)

    Balzer, F.; Schiek, M.; Wallmann, I.

    2011-01-01

    The morphological stability of organic nanowires over time and under thermal load is of major importance for their use in any device. In this study the growth and stability of organic nanowires from a naphthyl end-capped thiophene grown by organic molecular beam deposition is investigated via ato...

  13. Quantum transport in semiconductor nanowires

    NARCIS (Netherlands)

    Van Dam, J.

    2006-01-01

    This thesis describes a series of experiments aimed at understanding the low-temperature electrical transport properties of semiconductor nanowires. The semiconductor nanowires (1-100 nm in diameter) are grown from nanoscale gold particles via a chemical process called vapor-liquid-solid (VLS)

  14. Temperature Dependences of the Product of the Differential Resistance by the Area in MIS-Structures Based on Cd x Hg1- x Te Grown by Molecularbeam Epitaxy on Alternative Si and GaAs Substrates

    Science.gov (United States)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-06-01

    In a temperature range of 9-200 K, temperature dependences of the differential resistance of space-charge region in the strong inversion mode are experimentally studied for MIS structures based on CdxHg1-xTe (x = 0.22-0.40) grown by molecular-beam epitaxy. The effect of various parameters of structures: the working layer composition, the type of a substrate, the type of insulator coating, and the presence of a near-surface graded-gap layer on the value of the product of differential resistance by the area is studied. It is shown that the values of the product RSCRA for MIS structures based on n-CdHgTe grown on a Si(013) substrate are smaller than those for structures based on the material grown on a GaAs(013) substrate. The values of the product RSCRA for MIS structures based on p-CdHgTe grown on a Si(013) substrate are comparable with the value of the analogous parameter for MIS structures based on p-CdHgTe grown on a GaAs(013) substrate.

  15. Optical localization of quantum dots in tapered nanowires

    DEFF Research Database (Denmark)

    Østerkryger, Andreas Dyhl; Gregersen, Niels; Fons, Romain

    2017-01-01

    In this work we have measured the far-field emission patterns of In As quantum dots embedded in a GaAs tapered nanowire and used an open-geometry Fourier modal method for determining the radial position of the quantum dots by computing the far-field emission pattern for different quantum dot...

  16. Optical properties of Mg doped p-type GaN nanowires

    Science.gov (United States)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  17. In-Channel-Grown Polypyrrole Nanowire for the Detection of DNA Hybridization in an Electrochemical Microfluidic Biosensor

    OpenAIRE

    Tran, Thi Luyen; Chu, Thi Xuan; Do, Phuc Quan; Pham, Duc Thanh; Trieu, Van Vu Quan; Huynh, Dang Chinh; Mai, Anh Tuan

    2015-01-01

    A triple electrode setup with a Pt pseudo-reference electrode integrated in a polydimethylsiloxane- (PDMS-) based microchamber was designed and fabricated. The integrated electrodes were deposited onto SiO2/Si substrate by sputtering. The PDMS microchamber was patterned using an SU-8 mold and sealed with electrodes in oxygen plasma. Polypyrrole nanowires (PPy NWs) were electrochemically grown in situ at an accurate position of the working electrode in the sealed microchamber instead of in an ...

  18. Solution-Grown Silicon Nanowires for Lithium-Ion Battery Anodes

    KAUST Repository

    Chan, Candace K.; Patel, Reken N.; O’ Connell, Michael J.; Korgel, Brian A.; Cui, Yi

    2010-01-01

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires

  19. Substrate-Dependent Differences in the Crystal Structures and Optical Properties of ZnSe Nanowires

    Directory of Open Access Journals (Sweden)

    Keumyoung Seo

    2015-01-01

    Full Text Available The optical and structural properties of ZnSe nanowires directly grown on three different substrates, SiO2, ITO, and graphene, were investigated. ZnSe nanowires grown on graphene and SiO2 were found to have cubic structures, while ZnSe nanowires grown on ITO had a mixed cubic and hexagonal structure. The main peaks in the photoluminescence spectra of ZnSe nanowires grown on SiO2, ITO, and graphene were located at 459, 627, and 627/460 nm, respectively. In addition, a field-emission light-emitting device was fabricated using ZnSe nanowires as a phosphor and graphene as an electrode. The device showed a red emission peak with Commission Internationale de L’Eclairage coordinates of (0.621, 0.315.

  20. Structure and homoepitaxial growth of GaAs(6 3 1)

    International Nuclear Information System (INIS)

    Mendez-Garcia, V.H.; Ramirez-Arenas, F.J.; Lastras-Martinez, A.; Cruz-Hernandez, E.; Pulzara-Mora, A.; Rojas-Ramirez, J.S.; Lopez-Lopez, M.

    2006-01-01

    We have studied the surface atomic structure of GaAs(6 3 1), and the GaAs growth by molecular beam epitaxy (MBE) on this plane. After the oxide desorption process at 585 deg. Creflection high-energy electron diffraction (RHEED) showed along the [-1 2 0] direction a 2x surface reconstruction for GaAs(6 3 1)A, and a 1x pattern was observed for GaAs(6 3 1)B. By annealing the substrates for 60 min, we observed that on the A surface appeared small hilly-like features, while on GaAs(6 3 1)B surface pits were formed. For GaAs(6 3 1)A, 500 nm-thick GaAs layers were grown at 585 deg. C. The atomic force microscopy (AFM) images at the end of growth showed the self-formation of nanoscale structures with a pyramidal shape enlarged along the [5-9-3] direction. Transversal views of the bulk-truncated GaAs(6 3 1) surface model showed arrays of atomic grooves along this direction, which could influence the formation of the pyramidal structures

  1. Abnormal optical behaviour of InAsSb quantum dots grown on GaAs substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Rihani, J.; Ben Sedrine, N.; Sallet, V.; Harmand, J.C.; Oueslati, M.; Chtourou, R.

    2008-01-01

    InAs(Sb) quantum dots (QDs) samples were grown on GaAs (001) substrate by Molecular Beam Epitaxy (MBE). The structural characterization by Atomic Force Microscopy (AFM) of samples shows that InAsSb islands size increases strongly with antimony incorporation in InAs/GaAs QDs and decreases with reducing the growth temperature from 520 deg. C to 490 deg. C. Abnormal optical behaviour was observed in room temperature (RT) photoluminescence (PL) spectra of samples grown at high temperature (520 deg. C). Temperature dependent PL study was investigated and reveals an anomalous evolution of emission peak energy (EPE) of InAsSb islands, well-known as 'S-inverted curve' and attributed to the release of confined carriers from the InAsSb QDs ground states to the InAsSb wetting layer (WL) states. With only decreasing the growth temperature, the S-inverted shape was suppressed indicating a fulfilled 3D-confinement of carriers in the InAsSb/GaAs QD sample

  2. Photoluminescence Polarization Anisotropy in a Single Heterostructured III-V Nanowire with Mixed Crystal Phases

    International Nuclear Information System (INIS)

    Moses, A. F.; Hoang, T. B.; Ahtapodov, L.; Dheeraj, D. L.; Fimland, B. O.; Weman, H.; Helvoort, A. T. J. van

    2011-01-01

    Low temperature (10 K) micro-photoluminescence (μ-PL) of single GaAs/AlGaAs core-shell nanowires with single GaAsSb inserts were measured. The PL emission from the zinc blende GaAsSb insert is strongly polarized along the nanowire axis while the PL emission from the wurtzite GaAs nanowire is perpendiculary polarized to the nanowire axis. The result indicates that the crystal phase, through the optical selection rules, has significant effect on the polarization of the PL from NWs besides the dielectric mismatch. The analysis of the PL results based on the electronic structure of these nanowires supports the correlation between the crystal phase and the PL emission.

  3. Preparation and Characterization of Tin Oxide Nanowires

    Directory of Open Access Journals (Sweden)

    A. Kabiri

    2013-12-01

    Full Text Available The aim of this research is preparation of SnO2 nanowires by means of Thermal chemical reaction vapor transport deposition (TCRVTD method from SnO powders. The morphology, chemical composition and microstructure properties of the nanowires are characterized using field emission scanning electron microscope (FE-SEM, EDS, and XRD. The XRD diffraction patterns reveal that the SnO2 nanowires have been grown in the form of tetragonal crystal structures with the lattice parameter of a=b=0.440 nm, and c=0.370 nm. The SEM images reveal that SnO2 nanowires have successfully been grown on the Si substrate. The EDS patterns show that only elements of Sn, O and Au are detected. Prior to the VLS process the substrate is coated by a thin layer of Au. The diameter of nanowires is measured to be something between 20-100 nm.

  4. Dimensional effects in semiconductor nanowires; Dimensionseffekte in Halbleiternanodraehten

    Energy Technology Data Exchange (ETDEWEB)

    Stichtenoth, Daniel

    2008-06-23

    Nanomaterials show new physical properties, which are determined by their size and morphology. These new properties can be ascribed to the higher surface to volume ratio, to quantum size effects or to a form anisotropy. They may enable new technologies. The nanowires studied in this work have a diameter of 4 to 400 nm and a length up to 100 {mu}m. The semiconductor material used is mainly zinc oxide (ZnO), zinc sulfide (ZnS) and gallium arsenide (GaAs). All nanowires were synthesized according to the vapor liquid solid mechanism, which was originally postulated for the growth of silicon whiskers. Respective modifications for the growth of compound semiconductor nanowires are discussed. Detailed luminescence studies on ZnO nanowires with different diameters show pronounced size effects which can be attributed to the origins given above. Similar to bulk material, a tuning of the material properties is often essential for a further functionalization of the nanowires. This is typical realized by doping the source material. It becomes apparent, that a controlled doping of nanowires during the growth process is not successful. Here an alternative method is chosen: the doping after the growth by ion implantation. However, the doping by ion implantation goes always along with the creation of crystal defects. The defects have to be annihilated in order to reach an activation of th introduced dopants. At high ion fluences and ion masses the sputtering of surface atoms becomes more important. This results in a characteristic change in the morphology of the nanowires. In detail, the doping of ZnO and ZnS nanowires with color centers (manganese and rare earth elements) is demonstrated. Especially, the intra 3d luminescence of manganese implanted ZnS nanostructures shows a strong dependence of the nanowire diameter and morphology. This dependence can be described by expanding Foersters model (which describes an energy transfer to the color centers) by a dimensional parameter

  5. InGaN/GaN Nanowire LEDs and Lasers

    KAUST Repository

    Zhao, Chao

    2016-01-01

    The large specific surface, and the associated high density of surface states was found to limit the light output power and quantum efficiency of nanowire-array devices, despite their potential for addressing the “green-gap” and efficiency-droop issues. The phonon and carrier confinement in nanowires also led to junction heating, and reduced heat dissipation. In this paper, we will present our studies on effective surface states passivation in InGaN/GaN quantum-disks (Qdisks)-in-nanowire light-emitting diodes (LEDs) and lasers grown on silicon (Si), as well as our recent work on nanowires LEDs grown on bulk-metal, a non-conventional substrate.

  6. A comparative study of Co thin film deposited on GaAs (1 0 0) and glass substrates

    International Nuclear Information System (INIS)

    Sharma, A.; Brajpuriya, R.; Tripathi, S.; Jain, D.; Dubey, R.; Shripathi, T.; Chaudhari, S.M.

    2006-01-01

    The structural, magnetic and transport properties of Co/GaAs (1 0 0) and Co/glass thin films have been investigated. The structural measurements reveal the crystalline nature of Co thin film grown on GaAs, while microcrystalline nature in case of glass substrate. The film grown on GaAs shows higher coercivity (49.0 G), lower saturation magnetization (3.65 x 10 -4 ) and resistivity (8 μΩ cm) values as compared to that on glass substrate (22 G, 4.77 x 10 -4 and 18 μΩ cm). The grazing incidence X-ray reflectivity and photoemission spectroscopy results show the interaction between Co and GaAs at the interface, while the Co layer grown on glass remains unaffected. These observed results are discussed and interpreted in terms of different growth morphologies and structures of as grown Co thin film on both substrates

  7. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  8. Optical properties of indium phosphide nanowire ensembles at various temperatures

    International Nuclear Information System (INIS)

    Lohn, Andrew J; Onishi, Takehiro; Kobayashi, Nobuhiko P

    2010-01-01

    Ensembles that contain two types (zincblende and wurtzite) of indium phosphide nanowires grown on non-single crystalline surfaces were studied by micro-photoluminescence and micro-Raman spectroscopy at various low temperatures. The obtained spectra are discussed with the emphasis on the effects of differing lattice types, geometries, and crystallographic orientations present within an ensemble of nanowires grown on non-single crystalline surfaces. In the photoluminescence spectra, a typical Varshni dependence of band gap energy on temperature was observed for emissions from zincblende nanowires and in the high temperature regime energy transfer from excitonic transitions and band-edge transitions was identified. In contrast, the photoluminescence emissions associated with wurtzite nanowires were rather insensitive to temperature. Raman spectra were collected simultaneously from zincblende and wurtzite nanowires coexisting in an ensemble. Raman peaks of the wurtzite nanowires are interpreted as those related to the zincblende nanowires by a folding of the phonon dispersion.

  9. Optical properties of indium phosphide nanowire ensembles at various temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Lohn, Andrew J; Onishi, Takehiro; Kobayashi, Nobuhiko P [Baskin School of Engineering, University of California Santa Cruz, Santa Cruz, CA 95064 (United States); Nanostructured Energy Conversion Technology and Research (NECTAR), Advanced Studies Laboratories, University of California Santa Cruz-NASA Ames Research Center, Moffett Field, CA 94035 (United States)

    2010-09-03

    Ensembles that contain two types (zincblende and wurtzite) of indium phosphide nanowires grown on non-single crystalline surfaces were studied by micro-photoluminescence and micro-Raman spectroscopy at various low temperatures. The obtained spectra are discussed with the emphasis on the effects of differing lattice types, geometries, and crystallographic orientations present within an ensemble of nanowires grown on non-single crystalline surfaces. In the photoluminescence spectra, a typical Varshni dependence of band gap energy on temperature was observed for emissions from zincblende nanowires and in the high temperature regime energy transfer from excitonic transitions and band-edge transitions was identified. In contrast, the photoluminescence emissions associated with wurtzite nanowires were rather insensitive to temperature. Raman spectra were collected simultaneously from zincblende and wurtzite nanowires coexisting in an ensemble. Raman peaks of the wurtzite nanowires are interpreted as those related to the zincblende nanowires by a folding of the phonon dispersion.

  10. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    Science.gov (United States)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  11. High-frequency acoustic charge transport in GaAs nanowires

    NARCIS (Netherlands)

    Büyükköse, S.; Hernandez-Minguez, A.; Vratzov, B.; Somaschini, C.; Geelhaar, L.; Riechert, H.; van der Wiel, Wilfred Gerard; Santos, P.V.

    2014-01-01

    The oscillating piezoelectric fields accompanying surface acoustic waves are able to transport charge carriers in semiconductor heterostructures. Here, we demonstrate high-frequency (above 1 GHz) acoustic charge transport in GaAs-based nanowires deposited on a piezoelectric substrate. The short

  12. Superconducting NbN single-photon detectors on GaAs with an AlN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Ekkehart; Merker, Michael; Ilin, Konstantin; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie, Hertzstrasse 16, 76187 Karlsruhe (Germany)

    2015-07-01

    GaAs is the material of choice for photonic integrated circuits. It allows the monolithic integration of single-photon sources like quantum dots, waveguide based optical circuits and detectors like superconducting nanowire single-photon detectors (SNSPDs) onto one chip. The growth of high quality NbN films on GaAs is challenging, due to natural occurring surface oxides and the large lattice mismatch of about 27%. In this work, we try to overcome these problems by the introduction of a 10 nm AlN buffer layer. Due to the buffer layer, the critical temperature of 6 nm thick NbN films was increased by about 1.5 K. Furthermore, the critical current density at 4.2 K of NbN flim deposited onto GaAs with AlN buffer is 50% higher than of NbN film deposited directly onto GaAs substrate. We successfully fabricated NbN SNSPDs on GaAs with a AlN buffer layer. SNSPDs were patterned using electron-beam lithography and reactive-ion etching techniques. Results on the study of detection efficiency and jitter of a NbN SNSPD on GaAs, with and without AlN buffer layer will be presented and discussed.

  13. Spin polarization of single-crystalline Co2MnSi films grown by PLD on GaAs(0 0 1)

    International Nuclear Information System (INIS)

    Wang, W.H.; Przybylski, M.; Kuch, W.; Chelaru, L.I.; Wang, J.; Lu, Y.F.; Barthel, J.; Kirschner, J.

    2005-01-01

    Single-crystalline Co 2 MnSi Heusler alloy films have been grown on GaAs(0 0 1) substrates by pulsed laser deposition. The best crystallographic quality has been achieved after deposition at 450 K. Spin-resolved photoemission measurements at BESSY reveal spin-resolved density of states that are in qualitative agreement with recent band structure calculations. The spin polarization of photoelectrons close to the Fermi level is found to be at most 12% at room temperature, in contrast to the predicted half-metallic behavior. We suggest that this discrepancy may be attributed to a non-magnetic surface region and/or partial chemical disorder in the Co 2 MnSi lattice

  14. Investigations of p-type signal for ZnO thin films grown on (100)GaAs substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rogers, D.J. [Nanovation SARL, Orsay (France); Univ. de Technologie de Troyes, Troyes (France); Hosseini Teherani, F. [Nanovation SARL, Orsay (France); Monteiro, T.; Soares, M.; Neves, A.; Carmo, M.; Correia, M.R. [Physics Dept., Univ. of Aveiro (Portugal); Pereira, S. [Physics Dept., Univ. of Aveiro (Portugal); Inst. Tecnologico e Nuclear, Sacavem (Portugal); Lusson, A. [Inst. d' Electronique Fondamentale, Orsay Univ. (France); LPSC - CNRS, Meudon (France); Alves, E.; Barradas, N.P. [Inst. Tecnologico e Nuclear, Sacavem (Portugal); Morrod, J.K.; Prior, K.A. [Physics Dept., Heriot Watt Univ., Edinburgh Scotland (United Kingdom); Kung, P.; Yasan, A.; Razeghi, M. [Center for Quantum Devices, Dept. of Electrical and Computer Engineering, Northwestern Univ., Evanston, IL (United States)

    2006-03-15

    In this work we investigated ZnO films grown on semi-insulating (100)GaAs substrates by pulsed laser deposition. Samples were studied using techniques including X-ray diffraction (XRD), scanning electron microscopy, atomic force microscopy, Raman spectroscopy, temperature dependent photoluminescence, C-V profiling and temperature dependent Hall measurements. The Hall measurements showed a clear p-type response with a relatively high mobility ({proportional_to}260 cm{sup 2}/Vs) and a carrier concentration of {proportional_to}1.8 x 10{sup 19} cm{sup -3}. C-V profiling confirmed a p-type response. XRD and Raman spectroscopy indicated the presence of (0002) oriented wurtzite ZnO plus secondary phase(s) including (101) oriented Zn{sub 2}As{sub 2}O{sub 7}. The results suggest that significant atomic mixing was occurring at the film/substrate interface for films grown at substrate temperatures of 450 C (without post-annealing). (orig.)

  15. Fluorescence extended X-ray absorption fine structure analysis of half-metallic ferromagnet 'zinc-blende CrAs' grown on GaAs by molecular beam epitaxy

    CERN Document Server

    Ofuchi, H; Ono, K; Oshima, M; Akinaga, H; Manago, T

    2003-01-01

    In this work, geometric structures for a half-metallic ferromagnet 'zinc-blende CrAs', which showed ferromagnetic behavior beyond room temperature, were investigated using fluorescence extended X-ray absorption fine structure (EXAFS) measurement. The EXAFS measurements revealed that As atoms around Cr atoms in the 2 nm CrAs film grown on a GaAs(0 0 1) substrate were coordinated tetrahedrally, indicating formation of zinc-blende CrAs. The Cr-As bond length in the zinc-blende CrAs is 2.49 A. This value is close to that which was estimated from the lattice constant (5.82 A) of ferromagnetic zinc-blende CrAs calculated by full-potential linearized augmented-plane wave method. The EXAFS analysis show that the theoretically predicted zinc-blende CrAs can be fabricated on GaAs(0 0 1) substrate by low-temperature molecular-beam epitaxy.

  16. Fluorescence extended X-ray absorption fine structure analysis of half-metallic ferromagnet 'zinc-blende CrAs' grown on GaAs by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ofuchi, H.; Mizuguchi, M.; Ono, K.; Oshima, M.; Akinaga, H.; Manago, T.

    2003-01-01

    In this work, geometric structures for a half-metallic ferromagnet 'zinc-blende CrAs', which showed ferromagnetic behavior beyond room temperature, were investigated using fluorescence extended X-ray absorption fine structure (EXAFS) measurement. The EXAFS measurements revealed that As atoms around Cr atoms in the 2 nm CrAs film grown on a GaAs(0 0 1) substrate were coordinated tetrahedrally, indicating formation of zinc-blende CrAs. The Cr-As bond length in the zinc-blende CrAs is 2.49 A. This value is close to that which was estimated from the lattice constant (5.82 A) of ferromagnetic zinc-blende CrAs calculated by full-potential linearized augmented-plane wave method. The EXAFS analysis show that the theoretically predicted zinc-blende CrAs can be fabricated on GaAs(0 0 1) substrate by low-temperature molecular-beam epitaxy

  17. Growth and luminescence characterization of large-scale zinc oxide nanowires

    CERN Document Server

    Dai, L; Wang, W J; Zhou, T; Hu, B Q

    2003-01-01

    Large-scale zinc oxide (ZnO) nanowires were grown via a simple chemical reaction involving water vapour. Electron microscopy observations reveal that the ZnO nanowires are single crystalline and grow along the c-axis ([001]) direction. Room temperature photoluminescence measurements show a striking blue emission at 466 nm along with two other emissions in the ultraviolet and yellow regions. Annealing treatment of the as-grown ZnO nanowires results in an apparent reduction of the intensity of the blue emission, which indicates that the blue emission might be originating from the oxygen or zinc defects generated in the process of growth of the ZnO nanowires.

  18. Stimulated emission in heterostructures with double InGaAs/GaAsSb/GaAs quantum wells, grown on GaAs and Ge/Si(001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yablonsky, A. N., E-mail: yablonsk@ipm.sci-nnov.ru; Morozov, S. V.; Gaponova, D. M.; Aleshkin, V. Ya. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Shengurov, V. G.; Zvonkov, B. N.; Vikhrova, O. V.; Baidus’, N. V. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Krasil’nik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2016-11-15

    We report the observation of stimulated emission in heterostructures with double InGaAs/GaAsSb/GaAs quantum wells, grown on Si(001) substrates with the application of a relaxed Ge buffer layer. Stimulated emission is observed at 77 K under pulsed optical pumping at a wavelength of 1.11 μm, i.e., in the transparency range of bulk silicon. In similar InGaAs/GaAsSb/GaAs structures grown on GaAs substrates, room-temperature stimulated emission is observed at 1.17 μm. The results obtained are promising for integration of the structures into silicon-based optoelectronics.

  19. Oxidation of GaAs substrates to enable β-Ga2O3 films for sensors and optoelectronic devices

    Science.gov (United States)

    Mao, Howard; Alhalaili, Badriyah; Kaya, Ahmet; Dryden, Daniel M.; Woodall, Jerry M.; Islam, M. Saif

    2017-08-01

    A very simple and inexpensive method for growing β-Ga2O3 films by heating GaAs wafers at high temperature in a furnace was found to contribute to large-area, high-quality β-Ga2O3 nanoscale thin films as well as nanowires depending on the growth conditions. We present the material characterization results including the optical band gap, Schottky barrier height with metal (gold), field ionization and photoconductance of β-Ga2O3 film and nanowires.

  20. In-Channel-Grown Polypyrrole Nanowire for the Detection of DNA Hybridization in an Electrochemical Microfluidic Biosensor

    Directory of Open Access Journals (Sweden)

    Thi Luyen Tran

    2015-01-01

    Full Text Available A triple electrode setup with a Pt pseudo-reference electrode integrated in a polydimethylsiloxane- (PDMS- based microchamber was designed and fabricated. The integrated electrodes were deposited onto SiO2/Si substrate by sputtering. The PDMS microchamber was patterned using an SU-8 mold and sealed with electrodes in oxygen plasma. Polypyrrole nanowires (PPy NWs were electrochemically grown in situ at an accurate position of the working electrode in the sealed microchamber instead of in an open system. The DNA probe sequences were simply introduced into the channel to form bonds with the nanowires. A detection limit of 20 pM was achieved using a lock-in amplifier. The electrochemical characteristics produced by the hybridization of DNA strands in the microchamber showed a good signal/noise ratio and high sensitivity. Measurement of the DNA sensor in narrow space also required much less volume of the analytical sample compared with that in an open measuring cell. Results showed that this simple system can potentially fabricate nanostructures and detect bio/chemical molecules in a sealed system.

  1. Surface passivation technology for III-V semiconductor nanoelectronics

    International Nuclear Information System (INIS)

    Hasegawa, Hideki; Akazawa, Masamichi

    2008-01-01

    The present status and key issues of surface passivation technology for III-V surfaces are discussed in view of applications to emerging novel III-V nanoelectronics. First, necessities of passivation and currently available surface passivation technologies for GaAs, InGaAs and AlGaAs are reviewed. Then, the principle of the Si interface control layer (ICL)-based passivation scheme by the authors' group is introduced and its basic characterization is presented. Ths Si ICL is a molecular beam epitaxy (MBE)-grown ultrathin Si layer inserted between III-V semiconductor and passivation dielectric. Finally, applications of the Si ICL method to passivation of GaAs nanowires and GaAs nanowire transistors and to realization of pinning-free high-k dielectric/GaAs MOS gate stacks are presented

  2. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  3. Selective growth of gallium nitride nanowires by femtosecond laser patterning

    International Nuclear Information System (INIS)

    Ng, D.K.T.; Hong, M.H.; Tan, L.S.; Zhou, Y.; Chen, G.X.

    2008-01-01

    We report on gallium nitride (GaN) nanowires grown using pulsed laser ablation, adopting the vapor-liquid-solid (VLS) growth mechanism. The GaN nanowires are obtained based on the principle that a catalyst is required to initiate the nanowires growth. Locations of the GaN nanowires are patterned using femtosecond laser and focused ion beam. Scanning electron microscopy (SEM) is used to characterize the nanowires. This patterning of GaN nanowires will enable selective growth of nanowires and bottom-up assembly of integrated electronic and photonic devices

  4. Selective growth of gallium nitride nanowires by femtosecond laser patterning

    Energy Technology Data Exchange (ETDEWEB)

    Ng, D.K.T. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Data Storage Institute, Agency for Science, Technology and Research, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore); Hong, M.H. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Data Storage Institute, Agency for Science, Technology and Research, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore)], E-mail: HONG_Minghui@dsi.a-star.edu.sg; Tan, L.S. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Zhou, Y. [Data Storage Institute, Agency for Science, Technology and Research, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore); Department of Mechanical Engineering, National University of Singapore, 2 Engineering Drive 3, Singapore 117576 (Singapore); Chen, G.X. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore)

    2008-01-31

    We report on gallium nitride (GaN) nanowires grown using pulsed laser ablation, adopting the vapor-liquid-solid (VLS) growth mechanism. The GaN nanowires are obtained based on the principle that a catalyst is required to initiate the nanowires growth. Locations of the GaN nanowires are patterned using femtosecond laser and focused ion beam. Scanning electron microscopy (SEM) is used to characterize the nanowires. This patterning of GaN nanowires will enable selective growth of nanowires and bottom-up assembly of integrated electronic and photonic devices.

  5. Electrical, photoelectrical and morphological properties of ZnO nanofiber networks grown on SiO{sub 2} and on Si nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Vega, Nadia Celeste; Comedi, David [Universidad Nacional de Tucuman (FACET/UNT), (Argentina). Facultad de Ciencias Exactas y Tecnologia. Dept. de Fisica. Lab. de Fisica del Solido; Audebert, Fernando [Consejo Nacional de Investigaciones Cientificas y Tecnicas (CONICET) (Argentina); Tirado, Monica, E-mail: mtirado@herrera.unt.edu.ar [Universidad Nacional de Tucuman (FACET/UNT), (Argentina). Facultad de Ciencias Exactas y Tecnologia. Dept. de Fisica. Lab. de Nanomateriales y de Propiedades Dielectricas; Rodriguez, Andres; Rodriguez, Tomas [Universidad Politecnica de Madrid (ETSIT/UPM), Madrid (Spain). Escuela Tecnica Superior de Ingenieros de Telecomucacion. Tecnologia Electronica; Hughes, Gareth M.; Grovenor, Chris R.M. [University of Oxford, Parks Road, OX (United Kingdom). Dept. of Materials

    2013-11-01

    ZnO nanofibre networks (NFNs) were grown by vapour transport method on Si-based substrates. One type of substrate was SiO{sub 2} thermally grown on Si and another consisted of a Si wafer onto which Si nanowires (NWs) had been grown having Au nanoparticles catalysts. The ZnO-NFN morphology was observed by scanning electron microscopy on samples grown at 600 Degree-Sign C and 720 Degree-Sign C substrate temperature, while an focused ion beam was used to study the ZnO NFN/Si NWs/Si and ZnO NFN/SiO{sub 2} interfaces. Photoluminescence, electrical conductance and photo conductance of ZnO-NFN was studied for the sample grown on SiO{sub 2}. The photoluminescence spectra show strong peaks due to exciton recombination and lattice defects. The ZnO-NFN presents quasi-persistent photoconductivity effects and ohmic I-V characteristics which become nonlinear and hysteretic as the applied voltage is increased. The electrical conductance as a function of temperature can be described by a modified three dimensional variable hopping model with nanometer-ranged typical hopping distances. (author)

  6. Carrier gas effects on aluminum-catalyzed nanowire growth

    International Nuclear Information System (INIS)

    Ke, Yue; Hainey, Mel Jr; Won, Dongjin; Weng, Xiaojun; Eichfeld, Sarah M; Redwing, Joan M

    2016-01-01

    Aluminum-catalyzed silicon nanowire growth under low-pressure chemical vapor deposition conditions requires higher reactor pressures than gold-catalyzed growth, but the reasons for this difference are not well understood. In this study, the effects of reactor pressure and hydrogen partial pressure on silicon nanowire growth using an aluminum catalyst were studied by growing nanowires in hydrogen and hydrogen/nitrogen carrier gas mixtures at different total reactor pressures. Nanowires grown in the nitrogen/hydrogen mixture have faceted catalyst droplet tips, minimal evidence of aluminum diffusion from the tip down the nanowire sidewalls, and significant vapor–solid deposition of silicon on the sidewalls. In comparison, wires grown in pure hydrogen show less well-defined tips, evidence of aluminum diffusion down the nanowire sidewalls at increasing reactor pressures and reduced vapor–solid deposition of silicon on the sidewalls. The results are explained in terms of a model wherein the hydrogen partial pressure plays a critical role in aluminum-catalyzed nanowire growth by controlling hydrogen termination of the silicon nanowire sidewalls. For a given reactor pressure, increased hydrogen partial pressures increase the extent of hydrogen termination of the sidewalls which suppresses SiH_4 adsorption thereby reducing vapor–solid deposition of silicon but increases the surface diffusion length of aluminum. Conversely, lower hydrogen partial pressures reduce the hydrogen termination and also increase the extent of SiH_4 gas phase decomposition, shifting the nanowire growth window to lower growth temperatures and silane partial pressures. (paper)

  7. Magnetic Properties of Fe(001) Thin Films on GaAs(001) Deposited by RF Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ikeya, Hirokazu; Takahashi, Yutaka; Inaba, Nobuyuki; Kirino, Fumiyoshi; Ohtake, Mitsuru; Futamoto, Masaaki

    2011-01-01

    Fe thin films, down to 6 nm thick, were prepared on GaAs(001) substrates by RF magnetron sputtering. The x-ray diffraction (XRD) analyses show that the epitaxial thin films of Fe(001) were grown with cube-on-cube orientation on GaAs(001). Magnetic properties were investigated by vibrating sample magnetometry (VSM) and ferromagnetic resonance (FMR) spectroscopy. The magnetization curves obtained by applying in-plane magnetic fields indicate that easy (hard) direction is along [100] ([110]) and the saturation magnetization is close to the bulk values. The in-plane magnetic anisotropy measured by FMR shows four-fold symmetry, as expected for bcc Fe. We did not observe the in-plane uniaxial magnetic anisotropy reported on the MBE-grown Fe films on GaAs substrates.

  8. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    Science.gov (United States)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  9. Topological insulator nanowires and nanowire hetero-junctions

    Science.gov (United States)

    Deng, Haiming; Zhao, Lukas; Wade, Travis; Konczykowski, Marcin; Krusin-Elbaum, Lia

    2014-03-01

    The existing topological insulator materials (TIs) continue to present a number of challenges to complete understanding of the physics of topological spin-helical Dirac surface conduction channels, owing to a relatively large charge conduction in the bulk. One way to reduce the bulk contribution and to increase surface-to-volume ratio is by nanostructuring. Here we report on the synthesis and characterization of Sb2Te3, Bi2Te3 nanowires and nanotubes and Sb2Te3/Bi2Te3 heterojunctions electrochemically grown in porous anodic aluminum oxide (AAO) membranes with varied (from 50 to 150 nm) pore diameters. Stoichiometric rigid polycrystalline nanowires with controllable cross-sections were obtained using cell voltages in the 30 - 150 mV range. Transport measurements in up to 14 T magnetic fields applied along the nanowires show Aharonov-Bohm (A-B) quantum oscillations with periods corresponding to the nanowire diameters. All nanowires were found to exhibit sharp weak anti-localization (WAL) cusps, a characteristic signature of TIs. In addition to A-B oscillations, new quantization plateaus in magnetoresistance (MR) at low fields (< 0 . 7T) were observed. The analysis of MR as well as I - V characteristics of heterojunctions will be presented. Supported in part by NSF-DMR-1122594, NSF-DMR-1312483-MWN, and DOD-W911NF-13-1-0159.

  10. The preparation and cathodoluminescence of ZnS nanowires grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Huang, Meng-Wen; Cheng, Yin-Wei; Pan, Ko-Ying; Chang, Chen-Chuan; Shieu, F.S.; Shih, Han C.

    2012-01-01

    Highlights: ► ZnS nanowires have been achieved by thermal evaporation. ► The nanowires were 20–50 nm in diameter and up to tens of nanometers in length. ► Single-crystalline wurtzite and sphalerite ZnS phase are coexist in the nanowires. ► The ZnS nanowires showed almost identical blue luminescence at room temperature. ► ZnS nanowires may be appropriate for use in UV/blue LED phosphor materials. - Abstract: Single crystal ZnS nanowires were successfully synthesized in large quantities on Si (1 0 0) substrates by simple thermal chemical vapor deposition without using any catalyst. The morphology, composition, and crystal structure were characterized by field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM), energy-dispersive X-ray spectroscopy (EDX), X-ray photoelectron spectroscopy (XPS), and cathodoluminescence (CL) spectroscopy. SEM observations show that the nanowires have diameters about 20–50 nm and lengths up to several tens of micrometers. XRD and TEM results confirmed that the nanowires exhibited both wurtzite and zinc blende structures with growth directions aligned along [0 0 0 2] and [1 1 1], respectively. The CL spectrum revealed emission bands in the UV and blue regions. The blue emissions at 449 and ∼581 nm were attributed to surface states and impurity-related defects of the nanowires, respectively. The perfect crystal structure of the nanowires indicates their potential applications in nanotechnology and in the fabrication of nanodevices.

  11. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  12. Silicon nanowire-based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Stelzner, Th; Pietsch, M; Andrae, G; Falk, F; Ose, E; Christiansen, S [Institute of Photonic Technology, Albert-Einstein-Strasse 9, D-07745 Jena (Germany)], E-mail: thomas.stelzner@ipht-jena.de

    2008-07-23

    The fabrication of silicon nanowire-based solar cells on silicon wafers and on multicrystalline silicon thin films on glass is described. The nanowires show a strong broadband optical absorption, which makes them an interesting candidate to serve as an absorber in solar cells. The operation of a solar cell is demonstrated with n-doped nanowires grown on a p-doped silicon wafer. From a partially illuminated area of 0.6 cm{sup 2} open-circuit voltages in the range of 230-280 mV and a short-circuit current density of 2 mA cm{sup -2} were obtained.

  13. Silicon nanowire-based solar cells

    International Nuclear Information System (INIS)

    Stelzner, Th; Pietsch, M; Andrae, G; Falk, F; Ose, E; Christiansen, S

    2008-01-01

    The fabrication of silicon nanowire-based solar cells on silicon wafers and on multicrystalline silicon thin films on glass is described. The nanowires show a strong broadband optical absorption, which makes them an interesting candidate to serve as an absorber in solar cells. The operation of a solar cell is demonstrated with n-doped nanowires grown on a p-doped silicon wafer. From a partially illuminated area of 0.6 cm 2 open-circuit voltages in the range of 230-280 mV and a short-circuit current density of 2 mA cm -2 were obtained

  14. Pressure tuning of the optical properties of GaAs nanowires

    NARCIS (Netherlands)

    Zardo, I.; Yazji, S.; Marini, C.; Uccelli, E.; Morral, A.F.I.; Abstreiter, G.; Postorino, P.

    2012-01-01

    The tuning of the optical and electronic properties of semiconductor nanowires can be achieved by crystal phase engineering. Zinc-blende and diamond semiconductors exhibit pressure-induced structural transitions as well as a strong pressure dependence of the band gaps. When reduced to nanoscale

  15. GaAs nanocrystals: Structure and vibrational properties

    International Nuclear Information System (INIS)

    Nayak, J.; Sahu, S.N.; Nozaki, S.

    2006-01-01

    GaAs nanocrystals were grown on indium tin oxide substrate by an electrodeposition technique. Atomic force microscopic measurement indicates an increase in the size of the nanocrystal with decrease in the electrolysis current density accompanied by the change in the shape of the crystallite. Transmission electron microscopic measurements identify the crystallite sizes to be in the range of 10-15 nm and the crystal structure to be orthorhombic. On account of the quantum size effect, the first optical transition was blue shifted with respect to the band gap of the bulk GaAs and the excitonic peak appeared prominent. A localized phonon mode ascribed to certain point defect occurred in the room temperature micro-Raman spectrum

  16. Synthesis and characterization of amorphous SiO{sub 2} nanowires via pulsed laser deposition accompanied by N{sub 2} annealing

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hui; Guan, Leilei; Xu, Zhuoqi; Zhao, Yu; Sun, Jian; Wu, Jiada; Xu, Ning, E-mail: ningxu@fudan.edu.cn

    2016-12-15

    Highlights: • The SiO{sub 2} nanowires were synthesized by PLD accompanied by N{sub 2} annealing. • The as-grown SiO{sub 2} nanowires were analyzed by HRTEM, SAED and EDS. • The grown SiO{sub 2} nanowire films are transparent in the range of 350–800 nm. • The SiO{sub 2} nanowire films can emit stable ultraviolet emission. - Abstract: Amorphous SiO{sub 2} nanowires are successfully fabricated on fused silica substrates covered by nickel/carbon catalyst bilayers via a method of pulsed laser deposition accompanied by annealing in ambient N{sub 2}. The field emission scanning electron microscopy images show that the optimum annealing temperature for the growth of SiO{sub 2} nanowires is about 1200 °C and the grown SiO{sub 2} nanowires become denser, longer and more uniform with the increment of annealing duration. The results of transmission electron microscopy and high-resolution transmission electron microscopy show that the grown nanowires are amorphous and have dark spheres on their tops. The analyses of energy dispersive X-ray spectroscopy reveal that the nanowires are composed of SiO{sub 2} and the dark spheres on their tops contain little nickel. It is inferred that nickel, carbon and CO are the key elements to promote the SiO{sub 2} nanowire growth in the solid-liquid-solid mode. Transmission spectra demonstrate that the as-grown nanowire thin films can have about 94% average transmittance in the range of 350–800 nm, meanwhile the photoluminescence spectra of the as-grown SiO{sub 2} nanowire samples show stable ultraviolet emission centered at about 363 nm with a shoulder at about 393 nm.

  17. Effective mass approximation versus full atomistic model to calculate the output characteristics of a gate-all-around germanium nanowire field effect transistor (GAA-GeNW-FET)

    Science.gov (United States)

    Bayani, Amir Hossein; Voves, Jan; Dideban, Daryoosh

    2018-01-01

    Here, we compare the output characteristics of a gate-all-around germanium nanowire field effect transistor (GAA-GeNW-FET) with 2.36 nm2 square cross-section area using tight-binding (TB) sp3d5s∗ model (full atomistic model (FAM)) and effective mass approximation (EMA). Synopsys/QuantumWise Atomistix ToolKit (ATK) and Silvaco Atlas3D are used to consider the TB model and EMA, respectively. Results show that EMA predicted only one quantum state (QS) for quantum transport, whereas FAM predicted three QSs. A cosine function behavior is obtained by both methods for the first quantum state. The calculated bandgap value by EMA is almost twice smaller than that of the FAM. Also, a fluctuating current is predicted by both methods but in different oscillation values.

  18. Rare earth silicide nanowires on silicon surfaces

    International Nuclear Information System (INIS)

    Wanke, Martina

    2008-01-01

    The growth, structure and electronic properties of rare earth silicide nanowires are investigated on planar and vicinal Si(001) und Si(111) surfaces with scanning tunneling microscopy (STM), low energy electron diffraction (LEED) and angle-resolved photoelectron spectroscopy (ARPES). On all surfaces investigated within this work hexagonal disilicides are grown epitaxially with a lattice mismatch of -2.55% up to +0.83% along the hexagonal a-axis. Along the hexagonal c-axis the lattice mismatch is essentially larger with 6.5%. On the Si(001)2 x 1 surface two types of nanowires are grown epitaxially. The socalled broad wires show a one-dimensional metallic valence band structure with states crossing the Fermi level. Along the nanowires two strongly dispersing states at the anti J point and a strongly dispersing state at the anti Γ point can be observed. Along the thin nanowires dispersing states could not be observed. Merely in the direction perpendicular to the wires an intensity variation could be observed, which corresponds to the observed spacial structure of the thin nanowires. The electronic properties of the broad erbium silicide nanowires are very similar to the broad dysprosium silicide nanowires. The electronic properties of the DySi 2 -monolayer and the Dy 3 Si 5 -multilayer on the Si(111) surface are investigated in comparison to the known ErSi 2 /Si(111) and Er 3 Si 5 /Si(111) system. The positions and the energetic locations of the observed band in the surface Brillouin zone will be confirmed for dysprosium. The shape of the electron pockets in the vector k parallel space is elliptical at the anti M points, while the hole pocket at the anti Γ point is showing a hexagonal symmetry. On the Si(557) surface the structural and electronic properties depend strongly on the different preparation conditions likewise, in particular on the rare earth coverage. At submonolayer coverage the thin nanowires grow in wide areas of the sample surface, which are oriented

  19. Water Splitting over Epitaxially Grown InGaN Nanowires on-Metallic Titanium/Silicon Template: Reduced Interfacial Transfer Resistance and Improved Stability

    KAUST Repository

    Ebaid, Mohamed

    2018-03-09

    Water splitting using InGaN-based photocatalysts may have a great contribution in future renewable energy production systems. Among the most important parameters to solve are those related to substrate lattice-matching compatibility. Here, we directly grow InGaN nanowires (NWs) on a metallic Ti/Si template, for improving water splitting performance compared to a bare Si substrate. The open circuit potential of the epitaxially grown InGaN NWs on metallic Ti was almost two times that of those grown on Si substrate. The interfacial transfer resistance was also reduced significantly after introducing the metallic Ti interlayer. An applied-bias-photon-to-current conversion efficiency of 2.2% and almost unity Faradic efficiency for hydrogen generation were achieved using this approach. The InGaN NWs grown on Ti showed improved stability of hydrogen generation under continuous operation conditions, when compared to those grown on Si, emphasizing the role of the semiconductor-on-metal approach in enhancing the overall efficiency of water splitting catalysts.

  20. Coherent Charge Transport in Ballistic InSb Nanowire Josephson Junctions

    Science.gov (United States)

    Li, S.; Kang, N.; Fan, D. X.; Wang, L. B.; Huang, Y. Q.; Caroff, P.; Xu, H. Q.

    2016-01-01

    Hybrid InSb nanowire-superconductor devices are promising for investigating Majorana modes and topological quantum computation in solid-state devices. An experimental realisation of ballistic, phase-coherent superconductor-nanowire hybrid devices is a necessary step towards engineering topological superconducting electronics. Here, we report on a low-temperature transport study of Josephson junction devices fabricated from InSb nanowires grown by molecular-beam epitaxy and provide a clear evidence for phase-coherent, ballistic charge transport through the nanowires in the junctions. We demonstrate that our devices show gate-tunable proximity-induced supercurrent and clear signatures of multiple Andreev reflections in the differential conductance, indicating phase-coherent transport within the junctions. We also observe periodic modulations of the critical current that can be associated with the Fabry-Pérot interference in the nanowires in the ballistic transport regime. Our work shows that the InSb nanowires grown by molecular-beam epitaxy are of excellent material quality and hybrid superconducting devices made from these nanowires are highly desirable for investigation of the novel physics in topological states of matter and for applications in topological quantum electronics. PMID:27102689

  1. Growth and characterization of bismuth telluride nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Picht, Oliver

    2010-05-26

    Polycrystalline Bi{sub 2}Te{sub 3} nanowires are electrochemically grown in ion track-etched polycarbonate membranes. Potentiostatic growth is demonstrated in templates of various thicknesses ranging from 10 to 100 {mu}m. The smallest observed nanowire diameters are 20 nm in thin membranes and approx. 140-180 nm in thicker membranes. The influence of the various deposition parameters on the nanowire growth rate is presented. Slower growth rates are attained by selective change of deposition potentials and lower temperatures. Nanowires synthesized at slower growth rates have shown to possess a higher degree of crystalline order and smoother surface contours. With respect to structural properties, X-ray diffraction and transmission electron microscopy verified the growth of Bi{sub 2}Te{sub 3} and evidenced the stability of specific properties, e.g. grain size or preferential orientation, with regard to variations in the deposition conditions. The interdependency of the fabrication parameters, i.e. temperature, deposition potential and nanochannel diameters, is demonstrated for wires grown in 30 {mu}m thick membranes. It is visible from diffraction analysis that texture is tunable by the growth conditions but depends also on the size of the nanochannels in the template. Both (015) and (110) reflexes are observed for the nanowire arrays. Energy dispersive X-ray analysis further points out that variation of nanochannel size could lead to a change in elemental composition of the nanowires. (orig.)

  2. Growth and characterization of bismuth telluride nanowires

    International Nuclear Information System (INIS)

    Picht, Oliver

    2010-01-01

    Polycrystalline Bi 2 Te 3 nanowires are electrochemically grown in ion track-etched polycarbonate membranes. Potentiostatic growth is demonstrated in templates of various thicknesses ranging from 10 to 100 μm. The smallest observed nanowire diameters are 20 nm in thin membranes and approx. 140-180 nm in thicker membranes. The influence of the various deposition parameters on the nanowire growth rate is presented. Slower growth rates are attained by selective change of deposition potentials and lower temperatures. Nanowires synthesized at slower growth rates have shown to possess a higher degree of crystalline order and smoother surface contours. With respect to structural properties, X-ray diffraction and transmission electron microscopy verified the growth of Bi 2 Te 3 and evidenced the stability of specific properties, e.g. grain size or preferential orientation, with regard to variations in the deposition conditions. The interdependency of the fabrication parameters, i.e. temperature, deposition potential and nanochannel diameters, is demonstrated for wires grown in 30 μm thick membranes. It is visible from diffraction analysis that texture is tunable by the growth conditions but depends also on the size of the nanochannels in the template. Both (015) and (110) reflexes are observed for the nanowire arrays. Energy dispersive X-ray analysis further points out that variation of nanochannel size could lead to a change in elemental composition of the nanowires. (orig.)

  3. Direct Growth of High-Quality InP Layers on GaAs Substrates by MOCVD

    Directory of Open Access Journals (Sweden)

    K. F. Yarn

    2003-01-01

    group V partial pressure, growth rate and V/III ratios. A mirror-like, uniform surface and high crystal quality of the metamorphic buffer layer directly grown on a GaAs substrate can be achieved. Finally, to investigate the performance of the metamorphic microwave devices, we also fabricate the InAlAs/InGaAs metamorphic HEMT on GaAs substrates.

  4. Tree-like SnO2 nanowires and optical properties

    International Nuclear Information System (INIS)

    Tao Tao; Chen Qiyuan; Hu Huiping; Chen Ying

    2011-01-01

    Research highlights: → Tree-like SnO 2 nanowires can be grown as low as 1100 deg. C by a vapour-solid process using a milled SnO 2 powder as the evaporation source. → FT-IR and PL measurements have shown that the tree-like nanostructures lead to superb physical properties. → The PL spectrum of such tree-like nanowires exhibits a strong PL peak at 548 nm. - Abstract: Tree-like SnO 2 nanowires have been grown by a vapor-solid process using a milled SnO 2 powder as the evaporation source. Phase, structural evolution and chemical composition were investigated using X-ray diffraction (XRD), X-ray spectrometry (EDS), and scanning electron microscopy (SEM). The process yields a large proportion of ultra-long rutile nanowires of 50-150 nm diameter and lengths up to several tens of micrometers. High-resolution transmission electron microscopy (HRTEM) shows that the SnO 2 nanowires are single crystals in the (1 0 1) growth direction with scattered smaller crystals or nanowires as the tree branches. The SnO 2 nanostructures were also examined using Fourier transform infra-red (FT-IR) and photoluminescence (PL) spectroscopy. A strong emission band centered at 548 nm dominated the PL spectrum of the tree-like nanowires.

  5. Solution-grown silicon nanowires for lithium-ion battery anodes.

    Science.gov (United States)

    Chan, Candace K; Patel, Reken N; O'Connell, Michael J; Korgel, Brian A; Cui, Yi

    2010-03-23

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires using the pyrolysis of sugar was found to be crucial for making good electronic contact to the material. Using multiwalled carbon nanotubes as the conducting additive was found to be more effective for obtaining good cycling behavior than using amorphous carbon. Reversible capacities of 1500 mAh/g were observed for 30 cycles.

  6. Solution-Grown Silicon Nanowires for Lithium-Ion Battery Anodes

    KAUST Repository

    Chan, Candace K.

    2010-03-23

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires using the pyrolysis of sugar was found to be crucial for making good electronic contact to the material. Using multiwalled carbon nanotubes as the conducting additive was found to be more effective for obtaining good cycling behavior than using amorphous carbon. Reversible capacities of 1500 mAh/g were observed for 30 cycles. © 2010 American Chemical Society.

  7. Optical characterization of InAs quantum wells and dots grown radially on wurtzite InP nanowires

    International Nuclear Information System (INIS)

    Lindgren, David; Kawaguchi, Kenichi; Heurlin, Magnus; Borgström, Magnus T; Pistol, Mats-Erik; Samuelson, Lars; Gustafsson, Anders

    2013-01-01

    Correlated micro-photoluminescence (μPL) and cathodoluminescence (CL) measurements are reported for single core–shell InP–InAs wurtzite nanowires grown using metal–organic vapor phase epitaxy. Samples covering a radial InAs shell thickness of 1–12 ML were investigated. The effective masses for the wurtzite material were determined from the transition energy dependence of the InAs shell thickness, using a model based on linear deformation potential theory. InP cores with segments of mixed zincblende and wurtzite, on which quantum dots nucleated selectively, were also investigated. Narrow peaks were observed by μPL and the spatial origin of the emission was identified with CL imaging. (paper)

  8. Oriented epitaxial TiO2 nanowires for water splitting

    Science.gov (United States)

    Hou, Wenting; Cortez, Pablo; Wuhrer, Richard; Macartney, Sam; Bozhilov, Krassimir N.; Liu, Rong; Sheppard, Leigh R.; Kisailus, David

    2017-06-01

    Highly oriented epitaxial rutile titanium dioxide (TiO2) nanowire arrays have been hydrothermally grown on polycrystalline TiO2 templates with their orientation dependent on the underlying TiO2 grain. Both the diameter and areal density of the nanowires were tuned by controlling the precursor concentration, and the template surface energy and roughness. Nanowire tip sharpness was influenced by precursor solubility and diffusivity. A new secondary ion mass spectrometer technique has been developed to install additional nucleation sites in single crystal TiO2 templates and the effect on nanowire growth was probed. Using the acquired TiO2 nanowire synthesis knowhow, an assortment of nanowire arrays were installed upon the surface of undoped TiO2 photo-electrodes and assessed for their photo-electrochemical water splitting performance. The key result obtained was that the presence of short and dispersed nanowire arrays significantly improved the photocurrent when the illumination intensity was increased from 100 to 200 mW cm-2. This is attributed to the alignment of the homoepitaxially grown nanowires to the [001] direction, which provides the fastest charge transport in TiO2 and an improved pathway for photo-holes to find water molecules and undertake oxidation. This result lays a foundation for achieving efficient water splitting under conditions of concentrated solar illumination.

  9. High microwave performance ion-implanted GaAs MESFETs on InP substrates

    International Nuclear Information System (INIS)

    Wada, M.; Kato, K.

    1990-01-01

    Ion implantation was employed, for the first time, in fabricating GaAs MESFETs in undoped 2 μm thick GaAs epitaxial layers directly grown on InP substrates by low-pressure MOVPE. The Si-ion-implanted GaAs layer on InP substrates showed excellent electrical characteristics: a mobility of 4300 cm 2 /Vs with a carrier density of 2 x 10 17 cm -3 at room temperature. The MESFET (0.8 μm gate length) exhibited a current-gain cutoff frequency of 25 GHz and a maximum frequency of oscillation of 53 GHz, the highest values yet reported to GaAs MESFETs on InP substrates. These results demonstrate the high potential of ion-implanted MESFETs as electronic devices for high-speed InP-based OEICs. (author)

  10. Permanent bending and alignment of ZnO nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Borschel, Christian; Spindler, Susann; Oertel, Michael; Ronning, Carsten [Institut fuer Festkoerperphysik, Friedrich-Schiller-Universitaet Jena, Max-Wien-Platz 1, 07743 Jena (Germany); Lerose, Damiana [MPI fuer Mikrostrukturphysik, Weinberg 2, 06120 Halle/Saale (Germany); Institut fuer Photonische Technologien, Albert-Einstein-Strasse 9, 07745 Jena (Germany); Bochmann, Arne [Institut fuer Photonische Technologien, Albert-Einstein-Strasse 9, 07745 Jena (Germany); Christiansen, Silke H. [Institut fuer Photonische Technologien, Albert-Einstein-Strasse 9, 07745 Jena (Germany); MPI fuer die Physik des Lichts, Guenther-Scharowsky-Str. 1, 91058 Erlangen (Germany); Nietzsche, Sandor [Zentrum fuer Elektronenmikroskopie, Friedrich-Schiller-Universitaet Jena, Ziegelmuehlenweg 1, 07743 Jena (Germany)

    2011-07-01

    Ion beams can be used to bend or re-align nanowires permanently, after they have been grown. We have irradiated ZnO nanowires with ions of different species and energy, achieving bending and alignment in various directions. We study the bending of single nanowires as well as the simultaneous alignment of large ensembles of ZnO nanowires in detail. Computer simulations show that the bending is initiated by ion beam induced damage. Dislocations are identified to relax stresses and make the bending and alignment permanent and resistant against annealing procedures.

  11. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    International Nuclear Information System (INIS)

    Leonhardt, Darin; Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S.; Han, Sang M.

    2010-01-01

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10 7 /cm 2 in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H 2 O 2 and H 2 SO 4 . Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10 11 /cm 2 . Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO 2 in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10 7 /cm 2 . Additionally, coalescence results in films of 3 μm thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H 2 O 2 results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO 2 interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the subsequent growth morphology of GaAs deposited by metal-organic chemical vapor

  12. MgxZn1-xO(0≤x<0.2) nanowire arrays on sapphire grown by high-pressure pulsed-laser deposition

    International Nuclear Information System (INIS)

    Lorenz, M.; Kaidashev, E.M.; Rahm, A.; Nobis, Th.; Lenzner, J.; Wagner, G.; Spemann, D.; Hochmuth, H.; Grundmann, M.

    2005-01-01

    Mg x Zn 1-x O nanowires with Mg-content x from 0 to 0.2 have been grown by high-pressure pulsed-laser deposition (PLD) on gold-covered sapphire single crystals. The PLD process allows for a unique wide-range control of morphology, diameter, and composition of the Mg x Zn 1-x O nanowires. The diameter of single ZnO wires could be varied between about 50 and 3000 nm, and the Mg content x of Mg x Zn 1-x O wire arrays was controlled via the PLD gas pressure. The microscopic homogeneity of Mg content is displayed by cathodoluminescence (CL) imaging of the excitonic peak energy. The fluctuation of CL peak energy between individual wires is about an order of magnitude smaller than the alloy broadening

  13. Electrodeposited highly-ordered manganese oxide nanowire arrays for supercapacitors

    Science.gov (United States)

    Liu, Haifeng; Lu, Bingqiang; Wei, Shuiqiang; Bao, Mi; Wen, Yanxuan; Wang, Fan

    2012-07-01

    Large arrays of well-aligned Mn oxide nanowires were prepared by electrodeposition using anodic aluminum oxide templates. The sizes of nanowires were tuned by varying the electrotype solution involved and the MnO2 nanowires with 10 μm in length were obtained in a neutral KMnO4 bath for 1 h. MnO2 nanowire arrays grown on conductor substance save the tedious electrode-making process, and electrochemical characterization demonstrates that the MnO2 nanowire arrays electrode has good capacitive behavior. Due to the limited mass transportation in narrow spacing, the spacing effects between the neighbor nanowires have show great influence to the electrochemical performance.

  14. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  15. Electron Transport Properties of Ge nanowires

    Science.gov (United States)

    Hanrath, Tobias; Khondaker, Saiful I.; Yao, Zhen; Korgel, Brian A.

    2003-03-01

    Electron Transport Properties of Ge nanowires Tobias Hanrath*, Saiful I. Khondaker, Zhen Yao, Brian A. Korgel* *Dept. of Chemical Engineering, Dept. of Physics, Texas Materials Institute, and Center for Nano- and Molecular Science and Technology University of Texas at Austin, Austin, Texas 78712-1062 e-mail: korgel@mail.che.utexas.edu Germanium (Ge) nanowires with diameters ranging from 6 to 50 nm and several micrometer in length were grown via a supercritical fluid-liquid-solid synthesis. Parallel electron energy loss spectroscopy (PEELS) was employed to study the band structure and electron density in the Ge nanowires. The observed increase in plasmon peak energy and peak width with decreasing nanowire diameter is attributed to quantum confinement effects. For electrical characterization, Ge nanowires were deposited onto a patterned Si/SiO2 substrate. E-beam lithography was then used to form electrode contacts to individual nanowires. The influence of nanowire diameter, surface chemistry and crystallographic defects on electron transport properties were investigated and the comparison of Ge nanowire conductivity with respect to bulk, intrinsic Ge will be presented.

  16. Structural and tunneling properties of Si nanowires

    KAUST Repository

    Montes Muñoz, Enrique

    2013-12-06

    We investigate the electronic structure and electron transport properties of Si nanowires attached to Au electrodes from first principles using density functional theory and the nonequilibrium Green\\'s function method. We systematically study the dependence of the transport properties on the diameter of the nanowires, on the growth direction, and on the length. At the equilibrium Au-nanowire distance we find strong electronic coupling between the electrodes and nanowires, which results in a low contact resistance. With increasing nanowire length we study the transition from metallic to tunneling conductance for small applied bias. For the tunneling regime we investigate the decay of the conductance with the nanowire length and rationalize the results using the complex band structure of the pristine nanowires. The conductance is found to depend strongly on the growth direction, with nanowires grown along the ⟨110⟩ direction showing the smallest decay with length and the largest conductance and current.

  17. Structural and tunneling properties of Si nanowires

    KAUST Repository

    Montes Muñ oz, Enrique; Gkionis, Konstantinos; Rungger, Ivan; Sanvito, Stefano; Schwingenschlö gl, Udo

    2013-01-01

    We investigate the electronic structure and electron transport properties of Si nanowires attached to Au electrodes from first principles using density functional theory and the nonequilibrium Green's function method. We systematically study the dependence of the transport properties on the diameter of the nanowires, on the growth direction, and on the length. At the equilibrium Au-nanowire distance we find strong electronic coupling between the electrodes and nanowires, which results in a low contact resistance. With increasing nanowire length we study the transition from metallic to tunneling conductance for small applied bias. For the tunneling regime we investigate the decay of the conductance with the nanowire length and rationalize the results using the complex band structure of the pristine nanowires. The conductance is found to depend strongly on the growth direction, with nanowires grown along the ⟨110⟩ direction showing the smallest decay with length and the largest conductance and current.

  18. Evolution of the optical transitions in AlxGa1-xAs/GaAs quantum well structures grown on GaAs buffers with different surface treatments by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Mejia-Garcia, C.; Caballero-Rosas, A.; Lopez-Lopez, M.; Winter, A.; Pascher, H.; Lopez-Lopez, J.L.

    2010-01-01

    Al 0.3 Ga 0.7 As/GaAs Quantum Well structures were grown by molecular beam epitaxy (MBE) on a 500 nm thick GaAs buffer layer subjected to the following surface processes: a) in-situ Cl 2 etching at 70 o C and 200 o C, b) air-exposure for 30 min. The characteristics of these samples were compared to those of a continuously grown sample with no processing (control sample). We obtained the quantum wells energy transitions using photoreflectance spectroscopy as a function of the temperature (8-300 K), in the range of 1.2 to 2.1 eV. The sample etched at 200 o C shows a larger intensity of the quantum well peaks in comparison to the others samples. We studied the temperature dependence of the excitonic energies in the quantum wells (QWs) as well as in GaAs using three different models; the first one proposed by Varshni [4], the second one by Vina et al. [5], and the third one by Paessler and Oelgart [6]. The Paessler model presents the best fitting to the experimental data.

  19. Measurement and simulation of anisotropic magnetoresistance in single GaAs/MnAs core/shell nanowires

    International Nuclear Information System (INIS)

    Liang, J.; Wang, J.; Cooley, B. J.; Rench, D. W.; Samarth, N.; Paul, A.; Dellas, N. S.; Mohney, S. E.; Engel-Herbert, R.

    2012-01-01

    We report four probe measurements of the low field magnetoresistance (MR) in single core/shell GaAs/MnAs nanowires (NWs) synthesized by molecular beam epitaxy, demonstrating clear signatures of anisotropic magnetoresistance that track the field-dependent magnetization. A comparison with micromagnetic simulations reveals that the principal characteristics of the magnetoresistance data can be unambiguously attributed to the nanowire segments with a zinc blende GaAs core. The direct correlation between magnetoresistance, magnetization, and crystal structure provides a powerful means of characterizing individual hybrid ferromagnet/semiconductor nanostructures.

  20. Photoacoustic study of the effect of doping concentration on the transport properties of GaAs epitaxial layers

    NARCIS (Netherlands)

    George, S.D.; Dilna, S.; Prasanth, R.; Radhakrishnan, P.; Vallabhan, C.P.G.; Nampoori, V.P.N.

    2003-01-01

    We report a photoacoustic (PA) study of the thermal and transport properties of a GaAs epitaxial layer doped with Si at varying doping concentration, grown on GaAs substrate by molecular beam epitaxy. The data are analyzed on the basis of Rosencwaig and Gersho's theory of the PA effect. The

  1. Visual Understanding of Light Absorption and Waveguiding in Standing Nanowires with 3D Fluorescence Confocal Microscopy.

    Science.gov (United States)

    Frederiksen, Rune; Tutuncuoglu, Gozde; Matteini, Federico; Martinez, Karen L; Fontcuberta I Morral, Anna; Alarcon-Llado, Esther

    2017-09-20

    Semiconductor nanowires are promising building blocks for next-generation photonics. Indirect proofs of large absorption cross sections have been reported in nanostructures with subwavelength diameters, an effect that is even more prominent in vertically standing nanowires. In this work we provide a three-dimensional map of the light around vertical GaAs nanowires standing on a substrate by using fluorescence confocal microscopy, where the strong long-range disruption of the light path along the nanowire is illustrated. We find that the actual long-distance perturbation is much larger in size than calculated extinction cross sections. While the size of the perturbation remains similar, the intensity of the interaction changes dramatically over the visible spectrum. Numerical simulations allow us to distinguish the effects of scattering and absorption in the nanowire leading to these phenomena. This work provides a visual understanding of light absorption in semiconductor nanowire structures, which is of high interest for solar energy conversion applications.

  2. Structural characterization of GaAs self-assembled quantum dots grown by Droplet Epitaxy on Ge virtual substrates on Si

    International Nuclear Information System (INIS)

    Frigeri, C.; Bietti, S.; Isella, G.; Sanguinetti, S.

    2013-01-01

    The structure of self-assembled quantum dots (QDs) grown by Droplet Epitaxy on Ge virtual substrates has been investigated by TEM. The QDs have a pyramidal shape with base and height of 50 nm. By (0 0 2) dark field TEM it was seen that the pyramid top is Ga poor and Al rich most likely because of the higher mobility of Ga along the pyramid sides down to the base. The investigated QDs contain defects identified as As precipitates by Moirè fringes. The smallest ones (3–5 nm) are coherent with the GaAs lattice suggesting that they could be a cubic phase of As precipitation. It seems to be a metastable phase since the hexagonal phase is recovered as the precipitate size increases above ∼5 nm.

  3. Photoluminescence and Band Alignment of Strained GaAsSb/GaAs QW Structures Grown by MBE on GaAs

    Directory of Open Access Journals (Sweden)

    Nigamananda Samal

    2010-02-01

    Full Text Available An in-depth optimization of growth conditions and investigation of optical properties including discussions on band alignment of GaAsSb/GaAs quantum well (QW on GaAs by molecular beam epitaxy (MBE are reported. Optimal MBE growth temperature of GaAsSb QW is found to be 470 ± 10 °C. GaAsSb/GaAs QW with Sb content ~0.36 has a weak type-II band alignment with valence band offset ratio QV ~1.06. A full width at half maximum (FWHM of ~60 meV in room temperature (RT photoluminescence (PL indicates fluctuation in electrostatic potential to be less than 20 meV. Samples grown under optimal conditions do not exhibit any blue shift of peak in RT PL spectra under varying excitation.

  4. Radiation Effects in III-V Nanowire Devices

    Science.gov (United States)

    2016-09-01

    fabrication of an in-plane nanowire (NW) GaAs metal-oxide-semiconductor field- effect transistor (MOSFET) by focused -ion beam (FIB) etching and chemical...8725 John J. Kingman Road, MS 6201 Fort Belvoir, VA 22060-6201 T E C H N IC A L R E P O R T DTRA-TR-16-94 Radiation Effects in III-V...5f. WORK UNIT NUMBER 7. PERFORMING ORGANIZATION NAME(S) AND ADDRESS(ES) 8. PERFORMING ORGANIZATION REPORT NUMBER 9. SPONSORING / MONITORING AGENCY

  5. Excitation power dependence of photoluminescence spectra of GaSb type-II quantum dots in GaAs grown by droplet epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawazu, T., E-mail: KAWAZU.Takuya@nims.go.jp; Noda, T.; Sakuma, Y. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Sakaki, H. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Toyota Technological Institute, 2-12-1 Hisakata, Tempaku-ku, Nagoya 468-8511 (Japan)

    2016-04-15

    We investigated the excitation power P dependence of photoluminescence (PL) spectra of GaSb type-II quantum dots (QDs) in GaAs grown by droplet epitaxy. We prepared two QD samples annealed at slightly different temperatures (380 {sup o}C and 400 {sup o}C) and carried out PL measurements. The 20 {sup o}C increase of the annealing temperature leads to (1) about 140 and 60 times stronger wetting layer (WL) luminescence at low and high P, (2) about 45% large energy shift of QD luminescence with P, and (3) the different P dependence of the PL intensity ratio between the QD and the WL. These differences of the PL characteristics are explained by the effects of the WL.

  6. Rare earth silicide nanowires on silicon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wanke, Martina

    2008-11-10

    The growth, structure and electronic properties of rare earth silicide nanowires are investigated on planar and vicinal Si(001) und Si(111) surfaces with scanning tunneling microscopy (STM), low energy electron diffraction (LEED) and angle-resolved photoelectron spectroscopy (ARPES). On all surfaces investigated within this work hexagonal disilicides are grown epitaxially with a lattice mismatch of -2.55% up to +0.83% along the hexagonal a-axis. Along the hexagonal c-axis the lattice mismatch is essentially larger with 6.5%. On the Si(001)2 x 1 surface two types of nanowires are grown epitaxially. The socalled broad wires show a one-dimensional metallic valence band structure with states crossing the Fermi level. Along the nanowires two strongly dispersing states at the anti J point and a strongly dispersing state at the anti {gamma} point can be observed. Along the thin nanowires dispersing states could not be observed. Merely in the direction perpendicular to the wires an intensity variation could be observed, which corresponds to the observed spacial structure of the thin nanowires. The electronic properties of the broad erbium silicide nanowires are very similar to the broad dysprosium silicide nanowires. The electronic properties of the DySi{sub 2}-monolayer and the Dy{sub 3}Si{sub 5}-multilayer on the Si(111) surface are investigated in comparison to the known ErSi{sub 2}/Si(111) and Er{sub 3}Si{sub 5}/Si(111) system. The positions and the energetic locations of the observed band in the surface Brillouin zone will be confirmed for dysprosium. The shape of the electron pockets in the (vector)k {sub parallel} space is elliptical at the anti M points, while the hole pocket at the anti {gamma} point is showing a hexagonal symmetry. On the Si(557) surface the structural and electronic properties depend strongly on the different preparation conditions likewise, in particular on the rare earth coverage. At submonolayer coverage the thin nanowires grow in wide areas

  7. Electric characterization of GaAs deposited on porous silicon by electrodeposition technique

    International Nuclear Information System (INIS)

    Lajnef, M.; Chtourou, R.; Ezzaouia, H.

    2010-01-01

    GaAs thin films were synthesized on porous Si substrate by the electrodeposition technique. The X-ray diffraction studies showed that the as-grown films were crystallised in mixed phase nature orthorhombic and cubic of GaAs. The GaAs film was then electrically characterized using current-voltage (I-V) and capacitance-voltage (C-V) techniques by the way of Al/GaAs Schottky junctions. The electric analysis allowed us to determine the n factor and the barrier height φ b0 parameters of Al/GaAs Schottky junctions. The (C-V) characteristics were recorded at frequency signal 1 MHz in order to identify the effect of the surface states on the behaviour of the capacitance of the device.

  8. Pyrolytically grown indium sulfide sensitized zinc oxide nanowires for solar water splitting

    Energy Technology Data Exchange (ETDEWEB)

    Komurcu, Pelin; Can, Emre Kaan; Aydin, Erkan; Semiz, Levent [Micro and Nanotechnology Graduate Program, TOBB University of Economics and Technology, 06560 Ankara (Turkey); Gurol, Alp Eren; Alkan, Fatma Merve [Department of Materials Science and Nanotechnology Engineering, TOBB University of Economics and Technology, 06560 Ankara (Turkey); Sankir, Mehmet; Sankir, Nurdan Demirci [Micro and Nanotechnology Graduate Program, TOBB University of Economics and Technology, 06560 Ankara (Turkey); Department of Materials Science and Nanotechnology Engineering, TOBB University of Economics and Technology, 06560 Ankara (Turkey)

    2015-11-15

    Zinc oxide (ZnO) nanowires, sensitized with spray pyrolyzed indium sulfide, were obtained by chemical bath deposition. The XRD analysis indicated dominant evolution of hexagonal ZnO phase. Significant gain in photoelectrochemical current using ZnO nanowires is largely accountable to enhancement of the visible light absorption and the formation of heterostructure. The maximum photoconversion efficiency of 2.77% was calculated for the indium sulfide sensitized ZnO nanowire photoelectrodes. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. The Modulation of Optical Property and its Correlation with Microstructures of ZnO Nanowires

    Directory of Open Access Journals (Sweden)

    Hope Greg

    2009-01-01

    Full Text Available Abstract ZnO nanowires with both good crystallinity and oxygen vacancies defects were synthesized by thermal oxidation of Zn substrate pretreated in concentrated sulfuric acid under the air atmosphere, Ar- and air-mixed gas stream. The photoluminescence spectra reveal that only near-band-edge (NBE emission peak was observed for the sample grown in the air atmosphere; the broad blue–green and the red-shifted NBE emission peaks were observed for the sample grown in the mixed gas stream, indicating that the sample grown in the mixed gas stream has a defective structure and its optical properties can be modulated by controlling its structure. The high-resolution transmission electron microscope and the corresponding structural simulation confirm that the oxygen vacancies exist in the crystal of the nanowires grown in the mixed gas stream. The ZnO nanowires with oxygen vacancies defects exhibit better photocatalytic activity than the nanowires with good crystallinity. The photocatalytic process obeys the rules of first-order kinetic reaction, and the rate constants were calculated.

  10. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States); Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Han, Sang M., E-mail: meister@unm.ed [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States)

    2010-08-31

    subsequent growth morphology of GaAs deposited by metal-organic chemical vapor deposition. Room temperature photoluminescence shows that films of GaAs grown on Ge-on-oxidized Si have an intensity that is 20 to 25% compared to the intensity from GaAs grown on commercial Ge or GaAs substrates. Cathodoluminescence shows that nonradiative defects occur in the GaAs that spatially correspond to the stacking faults terminating at the Ge surface. The exact nature of these nonradiative defects in the GaAs is unknown, however, GaAs grown on annealed samples of Ge-on-oxidized Si, whereby annealing removes the stacking faults, have photoluminescence intensity that is comparable to GaAs grown on a GaAs substrate.

  11. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    Science.gov (United States)

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  12. InP nanowire array solar cell with cleaned sidewalls

    NARCIS (Netherlands)

    Cui, Y.; Plissard, S.; Wang, J.; Vu, T.T.T.; Smalbrugge, E.; Geluk, E.J.; de Vries, T.; Bolk, J.; Trainor, M.J.; Verheijen, M.A.; Haverkort, J.E.M.; Bakkers, E.P.A.M.

    2013-01-01

    We have fabricated InP nanowire array solar cells with an axial p-n junction. Catalyst gold nanoparticles were first patterned into an array by nanoimprint lithography. The nanowire array was grown in 19 minutes by vapor-liquid-solid growth. The sidewalls were in-situ etched by HCl and ex-situ

  13. Photovoltaic device on a single ZnO nanowire p–n homojunction

    International Nuclear Information System (INIS)

    Cho, Hak Dong; Zakirov, Anvar S; Yuldashev, Shavkat U; Kang, Tae Won; Ahn, Chi Won; Yeo, Yung Kee

    2012-01-01

    A photovoltaic device was successfully grown solely based on the single ZnO p–n homojunction nanowire. The ZnO nanowire p–n diode consists of an as-grown n-type segment and an in situ arsenic-doped p-type segment. This p–n homojunction acts as a good photovoltaic cell, producing a photocurrent almost 45 times larger than the dark current under reverse-biased conditions. Our results demonstrate that the present ZnO p–n homojunction nanowire can be used as a self-powered ultraviolet photodetector as well as a photovoltaic cell, which can also be used as an ultralow electrical power source for nanoscale electronic, optoelectronic and medical devices. (paper)

  14. Homojunction p-n photodiodes based on As-doped single ZnO nanowire

    International Nuclear Information System (INIS)

    Cho, H. D.; Zakirov, A. S.; Yuldashev, Sh. U.; Kang, T. W.; Ahn, C. W.; Yeo, Y. K.

    2013-01-01

    Photovoltaic device was successfully grown solely based on the single ZnO p-n homojunction nanowire. The ZnO nanowire p-n diode consists of an as-grown n-type segment and an in-situ arsenic doped p-type segment. This p-n homojunction acts as a good photovoltaic cell, producing a photocurrent almost 45 times larger than the dark current under reverse-biased condition. Our results demonstrate that present ZnO p-n homojunction nanowire can be used as a self-powered ultraviolet photodetector as well as a photovoltaic cell, which can also be used as an ultralow electrical power source for nano-scale electronic, optoelectronic, and medical devices

  15. Synthesis and electrical characterization of tungsten oxide nanowires

    Institute of Scientific and Technical Information of China (English)

    Huang Rui; Zhu Jing; Yu Rong

    2009-01-01

    Tungsten oxide nanowires of diameters ranging from 7 to 200 nm are prepared on a tungsten rod substrate by using the chemical vapour deposition (CVD) method with vapour-solid (VS) mechanism. Tin powders are used to control oxygen concentration in the furnace, thereby assisting the growth of the tungsten oxide nanowires. The grown tungsten oxide nanowires are determined to be of crystalline W18O49. Ⅰ-Ⅴ curves are measured by an in situ transmission electron microscope (TEM) to investigate the electrical properties of the nanowires. All of the Ⅰ-Ⅴ curves observed are symmetric, which reveals that the tungsten oxide nanowires are semiconducting. Quantitative analyses of the experimental I V curves by using a metal-semiconductor-metal (MSM) model give some intrinsic parameters of the tungsten oxide nanowires, such as the carrier concentration, the carrier mobility and the conductivity.

  16. Electric characterization of GaAs deposited on porous silicon by electrodeposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Lajnef, M., E-mail: Mohamed.lajnef@yahoo.fr [Laboratoire de Photovoltaique et de Semi-conducteurs, Centre de Recherche et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia); Chtourou, R.; Ezzaouia, H. [Laboratoire de Photovoltaique et de Semi-conducteurs, Centre de Recherche et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia)

    2010-03-01

    GaAs thin films were synthesized on porous Si substrate by the electrodeposition technique. The X-ray diffraction studies showed that the as-grown films were crystallised in mixed phase nature orthorhombic and cubic of GaAs. The GaAs film was then electrically characterized using current-voltage (I-V) and capacitance-voltage (C-V) techniques by the way of Al/GaAs Schottky junctions. The electric analysis allowed us to determine the n factor and the barrier height {phi}{sub b0} parameters of Al/GaAs Schottky junctions. The (C-V) characteristics were recorded at frequency signal 1 MHz in order to identify the effect of the surface states on the behaviour of the capacitance of the device.

  17. Annealing of proton-damaged GaAs and 1/f noise

    NARCIS (Netherlands)

    Chen, X.Y.; Folter, de L.C.

    1997-01-01

    GaAs layers were grown by MBE. The layers were then damaged by 3 MeV proton irradiation and later annealed. We performed Hall effect and low-frequency noise measurements at temperatures between 77 K and 300 K after each step. Several generation - recombination noise components created by proton

  18. MBE growth and characterization of GaAs1-x Sb x epitaxial layers on Si (0 0 1) substrates

    International Nuclear Information System (INIS)

    Toda, T.; Nishino, F.; Kato, A.; Kambayashi, T.; Jinbo, Y.; Uchitomi, N.

    2006-01-01

    We investigated the growth of GaAs 1- x Sb x (x=1.0, 0.82, 0.69, 0.44, 0.0) layers on Si (0 0 1) substrates using AlSb as a buffer layer. Epilayers were grown as a function of As beam equivalent pressure (BEP) under a constant Sb BEP, and they were then characterized by atomic force microscopy (AFM), X-ray diffraction (XRD), and micro-Raman scattering analysis. We confirmed that GaAs 1- x Sb x layers have been successfully grown on Si substrates by introducing AlSb layers

  19. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  20. Investigation on the Tunable-Length Zinc Oxide Nanowire Arrays for Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Shou-Yi Kuo

    2014-01-01

    Full Text Available We had successfully fabricated ZnO-based nanowires by vapor transport method in the furnace tube. ZnO nanowire arrays grown in 600°C for 30 minutes, 60 minutes, 90 minutes, and 120 minutes had applied to the dye-sensitized solar cells. The dye loading is proportional to the total equivalent surface area of ZnO nanowire arrays in the cells and plays an important role in improving power conversion efficiency. The highest efficiency was observed in DSSC sample with ZnO nanowires grown for 90 minutes, which had the largest equivalent surface area and also the highest dye loading. According to our experimental results, the enhancement in power conversion efficiency is attributed to the higher light harvesting and reduction of carrier recombination. In addition, ZnO nanowires also contribute to the photocurrent in the UV region.

  1. Morphology Controlled Fabrication of InN Nanowires on Brass Substrates

    Directory of Open Access Journals (Sweden)

    Huijie Li

    2016-10-01

    Full Text Available Growth of semiconductor nanowires on cheap metal substrates could pave the way to the large-scale manufacture of low-cost nanowire-based devices. In this work, we demonstrated that high density InN nanowires can be directly grown on brass substrates by metal-organic chemical vapor deposition. It was found that Zn from the brass substrates is the key factor in the formation of nanowires by restricting the lateral growth of InN. The nanowire morphology is highly dependent on the growth temperature. While at a lower growth temperature, the nanowires and the In droplets have large diameters. At the elevated growth temperature, the lateral sizes of the nanowires and the In droplets are much smaller. Moreover, the nanowire diameter can be controlled in situ by varying the temperature in the growth process. This method is very instructive to the diameter-controlled growth of nanowires of other materials.

  2. Prediction of reduced thermal conductivity in nano-engineered rough semiconductor nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Martin, Pierre N; Aksamija, Zlatan; Ravaioli, Umberto [Department of Electrical and Computer Engineering, University of Illinois, Urbana-Champaign, Urbana, IL 61801 (United States); Beckman Institute for Advanced Technology and Science, University of Illinois, Urbana-Champaign, Urbana, IL 61801 (United States); Pop, Eric, E-mail: pmartin7@illinois.ed, E-mail: epop@illinois.ed [Department of Electrical and Computer Engineering, University of Illinois, Urbana-Champaign, Urbana, IL 61801 (United States); Beckman Institute for Advanced Technology and Science, University of Illinois, Urbana-Champaign, Urbana, IL 61801 (United States); Micro- and Nano-Technology Laboratory, University of Illinois, Urbana-Champaign, Urbana, IL 61801 (United States)

    2009-11-15

    We explore phonon decay processes necessary to the design of efficient rough semiconductor nanowire (NW) thermoelectric devices. A novel approach to surface roughness-limited thermal conductivity of Si, Ge, and GaAs NW with diameter D < 500 nm is presented. In particular, a frequency-dependent phonon scattering rate is computed from perturbation theory and related to a description of the surface through the root-mean-square roughness height {Delta} and autocovariance length L. Using a full phonon dispersion relation, the thermal conductivity varies quadratically with diameter and roughness as (D/{Delta}){sup 2}. Computed results are in agreement with experimental data, and predict remarkably low thermal conductivity below 1 W/m/K in rough-etched 56 nm Ge and GaAs NW at room temperature.

  3. Urchin-like artificial gallium oxide nanowires grown by a novel MOCVD/CVD-based route for random laser application

    Energy Technology Data Exchange (ETDEWEB)

    Melo, Ronaldo P. de [Programa de Pós-Graduação em Ciências de Materiais, Universidade Federal de Pernambuco, Recife (Brazil); Colégio Militar do Recife, Exército Brasileiro, Recife PE 50730-120 (Brazil); Oliveira, Nathalia Talita C. [Programa de Pós-Graduação em Ciências de Materiais, Universidade Federal de Pernambuco, Recife (Brazil); Dominguez, Christian Tolentino; Gomes, Anderson S. L.; Araújo, Cid B. de [Departamento de Física, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Falcão, Eduardo H. L.; Alves, Severino; Luz, Leonis L. da [Departamento de Química Fundamental, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Chassagnon, Remi [Laboratoire Interdisciplinaire Carnot de Bourgogne, UMR 6303 CNRS-Université de Bourgogne, 9 Av. A. Savary, BP 47870, 21078 Dijon Cedex (France); Sacilotti, Marco [Departamento de Física, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Nanoform Group, Laboratoire Interdisciplinaire Carnot de Bourgogne, Université de Bourgogne, Dijon (France)

    2016-04-28

    A novel procedure based on a two-step method was developed to obtain β-Ga{sub 2}O{sub 3} nanowires by the chemical vapor deposition (CVD) method. The first step consists in the gallium micro-spheres growth inside a metal-organic chemical vapor deposition environment, using an organometallic precursor. Nanoscale spheres covering the microspheres were obtained. The second step involves the CVD oxidization of the gallium micro-spheres, which allow the formation of β-Ga{sub 2}O{sub 3} nanowires on the micro-sphere surface, with the final result being a nanostructure mimicking nature's sea urchin morphology. The grown nanomaterial is characterized by several techniques, including X-ray diffraction, scanning electron microscopy, energy-dispersive X-ray, transmission electron microscopy, and photoluminescence. A discussion about the growth mechanism and the optical properties of the β-Ga{sub 2}O{sub 3} material is presented considering its unknown true bandgap value (extending from 4.4 to 5.68 eV). As an application, the scattering properties of the nanomaterial are exploited to demonstrate random laser emission (around 570 nm) when it is permeated with a laser dye liquid solution.

  4. P-N junction solar cell grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hazrati Fard, M.

    2001-01-01

    Growth of GaAs epilayers by Molecular Beam Epitaxy was accomplished for the first time in Iran. The layers were grown on GaAs (001) substrates (p+ wafer) with Si impurity for p n junction solar cell fabrication at a rate of nearly one micron per hour and 0.25 micron per quarter. Crystalline quality of grown layers had been monitored during growth by Reflection High Energy Electron Diffraction system. Doping profile and layer thickness was assessed by electrochemical C-V profiling method. Then Hall measurements were conducted on small samples both in room temperature and liquid nitrogen temperature so giving average carrier concentration and compensation ratio. The results as like: V oc , I sc , F F, η were comparable with other laboratory reports. information for obtaining good and repeatable growths was collected. Therefore, the conditions of repeatable quality growth p n junction solar cells onto GaAs (001) substrates were determined

  5. W18O49 nanowires assembled on carbon felt for application to supercapacitors

    Science.gov (United States)

    Jung, Jinjoo; Kim, Do Hyung

    2018-03-01

    For supercapacitor applications, W18O49 nanowires have been extensively grown on graphitic carbon felt using a facile solvothermal method. The diameter and length of the nanowires are about 7 and 300 nm, respectively. The nanowires consist of monoclinic W18O49 grown along the [010] direction, as shown by TEM and XRD analyses. The W18O49 nanowires, assembled on carbon felt, exhibit a high capacity of 588.33 F/g at a current density of 1 A/g together with an excellent cycle performance, and a low internal resistance during the electrochemical tests. This outstanding performance may originate from the three-dimensional porous nanostructure of these W18O49 nanowires, which leads to a reduction in the resistance and fast reaction kinetics due to the high specific surface area and electrolyte accessibility. Furthermore, sufficient oxygen deficiencies of the substoichiometric tungsten oxide can also contribute to the electrochemical activity, which can be confirmed by comparison of CV and EIS data with WO3 nanowires.

  6. The preparation and cathodoluminescence of ZnS nanowires grown by chemical vapor deposition

    Science.gov (United States)

    Huang, Meng-Wen; Cheng, Yin-Wei; Pan, Ko-Ying; Chang, Chen-Chuan; Shieu, F. S.; Shih, Han C.

    2012-11-01

    Single crystal ZnS nanowires were successfully synthesized in large quantities on Si (1 0 0) substrates by simple thermal chemical vapor deposition without using any catalyst. The morphology, composition, and crystal structure were characterized by field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM), energy-dispersive X-ray spectroscopy (EDX), X-ray photoelectron spectroscopy (XPS), and cathodoluminescence (CL) spectroscopy. SEM observations show that the nanowires have diameters about 20-50 nm and lengths up to several tens of micrometers. XRD and TEM results confirmed that the nanowires exhibited both wurtzite and zinc blende structures with growth directions aligned along [0 0 0 2] and [1 1 1], respectively. The CL spectrum revealed emission bands in the UV and blue regions. The blue emissions at 449 and ˜581 nm were attributed to surface states and impurity-related defects of the nanowires, respectively. The perfect crystal structure of the nanowires indicates their potential applications in nanotechnology and in the fabrication of nanodevices.

  7. Fast X-ray detection systems based on GaAs diodes grown by LPE

    International Nuclear Information System (INIS)

    Rente, C.; Lauter, J.; Apetz, R.; Lueth, H.

    1996-01-01

    We report on the fabrication and characterization of GaAs based X-ray detectors. The detector structures are grown by liquid phase epitaxy (LPE) and show typical background doping in the order of 10 14 cm -3 (n-type) so that active regions up to 43 μm could be realized. Schottky diodes were processed with active areas up to 1mm 2 . Typical dark current densities are as low as 360pA/mm 2 at 100V. The energy resolution of the detector in combination with a charge sensitive preamplifier was determined to be 1.6keV (FWHM) for x-rays with an energy between 6 and 60keV. The time response of the devices coupled to a fast transimpedance amplifier with a bandwidth of 100MHz was investigated. Single photon detection at room temperature was achieved for X-rays having energies of 14 keV and higher. The measured time resolutions were 600ps (FWHM=1.4ns) and 430ps (FWHM=1.0ns) for X-ray photons of 14.4keV and 21.5keV, respectively. The efficiency of the detector having a 43μm thick depleted layer was determined to be 70% at 14.4 keV and 40% at 21.5keV. These detectors open a new field of X-ray spectroscopy especially for high rate applications and timing measurements at synchrotron radiation facilities

  8. Si nanoparticle-decorated Si nanowire networks for Li-ion battery anodes

    KAUST Repository

    Hu, Liangbing

    2011-01-01

    We designed and fabricated binder-free, 3D porous silicon nanostructures for Li-ion battery anodes, where Si nanoparticles electrically contact current collectors via vertically grown silicon nanowires. When compared with a Si nanowire anode, the areal capacity was increased by a factor of 4 without having to use long, high temperature steps under vacuum that vapour-liquid-solid Si nanowire growth entails. © 2011 The Royal Society of Chemistry.

  9. Improved seedless hydrothermal synthesis of dense and ultralong ZnO nanowires

    International Nuclear Information System (INIS)

    Tian Jinghua; Hu Jie; Li Sisi; Zhang Fan; Liu Jun; Shi Jian; Li Xin; Chen Yong; Tian Zhongqun

    2011-01-01

    Seedless hydrothermal synthesis has been improved by introducing an adequate content of ammonia into the nutrient solution, allowing the fabrication of dense and ultralong ZnO nanowire arrays over large areas on a substrate. The presence of ammonia in the nutrient solution facilitates the high density nucleation of ZnO on the substrate which is critical for the nanowire growth. In order to achieve an optimal growth, the growth conditions have been studied systematically as a function of ammonia content, growth temperature and incubation time. The effect of polyethyleneimine (PEI) has also been studied but shown to be of no benefit to the nucleation of ZnO. Ultradense and ultralong ZnO nanowires could be obtained under optimal growth conditions, showing no fused structure at the foot of the nanowire arrays. Due to different reaction kinetics, four growth regimes could be attributed, including the first fast growth, equilibrium phase, second fast growth and final erosion. Combining this simple method with optical lithography, ZnO nanowires could be grown selectively on patterned areas. In addition, the as-grown ZnO nanowires could be used for the fabrication of a piezoelectric nanogenerator. Compared to the device of ZnO nanowires made by other methods, a more than twice voltage output has been obtained, thereby proving an improved performance of our growth method.

  10. Magnetoelectrolysis of Co nanowire arrays grown in a tracketched polycarbonate membrane

    Energy Technology Data Exchange (ETDEWEB)

    Radu, Florin [BESSY GmbH, Berlin (Germany); Rivero, Guillermo; Marin, Pilar; Hernando, Antonio [Instituto de Magnetismo Aplicado, Madrid (Spain); Sanchez-Barriga, J. [Instituto de Magnetismo Aplicado, Madrid (Spain); BESSY GmbH, Berlin (Germany); Lucas, M. [Inst. fuer Theoretische Physik, Technische Univ. Berlin (Germany)

    2007-07-01

    Arrays of Cobalt nanowires with a controlled length of 6{mu}m have been fabricated by electrochemical deposition into the pores of track-etched polycarbonate membranes with a nominal pore diameter of 30 nm. The magnetic properties of Co-deposited nanowires and the effects of a magnetic field applied during electrodeposition of the arrays have been studied. An enhancement of the mass deposition rate due to the presence of a 50 Oe magnetic field along the nanowire axis has been observed by measuring the experimental development of the current in the electrochemical cell during the fabrication process. X-Ray diffraction measurements reveal a different polycrystalline degree for each deposition configuration, indicating that the crystalline structure of the deposited material has been substantially modified. Magnetic measurements show a clear dependence of the anisotropy directions on the orientation of the magnetic field applied during the electrodeposition.

  11. Optical characterization of MOVPE grown δ-InAs layers in GaAs

    Czech Academy of Sciences Publication Activity Database

    Hazdra, P.; Voves, J.; Hulicius, Eduard; Pangrác, Jiří

    2005-01-01

    Roč. 2, č. 4 (2005), s. 1319-1324 ISSN 1610-1634 R&D Projects: GA AV ČR(CZ) IAA1010318; GA MŠk(CZ) LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : δ-layer * MOVPE * GaAs * photoluminescence * photocurrent * photoreflectance Subject RIV: BM - Solid Matter Physics ; Magnetism

  12. Microspheres for the Growth of Silicon Nanowires via Vapor-Liquid-Solid Mechanism

    Directory of Open Access Journals (Sweden)

    Arancha Gómez-Martínez

    2014-01-01

    Full Text Available Silicon nanowires have been synthesized by a simple process using a suitable support containing silica and carbon microspheres. Nanowires were grown by thermal chemical vapor deposition via a vapor-liquid-solid mechanism with only the substrate as silicon source. The curved surface of the microsized spheres allows arranging the gold catalyst as nanoparticles with appropriate dimensions to catalyze the growth of nanowires. The resulting material is composed of the microspheres with the silicon nanowires attached on their surface.

  13. Solution processed bismuth sulfide nanowire array core/silver shuffle shell solar cells

    NARCIS (Netherlands)

    Cao, Y.; Bernechea, M.; Maclachlan, A.; Zardetto, V.; Creatore, M.; Haque, S.A.; Konstantatos, G.

    2015-01-01

    Low bandgap inorganic semiconductor nanowires have served as building blocks in solution processed solar cells to improve their power conversion capacity and reduce fabrication cost. In this work, we first reported bismuth sulfide nanowire arrays grown from colloidal seeds on a transparent

  14. Characterization of III-V nanowires for photovoltaic devices using advanced electron microscopy techniques

    DEFF Research Database (Denmark)

    Persson, Johan Mikael

    In this work, the crystal structure of epitaxially grown semiconductor nanowires has been analysed using electron microscopy and to some extent X-ray diffractometry. The goal of the EU project which this work was a part of was to build multi-junction solar cells with nanowires as the main building...... of the crystal structure at the junction. This thesis also comments on some unusual properties and _ndings of the examined nanowires: Some nanowires sported a droplet-like protrusion of the catalyst gold particle reaching into the solid center of the nanowire. This feature can be discussed in terms of nanowire...

  15. The influence of post-growth annealing on the optical properties of InAs quantum dot chains grown on pre-patterned GaAs(100)

    International Nuclear Information System (INIS)

    Hakkarainen, T V; Polojärvi, V; Schramm, A; Tommila, J; Guina, M

    2012-01-01

    We report on the effect of post-growth thermal annealing of [011]-, [01 1-bar ]-, and [010]-oriented quantum dot chains grown by molecular beam epitaxy on GaAs(100) substrates patterned by UV-nanoimprint lithography. We show that the quantum dot chains experience a blueshift of the photoluminescence energy, spectral narrowing, and a reduction of the intersubband energy separation during annealing. The photoluminescence blueshift is more rapid for the quantum dot chains than for self-assembled quantum dots that were used as a reference. Furthermore, we studied polarization resolved photoluminescence and observed that annealing reduces the intrinsic optical anisotropy of the quantum dot chains and the self-assembled quantum dots. (paper)

  16. Ultrafast Carrier Relaxation in InN Nanowires Grown by Reactive Vapor Transport

    Directory of Open Access Journals (Sweden)

    Zervos Matthew

    2008-01-01

    Full Text Available Abstract We have studied femtosecond carrier dynamics in InN nanowires grown by reactive vapor transport. Transient differential absorption measurements have been employed to investigate the relaxation dynamics of photogenerated carriers near and above the optical absorption edge of InN NWs where an interplay of state filling, photoinduced absorption, and band-gap renormalization have been observed. The interface between states filled by free carriers intrinsic to the InN NWs and empty states has been determined to be at 1.35 eV using CW optical transmission measurements. Transient absorption measurements determined the absorption edge at higher energy due to the additional injected photogenerated carriers following femtosecond pulse excitation. The non-degenerate white light pump-probe measurements revealed that relaxation of the photogenerated carriers occurs on a single picosecond timescale which appears to be carrier density dependent. This fast relaxation is attributed to the capture of the photogenerated carriers by defect/surface related states. Furthermore, intensity dependent measurements revealed fast energy transfer from the hot photogenerated carriers to the lattice with the onset of increased temperature occurring at approximately 2 ps after pulse excitation.

  17. Focused ion beam patterning to dielectrophoretically assemble single nanowire based devices

    International Nuclear Information System (INIS)

    La Ferrara, V; Massera, E; Francia, G Di; Alfano, B

    2010-01-01

    Direct-write processing is increasingly taking place in nanodevice fabrication. In this work, Focused Ion Beam (FIB), a powerful tool in maskless micromachining, is used for electrode patterning onto a silicon/silicon nitride substrate. Then a single palladium nanowire is assembled between electrodes by means of dielectrophoresis (DEP). The nanowire morphology depends on the electrode pattern when DEP conditions are fixed. FIB/DEP combination overcomes the problem of nanowire electrical contamination due to gallium ion bombardment and the as-grown nanowire retains its basic electrical properties. Single nanowire based devices have been fabricated with this novel approach and have been tested as hydrogen sensors, confirming the reliability of this technology.

  18. Individual GaAs nanorods imaged by coherent X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Pietsch, Ullrich; Biermanns, Andreas; Davydok, Anton [Universitaet Siegen (Germany); Paetzelt, Hendrik [Universitaet Leipzig (Germany); IOM Leipzig (Germany); Diaz, Ana; Metzger, Hartmut [ID01 Beamline, ESRF (France); Gottschalch, Volker [Universitaet Leipzig (Germany)

    2010-07-01

    Semiconductor nanorods are of particular interest for new semiconductor devices because the nanorod approach can be used to form heterostructures of materials with a large lattice mismatch and to define nanorod arrays with tailored inter-rod distance. However, all applications require objects with uniform physical properties based on uniform morphology. Complementary to electron microscopy techniques, destruction free X-ray diffraction techniques can be used to determine structural and morphological details. Using scanning X-ray diffraction microscopy with a spot size of 220 x 600 nm{sup 2} we were able to inspect individual GaAs nanorods grown by seed-free MOVPE through circular openings in a SiN{sub x} mask in a periodic array with 3 {mu}m spacing on GaAs[111]B. The focussed X-ray beam allows the determination of the strain state of individual rods and in combination with coherent diffraction imaging, we were able to characterize also morphological details. Rods grown at different positions in the array show significant differences in shape, size and strain state.

  19. 1D-transport properties of single superconducting lead nanowires

    DEFF Research Database (Denmark)

    Michotte, S.; Mátéfi-Tempfli, Stefan; Piraux, L.

    2003-01-01

    of the nanowire is small enough to ensure a 1D superconducting regime in a wide temperature range below T. The non-zero resistance in the superconducting state and its variation caused by fluctuations of the superconducting order parameter were measured versus temperature, magnetic field, and applied DC current......We report on the transport properties of single superconducting lead nanowires grown by an electrodeposition technique, embedded in a nanoporous track-etched polymer membrane. The nanowires are granular, have uniform diameter of ̃40 nm and a very large aspect ratio (̃500). The diameter...

  20. InGaAs Quantum Well Grown on High-Index Surfaces for Superluminescent Diode Applications

    Directory of Open Access Journals (Sweden)

    Wu Jiang

    2010-01-01

    Full Text Available Abstract The morphological and optical properties of In0.2Ga0.8As/GaAs quantum wells grown on various substrates are investigated for possible application to superluminescent diodes. The In0.2Ga0.8As/GaAs quantum wells are grown by molecular beam epitaxy on GaAs (100, (210, (311, and (731 substrates. A broad photoluminescence emission peak (~950 nm with a full width at half maximum (FWHM of 48 nm is obtained from the sample grown on (210 substrate at room temperature, which is over four times wider than the quantum well simultaneously grown on (100 substrate. On the other hand, a very narrow photoluminescence spectrum is observed from the sample grown on (311 with FWHM = 7.8 nm. The results presented in this article demonstrate the potential of high-index GaAs substrates for superluminescent diode applications.

  1. Tuning Rashba spin-orbit coupling in homogeneous semiconductor nanowires

    Science.gov (United States)

    Wójcik, Paweł; Bertoni, Andrea; Goldoni, Guido

    2018-04-01

    We use k .p theory to estimate the Rashba spin-orbit coupling (SOC) in large semiconductor nanowires. We specifically investigate GaAs- and InSb-based devices with different gate configurations to control symmetry and localization of the electron charge density. We explore gate-controlled SOC for wires of different size and doping, and we show that in high carrier density SOC has a nonlinear electric field susceptibility, due to large reshaping of the quantum states. We analyze recent experiments with InSb nanowires in light of our calculations. Good agreement is found with the SOC coefficients reported in Phys. Rev. B 91, 201413(R) (2015), 10.1103/PhysRevB.91.201413, but not with the much larger values reported in Nat. Commun. 8, 478 (2017), 10.1038/s41467-017-00315-y. We discuss possible origins of this discrepancy.

  2. Influence of the Hydrothermal Method Growth Parameters on the Zinc Oxide Nanowires Deposited on Several Substrates

    Directory of Open Access Journals (Sweden)

    Concepción Mejía-García

    2014-01-01

    Full Text Available We report the synthesis of ZnO nanowires grown on several substrates (PET, glass, and Si using a two-step process: (a preparation of the seed layer on the substrate by spin coating, from solutions of zinc acetate dihydrate and 1-propanol, and (b growth of the ZnO nanostructures by dipping the substrate in an equimolar solution of zinc nitrate hexahydrate and hexamethylenetetramine. Subsequently, films were thermally treated with a commercial microwave oven (350 and 700 W for 5, 20, and 35 min. The ZnO nanowires obtained were characterized structurally, morphologically, and optically using XRD, SEM, and UV-VIS transmission, respectively. XRD patterns spectra revealed the presence of Zn(OH2 on the films grown on glass and Si substrates. A preferential orientation along c-axis directions for films grown on PET substrate was observed. An analysis by SEM revealed that the growth of the ZnO nanowires on PET and glass is better than the growth on Si when the same growth parameters are used. On glass substrates, ZnO nanowires less than 50 nm in diameter and between 200 nm and 1200 nm in length were obtained. The ZnO nanowires band gap energy for the films grown on PET and glass was obtained from optical transmission spectra.

  3. Structural investigation of GaInP nanowires using X-ray diffraction

    DEFF Research Database (Denmark)

    Kriegner, D.; Persson, Johan Mikael; Etzelstorfer, T.

    2013-01-01

    In this work the structure of ternary GaxIn1−xP nanowires is investigated with respect to the chemical composition and homogeneity. The nanowires were grown by metal–organic vapor-phase epitaxy. For the investigation of ensemble fluctuations on several lateral length scales, X-ray diffraction...... gradients along the sample by recording diffraction patterns at different positions. In addition, compositional variations were found also within single nanowires in X-ray energy dispersive spectroscopy measurements....

  4. Controlling the physical parameters of crystalline CIGS nanowires for use in superstrate configuration using vapor phase epitaxy

    Science.gov (United States)

    Lee, Dongjin; Jeon, H. C.; Kang, T. W.; Kumar, Sunil

    2018-03-01

    Indium tin oxide (ITO) is a suitable candidate for smart windows and bifacial semi-transparent solar cell applications. In this study, highly crystalline CuInGaSe2 (CIGS) nanowires were successfully grown by horizontal-type vapor phase epitaxy on an ITO substrate. Length, diameter, and density of the nanowires were studied by varying the growth temperature (500, 520, and 560 °C), time (3.5, 6.5, and 9.5 h), and type of catalyst (In, Au, and Ga). Length, diameter, and density of the nanowires were found to be highly dependent on the growth conditions. At an optimized growth period and temperature of 3.5 h and 520 °C, respectively, the length and diameter of the nanowires were found to increase when grown in a catalyst-free environment. However, the density of the nanowires was found to be higher while using a catalyst during growth. Even in a catalyst-free environment, an Indium cluster formed at the bottom of the nanowires. The source of these nanowires is believed to be Indium from the ITO substrate which was observed in the EDS measurement. TEM-based EDS and line EDS indicated that the nanowires are made up of CIGS material with a very low Gallium content. XRD measurements also show the appearance of wurtzite CIS nanowires grown on ITO in addition to the chalcopyrite phase. PL spectroscopy was done to see the near-band-edge emission for finding band-to-band optical transition in this material. Optical response of the CIGS nanowire network was also studied to see the photovoltaic effect. This work creates opportunities for making real solar cell devices in superstrate configuration.

  5. Tungsten oxide nanowires grown on graphene oxide sheets as high-performance electrochromic material

    International Nuclear Information System (INIS)

    Chang, Xueting; Sun, Shibin; Dong, Lihua; Hu, Xiong; Yin, Yansheng

    2014-01-01

    Graphical abstract: Electrochromic mechanism of tungsten oxide nanowires-reduced graphene oxide composite. - Highlights: • A novel inorganic-nano-carbon hybrid composite was prepared. • The hybrid composite has sandwich-like structure. • The hybrid composite exhibited high-quality electrohcromic performance. - Abstract: In this work, we report the synthesis of a novel hybrid electrochromic composite through nucleation and growth of ultrathin tungsten oxide nanowires on graphene oxide sheets using a facile solvothermal route. The competition between the growth of tungsten oxide nanowires and the reduction of graphene oxide sheets leads to the formation of sandwich-structured tungsten oxide-reduced graphene oxide composite. Due to the strongly coupled effect between the ultrathin tungsten oxide nanowires and the reduced graphene oxide nanosheets, the novel electrochromic composite exhibited high-quality electrochromic performance with fast color-switching speed, good cyclic stability, and high coloration efficiency. The present tungsten oxide-reduced graphene oxide composite represents a new approach to prepare other inorganic-reduced graphene oxide hybrid materials for electrochemical applications

  6. Silicon nanowires nanogenerator based on the piezoelectricity of alpha-quartz.

    Science.gov (United States)

    Yin, Kui; Lin, Haiyang; Cai, Qian; Zhao, Yi; Lee, Shuit-Tong; Hu, Fei; Shao, Mingwang

    2013-12-21

    Silicon nanowires are important semiconductor with core/shell structure. In this work, the piezoelectric material alpha-quartz was grown in the interface of silicon nanowires by thermal treatment at 600 °C for 0.5 h. These nanowires were employed as starting materials to fabricate piezoelectric nanogenerators, which could convert kinetic energy into electrical one, exhibiting an output voltage of 36.5 V and a response current of 1.4 μA under a free-falling object of 300 g at a height of 30 cm.

  7. Fabrication and morphology of uniaxially aligned perylenediimide nanowires

    Science.gov (United States)

    Machida, Shinjiro; Tanikatsu, Makoto; Itaya, Akira; Ikeda, Noriaki

    2017-06-01

    Uniaxial alignment of crystalline nanowires consisting of N,N‧-dioctyl-3,4,9,10-perylenedicarboximide (PTCDI-C8) was achieved on poly(tetrafluoroethylene) (PTFE) layers prepared by friction transfer method on a glass substrate. The nanowires were formed by spin-coating a trifluoroacetic acid (TFA) solution of PTCDI-C8 on the PTFE layers and were further grown under TFA vapor atmosphere. The morphology of the PTCDI-C8 nanowires were characterized using atomic force microscope (AFM) and fluorescence optical microscope with changing the dye concentration in the spin coating solution, annealing time in the TFA vapor, and substrate materials. The nanowires prepared on the PTFE layer on a silica-coated silicon or a mica substrate did not grow so well as those on the glass substrate. This result suggests that the surface roughness would affect the PTFE layer and the growth of the PTCDI nanowires.

  8. ZnO Nanowires Synthesized by Vapor Phase Transport Deposition on Transparent Oxide Substrates

    Directory of Open Access Journals (Sweden)

    Taylor Curtis

    2010-01-01

    Full Text Available Abstract Zinc oxide nanowires have been synthesized without using metal catalyst seed layers on fluorine-doped tin oxide (FTO substrates by a modified vapor phase transport deposition process using a double-tube reactor. The unique reactor configuration creates a Zn-rich vapor environment that facilitates formation and growth of zinc oxide nanoparticles and wires (20–80 nm in diameter, up to 6 μm in length, density <40 nm apart at substrate temperatures down to 300°C. Electron microscopy and other characterization techniques show nanowires with distinct morphologies when grown under different conditions. The effect of reaction parameters including reaction time, temperature, and carrier gas flow rate on the size, morphology, crystalline structure, and density of ZnO nanowires has been investigated. The nanowires grown by this method have a diameter, length, and density appropriate for use in fabricating hybrid polymer/metal oxide nanostructure solar cells. For example, it is preferable to have nanowires no more than 40 nm apart to minimize exciton recombination in polymer solar cells.

  9. Structural and electrical properties of high-quality 0.41 μm-thick InSb films grown on GaAs (1 0 0) substrate with InxAl1−xSb continuously graded buffer

    International Nuclear Information System (INIS)

    Shin, Sang Hoon; Song, Jin Dong; Lim, Ju Young; Koo, Hyun Cheol; Kim, Tae Geun

    2012-01-01

    High-quality InSb was grown on a GaAs (1 0 0) substrate with an InAlSb continuously graded buffer (CGB). The temperatures of In, Al K-cells and substrate were modified during the growth of InAlSb CGB. The cross-section TEM image reveals that the defects due to lattice-mismatch disappear near lateral structures in CGB. The measured electron mobility of 0.41 μm-thick InSb was 46,300 cm 2 /Vs at 300 K. These data surpass the electron mobility of state-of-the-art InSb grown by other methods with similar thickness of InSb.

  10. Modified energetics and growth kinetics on H-terminated GaAs (110)

    International Nuclear Information System (INIS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Tejedor, P.; Lorbek, S.; Hlawacek, G.; Teichert, C.

    2013-01-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As 4 , has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å 2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As 4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed

  11. Modified energetics and growth kinetics on H-terminated GaAs (110)

    Energy Technology Data Exchange (ETDEWEB)

    Galiana, B. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Departamento de Física, Universidad Carlos III de Madrid, Avenida de la Universidad 30, 28911 Madrid (Spain); Benedicto, M.; Díez-Merino, L.; Tejedor, P. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Lorbek, S.; Hlawacek, G.; Teichert, C. [Institut für Physik, Montanuniversität Leoben, Franz Josef St., 18A-8700 Leoben (Austria)

    2013-10-28

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As{sub 4}, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å{sup 2} measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As{sub 4} molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  12. Surface-Passivated AlGaN Nanowires for Enhanced Luminescence of Ultraviolet Light Emitting Diodes

    KAUST Repository

    Sun, Haiding; Shakfa, Mohammad Khaled; Muhammed, Mufasila; Janjua, Bilal; Li, Kuang-Hui; Lin, Ronghui; Ng, Tien Khee; Roqan, Iman S.; Ooi, Boon S.; Li, Xiaohang

    2017-01-01

    investigation on the samples reveals almost intact nanowire structures after the passivation process. We demonstrated an approximately 49.7% enhancement in the ultraviolet light output power after 30-s KOH treatment on AlGaN nanowires grown on titanium

  13. Photoconduction efficiencies and dynamics in GaN nanowires grown by chemical vapor deposition and molecular beam epitaxy: A comparison study

    International Nuclear Information System (INIS)

    Chen, R. S.; Tsai, H. Y.; Huang, Y. S.; Chen, Y. T.; Chen, L. C.; Chen, K. H.

    2012-01-01

    The normalized gains, which determines the intrinsic photoconduction (PC) efficiencies, have been defined and compared for the gallium nitride (GaN) nanowires (NWs) grown by chemical vapor deposition (CVD) and molecular beam epitaxy (MBE). By excluding the contributions of experimental parameters and under the same light intensity, the CVD-grown GaN NWs exhibit the normalized gain which is near two orders of magnitude higher than that of the MBE-ones. The temperature-dependent time-resolved photocurrent measurement further indicates that the higher photoconduction efficiency in the CVD-GaN NWs is originated from the longer carrier lifetime induced by the higher barrier height (φ B = 160 ± 30 mV) of surface band bending. In addition, the experimentally estimated barrier height at 20 ± 2 mV for the MBE-GaN NWs, which is much lower than the theoretical value, is inferred to be resulted from the lower density of charged surface states on the non-polar side walls.

  14. GaAs on Si epitaxy by aspect ratio trapping: Analysis and reduction of defects propagating along the trench direction

    Energy Technology Data Exchange (ETDEWEB)

    Orzali, Tommaso, E-mail: tommaso.orzali@sematech.org; Vert, Alexey; O' Brien, Brendan; Papa Rao, Satyavolu S. [SEMATECH, 257 Fuller Rd Suite 2200, Albany, New York 12203 (United States); Herman, Joshua L.; Vivekanand, Saikumar [College of Nanoscale Science and Engineering, SUNY Polytechnic Institute, 251 Fuller Road, Albany, New York 12203 (United States); Hill, Richard J. W. [Now at Micron Technologies, 8000 S Federal Way, Boise, Idaho 83716 (United States); Karim, Zia [AIXTRON, Inc., 1139 Karlstad Dr., Sunnyvale, California 94089 (United States)

    2015-09-14

    The Aspect Ratio Trapping technique has been extensively evaluated for improving the quality of III-V heteroepitaxial films grown on Si, due to the potential for terminating defects at the sidewalls of SiO{sub 2} patterned trenches that enclose the growth region. However, defects propagating along the trench direction cannot be effectively confined with this technique. We studied the effect of the trench bottom geometry on the density of defects of GaAs fins, grown by metal-organic chemical vapor deposition on 300 mm Si (001) wafers inside narrow (<90 nm wide) trenches. Plan view and cross sectional Scanning Electron Microscopy and Transmission Electron Microscopy, together with High Resolution X-Ray Diffraction, were used to evaluate the crystal quality of GaAs. The prevalent defects that reach the top surface of GaAs fins are (111) twin planes propagating along the trench direction. The lowest density of twin planes, ∼8 × 10{sup 8 }cm{sup −2}, was achieved on “V” shaped bottom trenches, where GaAs nucleation occurs only on (111) Si planes, minimizing the interfacial energy and preventing the formation of antiphase boundaries.

  15. Self-assembled quantum dot structures in a hexagonal nanowire for quantum photonics.

    Science.gov (United States)

    Yu, Ying; Dou, Xiu-Ming; Wei, Bin; Zha, Guo-Wei; Shang, Xiang-Jun; Wang, Li; Su, Dan; Xu, Jian-Xing; Wang, Hai-Yan; Ni, Hai-Qiao; Sun, Bao-Quan; Ji, Yuan; Han, Xiao-Dong; Niu, Zhi-Chuan

    2014-05-01

    Two types of quantum nanostructures based on self-assembled GaAs quantumdots embedded into GaAs/AlGaAs hexagonal nanowire systems are reported, opening a new avenue to the fabrication of highly efficient single-photon sources, as well as the design of novel quantum optics experiments and robust quantum optoelectronic devices operating at higher temperature, which are required for practical quantum photonics applications. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Investigation of superconducting properties of nanowires prepared by template synthesis

    DEFF Research Database (Denmark)

    Michotte, S.; Mátéfi-Tempfli, Stefan; Piraux, L.

    2003-01-01

    of the nanowires is small enough to ensure a one-dimensional superconducting regime in a wide temperature range below T. The non-zero resistance in the superconducting state and its variation caused by fluctuations of the superconducting order parameter were measured versus temperature, magnetic field, and applied......We report on the transport properties of single superconducting lead nanowires grown by an electrodeposition technique, embedded in a nanoporous track-etched polymer membrane. The nanowires are granular, have a uniform diameter of ∼40 nm and a very large aspect ratio (∼500). The diameter...

  17. Lifetime studies of self-activated photoluminescence in heavily silicon-doped GaAs

    Science.gov (United States)

    Sauncy, T.; Palsule, C. P.; Holtz, M.; Gangopadhyay, S.; Massie, S.

    1996-01-01

    We report results of a detailed temperature dependence study of photoluminescence lifetime and continuous emission properties in silicon-doped GaAs. The primary focus is on a defect-related emission at 1.269 eV (T=20 K). GaAs crystals were grown using molecular-beam epitaxy with most of the experiments conducted on a sample having a carrier concentration of 4.9×1018 cm-3. The intensity is seen to decrease above 100 K, with no corresponding decrease in the measured lifetime of 9.63+/-0.25 ns. The intensity decrease implies an activation energy of 19+/-2 meV, which is approximately one order of magnitude smaller than what was previously obtained for similar defects in Czochralski-grown GaAs with other dopants. We interpret our results in terms of a configuration coordinate model and obtain a more complete picture of the energy-level structure. The experiments indicate that the upper level in the recombination process is about 20 meV below the conduction-band continuum, with the lower state approximately 300 meV above the valence band. Our results are consistent with the identification of the corresponding defect complex microstructure as being a silicon-at-gallium substitution, weakly interacting with a gallium vacancy second-nearest neighbor, known as the Si-Y defect complex.

  18. Effect of precursors stoichiometry on morphology, crystallinity and electrical properties of ZnTe epilayers grown on (100)GaAs by MOVPE

    International Nuclear Information System (INIS)

    Paiano, P.; Lovergine, N.; Mancini, A.M.; Prete, P.

    2005-01-01

    The effect of precursors vapour stoichiometry on the morphological, structural and electrical properties of nominally undoped ZnTe grown on (100)GaAs by metalorganic vapour phase epitaxy is reported. The epilayers were grown at 350 C using dimethylzinc (Me 2 Zn) and di-isopropyltelluride, varying their molar flow rate ratios (MFRs) between 0.17 and 3.10. Growth in nearly stoichiometric (MFR=1.03) conditions results in best surface morphology, while samples grown in Te-rich conditions (MFR>1.7) showed micron-size hollow defects (with surface densities up to ∝10 6 cm -2 ) elongated in one of the left angle 011 right angle in-plane directions. The defects are associated to a local structural disorder of the material, ascribed to the formation of a Ga 2 Te 3 extrinsic phase at the ZnTe/GaAs interface. Ohmic contacts to p -ZnTe epilayers were prepared by tungsten evaporation and annealing at 350 C. The RT hole concentration in the epilayers varies almost linearly with Me 2 Zn molar flow between 2 x 10 15 cm -3 and 5 x 10 16 cm -3 . Temperature-dependent Hall measurements performed on samples grown at MFR≤1.03 demonstrate that the material p-type conductivity originates from a single acceptor centre with an ionisation energy between 94.7 meV and 118 meV, its concentration being in the 10 16 cm -3 range and slowly increasing with Me 2 Zn flow. We ascribe this acceptor to a complex formed by a substitutional carbon atom on a Te site and a donor on a nearest neighbor site (C Te -D Zn ), the donor impurity being further identified as Ga diffusing from the substrate. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Orientation-controlled synthesis and magnetism of single crystalline Co nanowires

    International Nuclear Information System (INIS)

    Huang, Gui-Fang; Huang, Wei-Qing; Wang, Ling-Ling; Zou, B.S.; Pan, Anlian

    2012-01-01

    Orientation control and the magnetic properties of single crystalline Co nanowires fabricated by electrodeposition have been systematically investigated. It is found that the orientation of Co nanowires can be effectively controlled by varying either the current density or the pore diameter of AAO templates. Lower current density or small diameter is favorable for forming the (1 0 0) texture, while higher current values or larger diameter leads to the emergence and enhancement of (1 1 0) texture of Co nanowires. The mechanism for the manipulated growth characterization is discussed in detail. The orientation of Co nanowires has a significant influence on the magnetic properties, resulting from the competition between the magneto-crystalline and shape anisotropy of Co nanowires. This work offers a simple method to manipulate the orientation and magnetic properties of nanowires for future applications. - Highlights: ► Single crystalline Co nanowires have successfully been grown by DC electrodeposition. ► Orientation controlling and its effect on magnetism of Co nanowires were investigated. ► The orientation of Co nanowires can be effectively controlled by varying current density. ► The crystalline orientation of Co nanowires has significant influence on the magnetic properties.

  20. Synthesis of [100] Wurtzite InN Nanowires and [011] Zinc-Blende InN Nanorods

    International Nuclear Information System (INIS)

    Chao, Nie; Rong, Zhang; Zi-Li, Xie; Xiang-Qiang, Xiu; Bin, Liu; De-Yi, Fu; Qi-Jia, Liu; Ping, Han; Shu-Lin, Gu; Yi, Shi; You-Dou, Zheng

    2008-01-01

    One-dimensional wurtzite InN nanowires and zincblende InN nanorods are prepared by chemical vapour deposition (CVD) method on natural cleavage plane (110) of GaAs. The growth direction of InN nanowires is [100], with wurtzite structure. The stable crystal structure of InN is wurtzite (w-InN), zincblende structure (z-InN) is only reported for 2D InN crystals before. However, in this work, the zincblende InN nanorods [011] are synthesized and characterized. The SEM and TEM images show that every nanorod shapes a conical tip, which can be explained by the anisotropy of growth process and the theory of Ehrlich–Schwoebel barrier. (condensed matter: structure, mechanical and thermal properties)

  1. Synthesis and nanoscale thermal encoding of phase-change nanowires

    International Nuclear Information System (INIS)

    Sun Xuhui; Yu Bin; Meyyappan, M.

    2007-01-01

    Low-dimensional phase-change nanostructures provide a valuable research platform for understanding the phase-transition behavior and thermal properties at nanoscale and their potential in achieving superdense data storage. Ge 2 Sb 2 Te 5 nanowires have been grown using a vapor-liquid-solid technique and shown to exhibit distinctive properties that may overcome the present data storage scaling barrier. Local heating of an individual nanowire with a focused electron beam was used to shape a nano-bar-code on a Ge 2 Sb 2 Te 5 nanowire. The data encoding on Ge 2 Sb 2 Te 5 nanowire may promote novel device concepts to implement ultrahigh density, low energy, high speed data storage using phase-change nanomaterials with diverse thermal-programing strategies

  2. Electrodeposition of rhenium-tin nanowires

    International Nuclear Information System (INIS)

    Naor-Pomerantz, Adi; Eliaz, Noam; Gileadi, Eliezer

    2011-01-01

    Highlights: → Rhenium-tin nanowires were formed electrochemically, without using a template. → The nanowires consisted of a crystalline-Sn-core/amorphous-Re-shell structure. → The effects of bath composition and operating conditions were investigated. → A mechanism is suggested for the formation of the core/shell structure. → The nanowires may be attractive for a variety of applications. - Abstract: Rhenium (Re) is a refractory metal which exhibits an extraordinary combination of properties. Thus, nanowires and other nanostructures of Re-alloys may possess unique properties resulting from both Re chemistry and the nanometer scale, and become attractive for a variety of applications, such as in catalysis, photovoltaic cells, and microelectronics. Rhenium-tin coatings, consisting of nanowires with a core/shell structure, were electrodeposited on copper substrates under galvanostatic or potentiostatic conditions. The effects of bath composition and operating conditions were investigated, and the chemistry and structure of the coatings were studied by a variety of analytical tools. A Re-content as high as 77 at.% or a Faradaic efficiency as high as 46% were attained. Ranges of Sn-to-Re in the plating bath, applied current density and applied potential, within which the nanowires could be formed, were determined. A mechanism was suggested, according to which Sn nanowires were first grown on top of Sn micro-particles, and then the Sn nanowires reduced the perrhenate chemically, thus forming a core made of crystalline Sn-rich phase, and a shell made of amorphous Re-rich phase. The absence of mutual solubility of Re and Sn may be the driving force for this phase separation.

  3. Growth and properties of In(Ga)As nanowires on silicon

    International Nuclear Information System (INIS)

    Hertenberger, Simon

    2012-01-01

    In this thesis the integration of III-V semiconductor nanowires on silicon (Si) platform by molecular beam epitaxy (MBE) is investigated. All nanowires are grown without the use of foreign catalysts such as Au to achieve high purity material. First, InAs nanowires are grown in a self-assembled manner on SiO x -masked Si(111) where pinholes in the silicon oxide serve as nucleation spots for the nanowires. This leads to the growth of vertically aligned, (111)-oriented nanowires with hexagonal cross-section. Based on this simple process, the entire growth parameter window is investigated for InAs nanowires, revealing an extremely large growth temperature range from 380 C to 580 C and growth rates as large as 6 μ/h. Complex quantitative in-situ line-of-sight quadrupole mass spectrometry experiments during nanowire growth and post-growth thermal decomposition studies support these findings and indicate a very high thermal stability up to >540 C for InAs nanowires. Furthermore, the influence of the As/In ratio on the nanowire growth is studied revealing two distinct growth regimes, i.e., an In-rich regime for lower As fluxes and an As-rich regime for larger As fluxes, where the latter shows characteristic saturation of the nanowire aspect ratio. For the catalyst-free growth, detailed investigation of the growth mechanism is performed via a combination of in-situ reflection high-energy electron diffraction (RHEED) and ex-situ scanning and transmission electron microscopy (SEM,TEM). An abrupt onset of nanowire growth is observed in RHEED intensity and in-plane lattice parameter evolution. Furthermore, completely droplet-free nanowires, continuous radial growth, constant vertical growth rate and growth interruption experiments suggest a vapor-solid growth mode for all investigated nanowire samples. Moreover, site-selective (positioned) growth of InAs nanowires on pre-patterned SiO 2 masked Si(111) substrates is demonstrated which is needed for ultimate control of nanowire

  4. Growth and properties of In(Ga)As nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hertenberger, Simon

    2012-10-15

    In this thesis the integration of III-V semiconductor nanowires on silicon (Si) platform by molecular beam epitaxy (MBE) is investigated. All nanowires are grown without the use of foreign catalysts such as Au to achieve high purity material. First, InAs nanowires are grown in a self-assembled manner on SiO{sub x}-masked Si(111) where pinholes in the silicon oxide serve as nucleation spots for the nanowires. This leads to the growth of vertically aligned, (111)-oriented nanowires with hexagonal cross-section. Based on this simple process, the entire growth parameter window is investigated for InAs nanowires, revealing an extremely large growth temperature range from 380 C to 580 C and growth rates as large as 6 μ/h. Complex quantitative in-situ line-of-sight quadrupole mass spectrometry experiments during nanowire growth and post-growth thermal decomposition studies support these findings and indicate a very high thermal stability up to >540 C for InAs nanowires. Furthermore, the influence of the As/In ratio on the nanowire growth is studied revealing two distinct growth regimes, i.e., an In-rich regime for lower As fluxes and an As-rich regime for larger As fluxes, where the latter shows characteristic saturation of the nanowire aspect ratio. For the catalyst-free growth, detailed investigation of the growth mechanism is performed via a combination of in-situ reflection high-energy electron diffraction (RHEED) and ex-situ scanning and transmission electron microscopy (SEM,TEM). An abrupt onset of nanowire growth is observed in RHEED intensity and in-plane lattice parameter evolution. Furthermore, completely droplet-free nanowires, continuous radial growth, constant vertical growth rate and growth interruption experiments suggest a vapor-solid growth mode for all investigated nanowire samples. Moreover, site-selective (positioned) growth of InAs nanowires on pre-patterned SiO{sub 2} masked Si(111) substrates is demonstrated which is needed for ultimate control of

  5. Magnetoelectrolysis of Co nanowire arrays grown in a track-etched polycarbonate membrane

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Barriga, J. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain)]. E-mail: sbarriga@bessy.de; Lucas, M. [Technische Universitaet Berlin, Institut fuer Theoretische Physik, Hardenbergstr. 36, D-10623 Berlin (Germany); Rivero, G. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain); Marin, P. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain); Hernando, A. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain)

    2007-05-15

    Arrays of Cobalt nanowires with a controlled length of 6{mu}m have been fabricated by electrochemical deposition into the pores of track-etched polycarbonate membranes with a nominal pore diameter of 30nm. The magnetic properties of Co-deposited nanowires and the effects of a magnetic field applied during electrodeposition of the arrays have been studied. An enhancement of the mass deposition rate due to the presence of a 50Oe magnetic field along the nanowire axis has been observed by measuring the experimental development of the current in the electrochemical cell during the fabrication process. X-ray diffraction measurements reveal a different polycrystalline degree for each deposition configuration, indicating that the crystalline structure of the deposited material has been substantially modified. Magnetic measurements show a clear dependence of the anisotropy directions on the orientation of the magnetic field applied during the electrodeposition.

  6. Magnetoelectrolysis of Co nanowire arrays grown in a track-etched polycarbonate membrane

    International Nuclear Information System (INIS)

    Sanchez-Barriga, J.; Lucas, M.; Rivero, G.; Marin, P.; Hernando, A.

    2007-01-01

    Arrays of Cobalt nanowires with a controlled length of 6μm have been fabricated by electrochemical deposition into the pores of track-etched polycarbonate membranes with a nominal pore diameter of 30nm. The magnetic properties of Co-deposited nanowires and the effects of a magnetic field applied during electrodeposition of the arrays have been studied. An enhancement of the mass deposition rate due to the presence of a 50Oe magnetic field along the nanowire axis has been observed by measuring the experimental development of the current in the electrochemical cell during the fabrication process. X-ray diffraction measurements reveal a different polycrystalline degree for each deposition configuration, indicating that the crystalline structure of the deposited material has been substantially modified. Magnetic measurements show a clear dependence of the anisotropy directions on the orientation of the magnetic field applied during the electrodeposition

  7. Band bending at the heterointerface of GaAs/InAs core/shell nanowires monitored by synchrotron X-ray photoelectron spectroscopy

    Science.gov (United States)

    Khanbabaee, B.; Bussone, G.; Knutsson, J. V.; Geijselaers, I.; Pryor, C. E.; Rieger, T.; Demarina, N.; Grützmacher, D.; Lepsa, M. I.; Timm, R.; Pietsch, U.

    2016-10-01

    Unique electronic properties of semiconductor heterostructured nanowires make them useful for future nano-electronic devices. Here, we present a study of the band bending effect at the heterointerface of GaAs/InAs core/shell nanowires by means of synchrotron based X-ray photoelectron spectroscopy. Different Ga, In, and As core-levels of the nanowire constituents have been monitored prior to and after cleaning from native oxides. The cleaning process mainly affected the As-oxides and was accompanied by an energy shift of the core-level spectra towards lower binding energy, suggesting that the As-oxides turn the nanowire surfaces to n-type. After cleaning, both As and Ga core-levels revealed an energy shift of about -0.3 eV for core/shell compared to core reference nanowires. With respect to depth dependence and in agreement with calculated strain distribution and electron quantum confinement, the observed energy shift is interpreted by band bending of core-levels at the heterointerface between the GaAs nanowire core and the InAs shell.

  8. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Englhard, M.; Klemp, C.; Behringer, M.; Rudolph, A. [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Skibitzki, O.; Zaumseil, P. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Institute of Physics and Chemistry, BTU Cottbus-Senftenberg, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2016-07-28

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-ray diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.

  9. GIDL analysis of the process variation effect in gate-all-around nanowire FET

    Science.gov (United States)

    Kim, Shinkeun; Seo, Youngsoo; Lee, Jangkyu; Kang, Myounggon; Shin, Hyungcheol

    2018-02-01

    In this paper, the gate-induced drain leakage (GIDL) is analyzed on gate-all-around (GAA) Nanowire FET (NW FET) with ellipse-shaped channel induced by process variation effect (PVE). The fabrication process of nanowire can lead to change the shape of channel cross section from circle to ellipse. The effect of distorted channel shape is investigated and verified by technology computer-aided design (TCAD) simulation in terms of the GIDL current. The simulation results demonstrate that the components of GIDL current are two mechanisms of longitudinal band-to-band tunneling (L-BTBT) at body/drain junction and transverse band-to-band tunneling (T-BTBT) at gate/drain junction. These two mechanisms are investigated on channel radius (rnw) and aspect ratio of ellipse-shape respectively and together.

  10. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kuznetsov, P. I.; Nikolaev, S. N.; Onistchenko, E. E.; Pruchkina, A. A.; Temiryazev, A. G. [Russian Academy of Sciences, Kotel’nikov Institute of Radio-Engineering and Electronics (Russian Federation)

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing does not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.

  11. Researching the electrical properties of single A3B5 nanowires

    Science.gov (United States)

    Vasiliev, A. A.; Mozharov, A. M.; Komissarenko, F. E.; Cirlin, G. E.; Bouravlev, D. A.; Mukhin, I. S.

    2017-11-01

    We investigate electrical characteristics of GaN, GaAs and GaP NWs which are grown with MOCVD and MBE. We developed measurement technique and it allows to determine the required properties of the structures.

  12. High-yield growth and characterization of ⟨100⟩ InP p−n diode nanowires

    NARCIS (Netherlands)

    Cavalli, A.; Wang, J.; Zadeh, I.E.; Reimer, M.E.; Verheijen, M.A.; Soini, M.; Plissard, S.R.; Zwiller, V.; Haverkort, J.E.M.; Bakkers, E.P.A.M.

    2016-01-01

    Semiconductor nanowires are nanoscale structures holding promise in many fields such as optoelectronics, quantum computing, and thermoelectrics. Nanowires are usually grown vertically on (111)-oriented substrates, while (100) is the standard in semiconductor technology. The ability to grow and to

  13. Influence of surface pre-treatment on the electronic levels in silicon MaWCE nanowires.

    Science.gov (United States)

    Venturi, Giulia; Castaldini, Antonio; Schleusener, Alexander; Sivakov, Vladimir; Cavallini, Anna

    2015-05-15

    Deep level transient spectroscopy (DLTS) was performed on n-doped silicon nanowires grown by metal-assisted wet chemical etching (MaWCE) with gold as the catalyst in order to investigate the energetic scheme inside the bandgap. To observe the possible dependence of the level scheme on the processing temperature, DLTS measurements were performed on the nanowires grown on a non-treated Au/Si surface and on a thermally pre-treated Au/Si surface. A noticeable modification of the configuration of the energy levels was observed, induced by the annealing process. Based on our results on these MaWCE nanowires and on literature data about deep levels in bulk silicon, some hypotheses were advanced regarding the identification of the defects responsible of the energy levels revealed.

  14. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    Science.gov (United States)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  15. Coalescence of GaAs on (001) Si nano-trenches based on three-stage epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    He, Yunrui; Wang, Jun, E-mail: wangjun12@bupt.edu.cn; Hu, Haiyang; Wang, Qi; Huang, Yongqing; Ren, Xiaomin [State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, Beijing 100876 (China)

    2015-05-18

    The coalescence of selective area grown GaAs regions has been performed on patterned 1.8 μm GaAs buffer layer on Si via metal-organic chemical vapor deposition. We propose a promising method of three-stage epitaxial lateral overgrowth (ELO) to achieve uniform coalescence and flat surface. Rough surface caused by the coalescence of different growth fronts is smoothened by this method. Low root-mean-square surface roughness of 6.29 nm has been obtained on a 410-nm-thick coalesced ELO GaAs layer. Cross-sectional transmission electron microscope study shows that the coalescence of different growth fronts will induce some new dislocations. However, the coalescence-induced dislocations tend to mutually annihilate and only a small part of them reach the GaAs surface. High optical quality of the ELO GaAs layer has been confirmed by low temperature (77 K) photoluminescence measurements. This research promises a very large scale integration platform for the monolithic integration of GaAs-based device on Si.

  16. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  17. Quantitative magnetometry analysis and structural characterization of multisegmented cobalt–nickel nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Cantu-Valle, Jesus [Department of Physics and Astronomy, University of Texas at San Antonio, One UTSA Circle, San Antonio, TX 78249 (United States); Díaz Barriga-Castro, Enrique [Centro de Investigación de Ciencias Físico Matemáticas/Facultad de Ciencias Físico Matemáticas, Universidad Autónoma de Nuevo León, Pedro de Alba s/n, San Nicolás de Los Garza, Nuevo León 66450 (Mexico); Vega, Víctor; García, Javier [Departamento de Física, Universidad de Oviedo, Calvo Sotelo s/n, Oviedo 33007 (Spain); Mendoza-Reséndez, Raquel [Facultad de Ingeniería Mecánica y Eléctrica. Universidad Autónoma de Nuevo León, Pedro de Alba s/n, San Nicolás de Los Garza, Nuevo León 66450 (Mexico); Luna, Carlos [Centro de Investigación de Ciencias Físico Matemáticas/Facultad de Ciencias Físico Matemáticas, Universidad Autónoma de Nuevo León, Pedro de Alba s/n, San Nicolás de Los Garza, Nuevo León 66450 (Mexico); Manuel Prida, Víctor [Departamento de Física, Universidad de Oviedo, Calvo Sotelo s/n, Oviedo 33007 (Spain); and others

    2015-04-01

    Understanding and measuring the magnetic properties of an individual nanowire and their relationship with crystalline structure and geometry are of scientific and technological great interest. In this work, we report the localized study of the magnetic flux distribution and the undisturbed magnetization of a single ferromagnetic nanowire that poses a bar-code like structure using off-axis electron holography (EH) under Lorentz conditions. The nanowires were grown by template-assisted electrodeposition, using AAO templates. Electron holography allows the visualization of the magnetic flux distribution within and surroundings as well as its quantification. The magnetic analysis performed at individual nanowires was correlated with the chemical composition and crystalline orientation of the nanowires. - Highlights: • The structure-magnetic property relationship of CoNi nanowires is determined. • Off axis electron holography for the magnetic nanowires is used for the analysis. • The magnetization is quantitatively obtained from the retrieved phase images. • These results lead to a better comprehension of the magneto-crystalline phenomena.

  18. Quantitative magnetometry analysis and structural characterization of multisegmented cobalt–nickel nanowires

    International Nuclear Information System (INIS)

    Cantu-Valle, Jesus; Díaz Barriga-Castro, Enrique; Vega, Víctor; García, Javier; Mendoza-Reséndez, Raquel; Luna, Carlos; Manuel Prida, Víctor

    2015-01-01

    Understanding and measuring the magnetic properties of an individual nanowire and their relationship with crystalline structure and geometry are of scientific and technological great interest. In this work, we report the localized study of the magnetic flux distribution and the undisturbed magnetization of a single ferromagnetic nanowire that poses a bar-code like structure using off-axis electron holography (EH) under Lorentz conditions. The nanowires were grown by template-assisted electrodeposition, using AAO templates. Electron holography allows the visualization of the magnetic flux distribution within and surroundings as well as its quantification. The magnetic analysis performed at individual nanowires was correlated with the chemical composition and crystalline orientation of the nanowires. - Highlights: • The structure-magnetic property relationship of CoNi nanowires is determined. • Off axis electron holography for the magnetic nanowires is used for the analysis. • The magnetization is quantitatively obtained from the retrieved phase images. • These results lead to a better comprehension of the magneto-crystalline phenomena

  19. Template-grown NiFe/Cu/NiFe nanowires for spin transfer devices

    DEFF Research Database (Denmark)

    Piraux, L.; Renard, K.; Guillemet, R.

    2007-01-01

    We have developed a new reliable method combining template synthesis and nanolithography-based contacting technique to elaborate current perpendicular-to-plane giant magnetoresistance spin valve nanowires, which are very promising for the exploration of electrical spin transfer phenomena....... The method allows the electrical connection of one single nanowire in a large assembly of wires embedded in anodic porous alumina supported on Si substrate with diameters and periodicities to be controllable to a large extent. Both magnetic excitations and switching phenomena driven by a spin...

  20. Piezoelectric effect in InAs/InP quantum rod nanowires grown on silicon substrate

    International Nuclear Information System (INIS)

    Anufriev, Roman; Chauvin, Nicolas; Bru-Chevallier, Catherine; Khmissi, Hammadi; Naji, Khalid; Gendry, Michel; Patriarche, Gilles

    2014-01-01

    We report on the evidence of a strain-induced piezoelectric field in wurtzite InAs/InP quantum rod nanowires. This electric field, caused by the lattice mismatch between InAs and InP, results in the quantum confined Stark effect and, as a consequence, affects the optical properties of the nanowire heterostructure. It is shown that the piezoelectric field can be screened by photogenerated carriers or removed by increasing temperature. Moreover, a dependence of the piezoelectric field on the quantum rod diameter is observed in agreement with simulations of wurtzite InAs/InP quantum rod nanowire heterostructures

  1. Surface roughness induced electron mobility degradation in InAs nanowires

    International Nuclear Information System (INIS)

    Wang Fengyun; Yip, Sen Po; Han, Ning; Fok, KitWa; Lin, Hao; Hou, Jared J; Dong, Guofa; Hung, Tak Fu; Chan, K S; Ho, Johnny C

    2013-01-01

    In this work, we present a study of the surface roughness dependent electron mobility in InAs nanowires grown by the nickel-catalyzed chemical vapor deposition method. These nanowires have good crystallinity, well-controlled surface morphology without any surface coating or tapering and an excellent peak field-effect mobility up to 15 000 cm 2 V −1 s −1 when configured into back-gated field-effect nanowire transistors. Detailed electrical characterizations reveal that the electron mobility degrades monotonically with increasing surface roughness and diameter scaling, while low-temperature measurements further decouple the effects of surface/interface traps and phonon scattering, highlighting the dominant impact of surface roughness scattering on the electron mobility for miniaturized and surface disordered nanowires. All these factors suggest that careful consideration of nanowire geometries and surface condition is required for designing devices with optimal performance. (paper)

  2. Low temperature and self catalytic growth of ultrafine ITO nanowires by electron beam evaporation method and their optical and electrical properties

    International Nuclear Information System (INIS)

    Kumar, R. Rakesh; Rao, K. Narasimha; Rajanna, K.; Phani, A.R.

    2014-01-01

    Highlights: • ITO nanowires were grown by e-beam evaporation method. • ITO nanowires growth done at low substrate temperature of 350 °C. • Nanowires growth was carried out without use of catalyst and reactive oxygen gas. • Nanowires growth proceeds via self catalytic VLS growth. • Grown nanowires have diameter 10–20 nm and length 1–4 μm long. • ITO nanowire films have shown good antireflection property. - Abstract: We report the self catalytic growth of Sn-doped indium oxide (ITO) nanowires (NWs) over a large area glass and silicon substrates by electron beam evaporation method at low substrate temperatures of 250–400 °C. The ITO NWs growth was carried out without using an additional reactive oxygen gas and a metal catalyst particle. Ultrafine diameter (∼10–15 nm) and micron long ITO NWs growth was observed in a temperature window of 300–400 °C. Transmission electron microscope studies confirmed single crystalline nature of the NWs and energy dispersive spectroscopy studies on the NWs confirmed that the NWs growth proceeds via self catalytic vapor-liquid-solid (VLS) growth mechanism. ITO nanowire films grown on glass substrates at a substrate temperature of 300–400 °C have shown ∼2–6% reflection and ∼70–85% transmission in the visible region. Effect of deposition parameters was systematically investigated. The large area growth of ITO nanowire films would find potential applications in the optoelectronic devices

  3. Site-selective fabrication of conducting molecular nanowires based on electrocrystallization

    International Nuclear Information System (INIS)

    Hasegawa, H.; Kubota, T.; Mashiko, S.

    2005-01-01

    We have grown nanowires in a selective position by using an electrochemical process and alternating current. Nanoscale electrocrystallization was carried out in an axially substituted phthalocyanine solution using substrates with two electrodes formed by photolithography. The growth area was limited to the narrowest part of the gap between the tips of the electrodes by using tapered electrodes. The nanowires obtained had a width of approximately 100 nm and a length of more than 1 μm. Analysis of the selected-area electron diffraction pattern showed that the nanowire structure was identical to that of bulk crystal

  4. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    Science.gov (United States)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  5. A generic approach for vertical integration of nanowires

    International Nuclear Information System (INIS)

    Latu-Romain, E; Gilet, P; Noel, P; Garcia, J; Ferret, P; Rosina, M; Feuillet, G; Levy, F; Chelnokov, A

    2008-01-01

    We report on the collective integration technology of vertically aligned nanowires (NWs). Si and ZnO NWs have been used in order to develop a generic technological process. Both mineral and organic planarizations of the as-grown nanowires have been achieved. Chemical vapour deposition (CVD) oxides, spin on glass (SOG), and polymer have been investigated as filling materials. Polishing and/or etching of the composite structures have been set up so as to obtain a suitable morphology for the top and bottom electrical contacts. Electrical and optical characterizations of the integrated NWs have been performed. Contacts ohmicity has been demonstrated and specific contact resistances have been reported. The photoconducting properties of polymer-integrated ZnO NWs have also been investigated in the UV-visible range through collective electrical contacts. A small increase of the resistivity in the ZnO NWs under sub-bandgap illumination has been observed and discussed. A comparison of the photoluminescence (PL) spectra at 300 K of the as-grown and SOG-integrated ZnO nanowires has shown no significant impact of the integration process on the crystal quality of the NWs

  6. A generic approach for vertical integration of nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Latu-Romain, E; Gilet, P; Noel, P; Garcia, J; Ferret, P; Rosina, M; Feuillet, G; Levy, F; Chelnokov, A [CEA-LETI, MINATEC, 17 rue des Martyrs, Grenoble (France)

    2008-08-27

    We report on the collective integration technology of vertically aligned nanowires (NWs). Si and ZnO NWs have been used in order to develop a generic technological process. Both mineral and organic planarizations of the as-grown nanowires have been achieved. Chemical vapour deposition (CVD) oxides, spin on glass (SOG), and polymer have been investigated as filling materials. Polishing and/or etching of the composite structures have been set up so as to obtain a suitable morphology for the top and bottom electrical contacts. Electrical and optical characterizations of the integrated NWs have been performed. Contacts ohmicity has been demonstrated and specific contact resistances have been reported. The photoconducting properties of polymer-integrated ZnO NWs have also been investigated in the UV-visible range through collective electrical contacts. A small increase of the resistivity in the ZnO NWs under sub-bandgap illumination has been observed and discussed. A comparison of the photoluminescence (PL) spectra at 300 K of the as-grown and SOG-integrated ZnO nanowires has shown no significant impact of the integration process on the crystal quality of the NWs.

  7. A generic approach for vertical integration of nanowires.

    Science.gov (United States)

    Latu-Romain, E; Gilet, P; Noel, P; Garcia, J; Ferret, P; Rosina, M; Feuillet, G; Lévy, F; Chelnokov, A

    2008-08-27

    We report on the collective integration technology of vertically aligned nanowires (NWs). Si and ZnO NWs have been used in order to develop a generic technological process. Both mineral and organic planarizations of the as-grown nanowires have been achieved. Chemical vapour deposition (CVD) oxides, spin on glass (SOG), and polymer have been investigated as filling materials. Polishing and/or etching of the composite structures have been set up so as to obtain a suitable morphology for the top and bottom electrical contacts. Electrical and optical characterizations of the integrated NWs have been performed. Contacts ohmicity has been demonstrated and specific contact resistances have been reported. The photoconducting properties of polymer-integrated ZnO NWs have also been investigated in the UV-visible range through collective electrical contacts. A small increase of the resistivity in the ZnO NWs under sub-bandgap illumination has been observed and discussed. A comparison of the photoluminescence (PL) spectra at 300 K of the as-grown and SOG-integrated ZnO nanowires has shown no significant impact of the integration process on the crystal quality of the NWs.

  8. Andreev reflections at interfaces between delta-doped GaAs and superconducting Al films

    DEFF Research Database (Denmark)

    Taboryski, Rafael Jozef; Clausen, Thomas; Hansen, Jørn Bindslev

    1996-01-01

    By placing several Si delta-doped layers close to the surface of a GaAs molecular beam epitaxy-grown crystal, we achieve a compensation of the Schottky barrier and obtain a good Ohmic contact between an in situ deposited (without breaking the vacuum) Al metallization layer and a highly modulation...

  9. Contactless electroreflectance and photoluminescence of InAs quantum dots with GaInNAs barriers grown on GaAs substrate

    International Nuclear Information System (INIS)

    Motyka, M.; Kudrawiec, R.; Misiewicz, J.; Pucicki, D.; Tlaczala, M.; Fischer, M.; Marquardt, B.; Forchel, A.

    2007-01-01

    InAs quantum dots (QDs) with GaInNAs barriers grown on (001) GaAs substrate by molecular beam epitaxy have been studied by contactless electroreflectance (CER) and photoluminescence (PL) spectroscopies. It has been observed that the overgrowth of self-organized InAs QDs with GaInNAs layers effectively tunes the QD emission to the 1.3 μm spectral region. In case of PL spectra only one peak related to QD emission has been observed. In the case of CER spectra, in addition to a CER feature corresponding to the QD ground state, a rich spectrum of CER resonances related to optical transitions in InAs/GaInNAs/GaAs QW has been observed. It has been concluded that the application of GaInNAs instead InGaAs leads to better control of emission wavelength from InAs QDs since strains in GaInNAs can be tuned from compressive to tensile. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. GaAs Solar Cells on V-Grooved Silicon via Selective Area Growth: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Warren, Emily L [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Jain, Nikhil [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Tamboli, Adele C [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Vaisman, Michelle [Yale University; Li, Qiang [Hong Kong University of Science and Technology; Lau, Kei May [Hong Kong University of Science and Technology

    2017-08-31

    Interest in integrating III-Vs onto Si has recently resurged as a promising pathway towards high-efficiency, low-cost tandem photovoltaics. Here, we present a single junction GaAs solar cell grown monolithically on polished Si (001) substrates using V-grooves, selective area growth, and aspect ratio trapping to mitigate defect formation without the use of expensive, thick graded buffers. The GaAs is free of antiphase domains and maintains a relatively low TDD of 4x107 cm-2, despite the lack of a graded buffer. This 6.25 percent-efficient demonstration solar cell shows promise for further improvements to III-V/Si tandems to enable cost-competitive photovoltaics.

  11. Inducer-independent production of pectinases in Aspergillus niger by overexpression of the D-galacturonic acid-responsive transcription factor gaaR.

    Science.gov (United States)

    Alazi, Ebru; Knetsch, Tim; Di Falco, Marcos; Reid, Ian D; Arentshorst, Mark; Visser, Jaap; Tsang, Adrian; Ram, Arthur F J

    2018-03-01

    The transcription factor GaaR is needed for the expression of genes required for pectin degradation and transport and catabolism of the main degradation product, D-galacturonic acid (GA) in Aspergillus niger. In this study, we used the strong constitutive gpdA promoter of Aspergillus nidulans to overexpress gaaR in A. niger. Overexpression of gaaR resulted in an increased transcription of the genes encoding pectinases, (putative) GA transporters, and catabolic pathway enzymes even under non-inducing conditions, i.e., in the absence of GA. Exoproteome analysis of a strain overexpressing gaaR showed that this strain secretes highly elevated levels of pectinases when grown in fructose. The genes encoding exo-polygalacturonases were found to be subjected to CreA-mediated carbon catabolite repression, even in the presence of fructose. Deletion of creA in the strain overexpressing gaaR resulted in a further increase in pectinase production in fructose. We showed that GaaR localizes mainly in the nucleus regardless of the presence of an inducer, and that overexpression of gaaR leads to an increased concentration of GaaR in the nucleus.

  12. Surface-Passivated AlGaN Nanowires for Enhanced Luminescence of Ultraviolet Light Emitting Diodes

    KAUST Repository

    Sun, Haiding

    2017-12-19

    Spontaneously-grown, self-aligned AlGaN nanowire ultraviolet light emitting diodes still suffer from low efficiency partially because of the strong surface recombination caused by surface states, i.e., oxidized surface and high density surface states. Several surface passivation methods have been introduced to reduce surface non-radiative recombination by using complex and toxic chemicals. Here, we present an effective method to suppress such undesirable surface recombination of the AlGaN nanowires via diluted potassium hydroxide (KOH) solution; a commonly used chemical process in semiconductor fabrication which is barely used as surface passivation solution in self-assembled nitride-based nanowires. The transmission electron microscopy investigation on the samples reveals almost intact nanowire structures after the passivation process. We demonstrated an approximately 49.7% enhancement in the ultraviolet light output power after 30-s KOH treatment on AlGaN nanowires grown on titanium-coated silicon substrates. We attribute such a remarkable enhancement to the removal of the surface dangling bonds and oxidized nitrides (Ga-O or Al-O bonds) at the surface as we observe the change of the carrier lifetime before and after the passivation. Thus, our results highlight the possibility of employing this process for the realization of high performance nanowire UV emitters.

  13. Experimental investigations of atomic ordering effects in the epitaxial Ga{sub x}In{sub 1-x}P, coherently grown on GaAs (100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Seredin, P.V., E-mail: paul@phys.vsu.ru [Voronezh State University, Universitetskaya pl., 1, 394006 Voronezh (Russian Federation); Goloshchapov, D.L.; Khudyakov, Yu.Yu.; Lenshin, A.S.; Lukin, A.N. [Voronezh State University, Universitetskaya pl., 1, 394006 Voronezh (Russian Federation); Arsentyev, I.N., E-mail: arsentyev@mail.ioffe.ru [Ioffe Physical and Technical Institute, Polytekhnicheskaya, 26, 194021 St-Petersburg (Russian Federation); Prutskij, Tatiana, E-mail: prutskij@yahoo.com [Instituto de Ciencias, Benemérita Universidad Autónoma de Puebla, Privada 17 Norte, No 3417, Col San Miguel Hueyotlipan, 72050 Puebla, Puebla (Mexico)

    2017-03-15

    A range of structural and spectroscopic techniques were used for the study of the properties of epitaxial Ga{sub x}In{sub 1-x}P alloys with an ordered arrangement of atoms in a crystal lattice grown by MOCVD on single-crystalline substrates of GaAs (100). The appearance of atomic ordering in the coherent growth conditions of the ordered Ga{sub x}In{sub 1-x}P alloy on GaAs (100) resulted in cardinal changes of the structural and optical properties of semiconductor in comparison to disordered alloys, including the change of the crystal lattice parameter and, consequently, reduced crystal symmetry, decreased band gap and formation of two different types of surface nanorelief. This is the first report of the calculation of parameters of the crystal lattice in Ga{sub x}In{sub 1-x}P with ordering taking into account the elastic stresses dependent on long-range ordering. Based on the variance analysis data with regard to the IR-reflection spectra as well as the UV-spectroscopy data obtained in the transmission-reflection mode, the main optical characteristics of the ordered Ga{sub x}In{sub 1-x}P alloys were determined for the first time, namely, refractive index dispersion and high-frequency dielectric constant. All of the experimental results were in good agreement with the previously developed theoretical beliefs.

  14. Novel anti-reflection technology for GaAs single-junction solar cells using surface patterning and Au nanoparticles.

    Science.gov (United States)

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Kim, Sangin; Rotermund, Fabian; Lim, Hanjo; Lee, Jaejin

    2012-07-01

    Single-junction GaAs solar cell structures were grown by low-pressure MOCVD on GaAs (100) substrates. Micro-rod arrays with diameters of 2 microm, 5 microm, and 10 microm were fabricated on the surfaces of the GaAs solar cells via photolithography and wet chemical etching. The patterned surfaces were coated with Au nanoparticles using an Au colloidal solution. Characteristics of the GaAs solar cells with and without the micro-rod arrays and Au nanoparticles were investigated. The short-circuit current density of the GaAs solar cell with 2 microm rod arrays and Au nanoparticles increased up to 34.9% compared to that of the reference cell without micro-rod arrays and Au nanoparticles. The conversion efficiency of the GaAs solar cell that was coated with Au nanoparticles on the patterned surface with micro-rod arrays can be improved from 14.1% to 19.9% under 1 sun AM 1.5G illumination. These results show that micro-rod arrays and Au nanoparticle coating can be applied together in surface patterning to achieve a novel cost-effective anti-reflection technology.

  15. Extended defects in MBE-grown CdTe-based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wichrowska, Karolina; Wosinski, Tadeusz; Kret, Slawomir; Chusnutdinow, Sergij; Karczewski, Grzegorz [Institute of Physics, Polish Academy of Sciences, Warsaw (Poland); Rawski, Michal [Analytical Laboratory, Maria Curie-Sklodowska University, Lublin (Poland); Yastrubchak, Oksana [Institute of Physics, Maria Curie-Sklodowska University, Lublin (Poland)

    2015-08-15

    Extended defects in the p -ZnTe/n -CdTe heterojunctions grown by the molecular-beam epitaxy technique on two different substrates, GaAs and CdTe, have been investigated by deep-level transient spectroscopy (DLTS) and transmission electron microscopy (TEM). Four hole traps, called H1 to H4, and one electron trap, called E3, have been revealed in the DLTS spectra measured for the heterojunctions grown on the GaAs substrates. The H1, H3, H4 and E3 traps have been attributed to the electronic states of dislocations on the ground of their logarithmic capture kinetics. The DLTS peaks associated with the H1 and E3 traps were not observed in the DLTS spectra measured for the heterojunction grown on the CdTe substrate. They are most likely associated with threading dislocations generated at the mismatched interface with the GaAs substrate. Cross-sectional TEM images point out that they are dislocations of the 60 -type. In both the types of heterojunctions the H4 trap was observed only under forward-bias filling pulse, suggesting that this trap is associated with the CdTe/ZnTe interface. In addition, TEM images revealed also the presence of intrinsic and extrinsic stacking faults in the CdTe layers, which may considerably affect their electronic properties. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. High efficiency green/yellow and red InGaN/AlGaN nanowire light-emitting diodes grown by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M.R. Philip

    2017-06-01

    Full Text Available We report on the achievement of high efficiency green, yellow, and red InGaN/AlGaN dot-in-a-wire nanowire light-emitting diodes grown on Si(111 by molecular beam epitaxy. The peak emission wavelengths were altered by varying the growth conditions, including the substrate temperature, and In/Ga flux ratio. The devices demonstrate relatively high (>40% internal quantum efficiency at room temperature, relative to that measured at 5 K. Moreover, negligible blue-shift in peak emission spectrum associated with no efficiency droop was measured when injection current was driven up to 556 A/cm2.

  17. Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Kumar, Mukesh; Kumar, Vikram; Singh, R

    2017-12-01

    Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.

  18. Room temperature photoluminescence in the visible range from silicon nanowires grown by a solid-state reaction

    International Nuclear Information System (INIS)

    Anguita, J V; Sharma, P; Henley, S J; Silva, S R P

    2009-01-01

    The solid-liquid-solid method (also known as the solid-state method) is used to produce silicon nanowires at the core of silica nanowires with a support catalyst layer structure of nickel and titanium layers sputtered on oxide-coated silicon wafers. This silane-free process is low cost and large-area compatible. Using electron microscopy and Raman spectroscopy we deduce that the wires have crystalline silicon cores. The nanowires show photoluminescence in the visible range (orange), and we investigate the origin of this band. We further show that the nanowires form a random mesh that acts as an efficient optical trap, giving rise to an optically absorbing medium.

  19. Room temperature photoluminescence in the visible range from silicon nanowires grown by a solid-state reaction

    Science.gov (United States)

    Anguita, J. V.; Sharma, P.; Henley, S. J.; Silva, S. R. P.

    2009-11-01

    The solid-liquid-solid method (also known as the solid-state method) is used to produce silicon nanowires at the core of silica nanowires with a support catalyst layer structure of nickel and titanium layers sputtered on oxide-coated silicon wafers. This silane-free process is low cost and large-area compatible. Using electron microscopy and Raman spectroscopy we deduce that the wires have crystalline silicon cores. The nanowires show photoluminescence in the visible range (orange), and we investigate the origin of this band. We further show that the nanowires form a random mesh that acts as an efficient optical trap, giving rise to an optically absorbing medium.

  20. Control of threading dislocations by strain engineering in GaInP buffers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Li, K.L., E-mail: klli2010@sinano.ac.cn [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Sun, Y.R. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Dong, J.R. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); He, Y.; Zeng, X.L. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Zhao, Y.M.; Yu, S.Z.; Zhao, C.Y. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China)

    2015-10-30

    High quality strain-relaxed In0.3Ga0.7As layers with threading dislocation density about 2 × 10{sup 6} cm{sup −2} and root-mean-square surface roughness below 8.0 nm were obtained on GaAs substrates using compositionally undulating step-graded Ga{sub 1−x}In{sub x}P (x = 0.48–0.78) buffers. The transmission electron microscopy results reveal that the conventional step-graded GaInP buffers produce high density dislocation pile-ups, which are induced by the blocking effect of the nonuniform misfit dislocation strain field and crosshatched surface on the gliding of threading dislocations. In contrast, due to strain compensation, insertion of the tensile GaInP layers decreases the surface roughness and promotes dislocation annihilation in the interfaces, and eventually reduces the threading dislocation density. This provides a promising way to achieve a virtual substrate with the desired lattice parameter for metamorphic device applications. - Highlights: • Metamorphic GaInP buffers were grown by metal–organic chemical vapor deposition. • The compositionally undulating buffers effectively reduce the threading dislocation density. • High quality strain-relaxed In{sub 0.3}Ga{sub 0.7}As layers were obtained.

  1. InGaAs/GaAsP strain balanced multi-quantum wires grown on misoriented GaAs substrates for high efficiency solar cells

    International Nuclear Information System (INIS)

    Alonso-Álvarez, D.; Thomas, T.; Führer, M.; Hylton, N. P.; Ekins-Daukes, N. J.; Lackner, D.; Philipps, S. P.; Bett, A. W.; Sodabanlu, H.; Fujii, H.; Watanabe, K.; Sugiyama, M.; Nasi, L.; Campanini, M.

    2014-01-01

    Quantum wires (QWRs) form naturally when growing strain balanced InGaAs/GaAsP multi-quantum wells (MQW) on GaAs [100] 6° misoriented substrates under the usual growth conditions. The presence of wires instead of wells could have several unexpected consequences for the performance of the MQW solar cells, both positive and negative, that need to be assessed to achieve high conversion efficiencies. In this letter, we study QWR properties from the point of view of their performance as solar cells by means of transmission electron microscopy, time resolved photoluminescence and external quantum efficiency (EQE) using polarised light. We find that these QWRs have longer lifetimes than nominally identical QWs grown on exact [100] GaAs substrates, of up to 1 μs, at any level of illumination. We attribute this effect to an asymmetric carrier escape from the nanostructures leading to a strong 1D-photo-charging, keeping electrons confined along the wire and holes in the barriers. In principle, these extended lifetimes could be exploited to enhance carrier collection and reduce dark current losses. Light absorption by these QWRs is 1.6 times weaker than QWs, as revealed by EQE measurements, which emphasises the need for more layers of nanostructures or the use light trapping techniques. Contrary to what we expected, QWR show very low absorption anisotropy, only 3.5%, which was the main drawback a priori of this nanostructure. We attribute this to a reduced lateral confinement inside the wires. These results encourage further study and optimization of QWRs for high efficiency solar cells.

  2. Passive high-frequency devices based on superlattice ferromagnetic nanowires

    International Nuclear Information System (INIS)

    Ye, B.; Li, F.; Cimpoesu, D.; Wiley, J.B.; Jung, J.-S.; Stancu, A.; Spinu, L.

    2007-01-01

    In this paper we propose to tailor the bandwidth of a microwave filter by exploitation of shape anisotropy of nanowires. In order to achieve this control of shape anisotropy, we considered superlattice wires containing varying-sized ferromagnetic regions separated by nonferromagnetic regions. Superlattice wires of Ni and Au with a nominal diameter of 200 nm were grown using standard electrodeposition techniques. The microwave properties were probed using X-band (9.8 GHz) ferromagnetic resonance (FMR) experiments performed at room temperature. In order to investigate the effectiveness of the shape anisotropy on the superlattice nanowire based filter the FMR spectrum of superlattice structure is compared to the FMR spectra of nanowires samples with constant length

  3. Effect of substrate temperature on the microstructural properties of titanium nitride nanowires grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Gbordzoe, S.; Kotoka, R.; Craven, Eric; Kumar, D.; Wu, F.; Narayan, J.

    2014-01-01

    The current work reports on the growth and microstructural characterization of titanium nitride (TiN) nanowires on single crystal silicon substrates using a pulsed laser deposition method. The physical and microstructural properties of the nanowires were characterized using field emission scanning electron microscopy (FESEM) and transmission electron microscopy (TEM). The corrosion properties of the TiN nanowires compared to TiN thin film were evaluated using Direct Current potentiodynamic and electrochemical impedance spectroscopy. The nanowires corroded faster than the TiN thin film, because the nanowires have a larger surface area which makes them more reactive in a corrosive environment. It was observed from the FESEM image analyses that as the substrate temperature increases from 600 °C to 800 °C, there was an increase in both diameter (25 nm–50 nm) and length (150 nm–250 nm) of the nanowire growth. There was also an increase in spatial density with an increase of substrate temperature. The TEM results showed that the TiN nanowires grow epitaxially with the silicon substrate via domain matching epitaxy paradigm, despite a large misfit

  4. Water-vapor-enhanced growth of Ge-GeOx core-shell nanowires and Si1-xGexOy nanowires

    International Nuclear Information System (INIS)

    Hsu, T-J; Ko, C-Y; Lin, W-T

    2007-01-01

    The effects of moist Ar on the growth of Ge-GeO x core-shell nanowires (Ge-GeO x NWs) and Si 1-x Ge x O y nanowires (SiGeONWs) on Si substrates without adding a metal catalyst via the carbothermal reduction of GeO 2 powders at 1100 deg. C were studied. No significant nanowires were grown in dry Ar at a flow rate of 100-300 sccm until a bit of water in the range of 0.5-2 ml was loaded into the furnace. More water suppressed the growth of nanowires because of the exhaustion of more graphite powder. The growth of Ge-GeO x NWs and SiGeONWs follows the vapor-solid and vapor-liquid-solid processes, respectively. The present study showed that the water vapor serves as an oxidizer as well as a reducer at 1100 deg. C in enhancing the growth of SiGeONWs and Ge-GeO x NWs, respectively. The growth mechanisms of Ge-GeO x NWs and SiGeONWs are also discussed

  5. GaSb solar cells grown on GaAs via interfacial misfit arrays for use in the III-Sb multi-junction cell

    Science.gov (United States)

    Nelson, George T.; Juang, Bor-Chau; Slocum, Michael A.; Bittner, Zachary S.; Laghumavarapu, Ramesh B.; Huffaker, Diana L.; Hubbard, Seth M.

    2017-12-01

    Growth of GaSb with low threading dislocation density directly on GaAs may be possible with the strategic strain relaxation of interfacial misfit arrays. This creates an opportunity for a multi-junction solar cell with access to a wide range of well-developed direct bandgap materials. Multi-junction cells with a single layer of GaSb/GaAs interfacial misfit arrays could achieve higher efficiency than state-of-the-art inverted metamorphic multi-junction cells while forgoing the need for costly compositionally graded buffer layers. To develop this technology, GaSb single junction cells were grown via molecular beam epitaxy on both GaSb and GaAs substrates to compare homoepitaxial and heteroepitaxial GaSb device results. The GaSb-on-GaSb cell had an AM1.5g efficiency of 5.5% and a 44-sun AM1.5d efficiency of 8.9%. The GaSb-on-GaAs cell was 1.0% efficient under AM1.5g and 4.5% at 44 suns. The lower performance of the heteroepitaxial cell was due to low minority carrier Shockley-Read-Hall lifetimes and bulk shunting caused by defects related to the mismatched growth. A physics-based device simulator was used to create an inverted triple-junction GaInP/GaAs/GaSb model. The model predicted that, with current GaSb-on-GaAs material quality, the not-current-matched, proof-of-concept cell would provide 0.5% absolute efficiency gain over a tandem GaInP/GaAs cell at 1 sun and 2.5% gain at 44 suns, indicating that the effectiveness of the GaSb junction was a function of concentration.

  6. A rapid hydrothermal synthesis of rutile SnO2 nanowires

    International Nuclear Information System (INIS)

    Lupan, O.; Chow, L.; Chai, G.; Schulte, A.; Park, S.; Heinrich, H.

    2009-01-01

    Tin oxide (SnO 2 ) nanowires with rutile structure have been synthesized by a facile hydrothermal method at 98 deg. C. The morphologies and structural properties of the as-grown nanowires/nanoneedles were characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), selected area electron diffraction, X-ray diffraction and Raman spectroscopy. The SEM images reveal tetragonal nanowires of about 10-100 μm in length and 50-100 nm in radius. The Raman scattering peaks indicate a typical rutile phase of the SnO 2 . The effects of molar ratio of SnCl 4 to NH 4 OH on the growth mechanism are discussed

  7. Comparison of different pathways in metamorphic graded buffers on GaAs substrate: Indium incorporation with surface roughness

    International Nuclear Information System (INIS)

    Kumar, Rahul; Mukhopadhyay, P.; Bag, A.; Jana, S. Kr.; Chakraborty, A.; Das, S.; Mahata, M. Kr.; Biswas, D.

    2015-01-01

    Highlights: • In(Al,Ga)As metamorphic buffers on GaAs have been grown. • Surface morphology, strain relaxation and compositional variation have been studied. • Al containing buffers shows inferior surface roughness. • Surface roughness modulates the indium incorporation rate. - Abstract: In this work, compositionally graded In(Al,Ga)As metamorphic buffers (MBs) on GaAs substrate have been grown by MBE through three different paths. A comparative study has been done to comprehend the effect of underlying MB on the constant composition InAlAs healing layer by analyzing the relaxation behaviour, composition and surface morphology of the grown structures. The compositional variation between the constant composition healing layers on top of graded MB has been observed in all three samples although the growth conditions have been kept same. Indium incorporation rate has been found to be dependent on underlying MB. By combining the result of atomic force microscopy, photo-luminescence and X-ray reciprocal space mapping, varying surface roughness has been proposed as the probable driving force behind different Indium incorporation rate

  8. Comparison of different pathways in metamorphic graded buffers on GaAs substrate: Indium incorporation with surface roughness

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Rahul, E-mail: rkp203@gmail.com [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Mukhopadhyay, P. [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur 721302 (India); Bag, A.; Jana, S. Kr. [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Chakraborty, A. [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India); Das, S.; Mahata, M. Kr. [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Biswas, D. [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India)

    2015-01-01

    Highlights: • In(Al,Ga)As metamorphic buffers on GaAs have been grown. • Surface morphology, strain relaxation and compositional variation have been studied. • Al containing buffers shows inferior surface roughness. • Surface roughness modulates the indium incorporation rate. - Abstract: In this work, compositionally graded In(Al,Ga)As metamorphic buffers (MBs) on GaAs substrate have been grown by MBE through three different paths. A comparative study has been done to comprehend the effect of underlying MB on the constant composition InAlAs healing layer by analyzing the relaxation behaviour, composition and surface morphology of the grown structures. The compositional variation between the constant composition healing layers on top of graded MB has been observed in all three samples although the growth conditions have been kept same. Indium incorporation rate has been found to be dependent on underlying MB. By combining the result of atomic force microscopy, photo-luminescence and X-ray reciprocal space mapping, varying surface roughness has been proposed as the probable driving force behind different Indium incorporation rate.

  9. Electrical transport of bottom-up grown single-crystal Si1-xGex nanowire

    International Nuclear Information System (INIS)

    Yang, W F; Lee, S J; Liang, G C; Whang, S J; Kwong, D L

    2008-01-01

    In this work, we fabricated an Si 1-x Ge x nanowire (NW) metal-oxide-semiconductor field-effect transistor (MOSFET) by using bottom-up grown single-crystal Si 1-x Ge x NWs integrated with HfO 2 gate dielectric, TaN/Ta gate electrode and Pd Schottky source/drain electrodes, and investigated the electrical transport properties of Si 1-x Ge x NWs. It is found that both undoped and phosphorus-doped Si 1-x Ge x NW MOSFETs exhibit p-MOS operation while enhanced performance of higher I on ∼100 nA and I on /I off ∼10 5 are achieved from phosphorus-doped Si 1-x Ge x NWs, which can be attributed to the reduction of the effective Schottky barrier height (SBH). Further improvement in gate control with a subthreshold slope of 142 mV dec -1 was obtained by reducing HfO 2 gate dielectric thickness. A comprehensive study on SBH between the Si 1-x Ge x NW channel and Pd source/drain shows that a doped Si 1-x Ge x NW has a lower effective SBH due to a thinner depletion width at the junction and the gate oxide thickness has negligible effect on effective SBH

  10. Substrate dependent self-organization of mesoporous cobalt oxide nanowires with remarkable pseudocapacitance

    KAUST Repository

    Baby, Rakhi Raghavan

    2012-05-09

    A scheme of current collector dependent self-organization of mesoporous cobalt oxide nanowires has been used to create unique supercapacitor electrodes, with each nanowire making direct contact with the current collector. The fabricated electrodes offer the desired properties of macroporosity to allow facile electrolyte flow, thereby reducing device resistance and nanoporosity with large surface area to allow faster reaction kinetics. Co 3O 4 nanowires grown on carbon fiber paper collectors self-organize into a brush-like morphology with the nanowires completely surrounding the carbon microfiber cores. In comparison, Co 3O 4 nanowires grown on planar graphitized carbon paper collectors self-organize into a flower-like morphology. In three electrode configuration, brush-like and flower-like morphologies exhibited specific capacitance values of 1525 and 1199 F/g, respectively, at a constant current density of 1 A/g. In two electrode configuration, the brush-like nanowire morphology resulted in a superior supercapacitor performance with high specific capacitances of 911 F/g at 0.25 A/g and 784 F/g at 40 A/g. In comparison, the flower-like morphology exhibited lower specific capacitance values of 620 F/g at 0.25 A/g and 423 F/g at 40 A/g. The Co 3O 4 nanowires with brush-like morphology exhibited high values of specific power (71 kW/kg) and specific energy (81 Wh/kg). Maximum energy and power densities calculated for Co 3O 4 nanowires with flower-like morphology were 55 Wh/kg and 37 kW/kg respectively. Both electrode designs exhibited excellent cycling stability by retaining ∼91-94% of their maximum capacitance after 5000 cycles of continuous charge-discharge. © 2012 American Chemical Society.

  11. Effect of precursors stoichiometry on morphology, crystallinity and electrical properties of ZnTe epilayers grown on (100)GaAs by MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Paiano, P.; Lovergine, N.; Mancini, A.M. [Dipartimento di Ingegneria dell' Innovazione, Universita di Lecce, Via Arnesano, I-73100 Lecce (Italy); Prete, P. [Istituto per la Microelettronica e Microsistemi del CNR, Sezione di Lecce, Via Arnesano, I-73100 Lecce (Italy)

    2005-11-01

    The effect of precursors vapour stoichiometry on the morphological, structural and electrical properties of nominally undoped ZnTe grown on (100)GaAs by metalorganic vapour phase epitaxy is reported. The epilayers were grown at 350 C using dimethylzinc (Me{sub 2}Zn) and di-isopropyltelluride, varying their molar flow rate ratios (MFRs) between 0.17 and 3.10. Growth in nearly stoichiometric (MFR=1.03) conditions results in best surface morphology, while samples grown in Te-rich conditions (MFR>1.7) showed micron-size hollow defects (with surface densities up to {proportional_to}10{sup 6} cm{sup -2}) elongated in one of the left angle 011 right angle in-plane directions. The defects are associated to a local structural disorder of the material, ascribed to the formation of a Ga{sub 2}Te{sub 3} extrinsic phase at the ZnTe/GaAs interface. Ohmic contacts to p -ZnTe epilayers were prepared by tungsten evaporation and annealing at 350 C. The RT hole concentration in the epilayers varies almost linearly with Me{sub 2}Zn molar flow between 2 x 10{sup 15} cm{sup -3} and 5 x 10{sup 16} cm{sup -3}. Temperature-dependent Hall measurements performed on samples grown at MFR{<=}1.03 demonstrate that the material p-type conductivity originates from a single acceptor centre with an ionisation energy between 94.7 meV and 118 meV, its concentration being in the 10{sup 16} cm{sup -3} range and slowly increasing with Me{sub 2}Zn flow. We ascribe this acceptor to a complex formed by a substitutional carbon atom on a Te site and a donor on a nearest neighbor site (C{sub Te}-D{sub Zn}), the donor impurity being further identified as Ga diffusing from the substrate. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Solution Grown Se/Te Nanowires: Nucleation, Evolution, and The Role of Triganol Te seeds

    Directory of Open Access Journals (Sweden)

    Shan Xudong

    2009-01-01

    Full Text Available Abstract We have studied the nucleation and growth of Se–Te nanowires (NWs, with different morphologies, grown by a chemical solution process. Through systematic characterization of the Se–Te NW morphology as a function of the Te nanocrystallines (NCs precursor, the relative ratio between Se and Te, and the growth time, a number of significant insights into Se–Te NW growth by chemical solution processes have been developed. Specifically, we have found that: (i the growth of Se–Te NWs can be initiated from either long or short triganol Te nanorods, (ii the frequency of proximal interactions between nanorod tips and the competition between Se and Te at the end of short Te nanorods results in V-shaped structures of Se–Te NWs, the ratio between Se and Te having great effect on the morphology of Se–Te NWs, (iii by using long Te nanorods as seeds, Se–Te NWs with straight morphology were obtained. Many of these findings on Se–Te NW growth can be further generalized and provide very useful information for the rational synthesis of group VI based semiconductor NW compounds.

  13. Diffraction anomalous fine-structure study of strained Ga1-xInxAs on GaAs(001)

    International Nuclear Information System (INIS)

    Woicik, J.C.; Cross, J.O.; Bouldin, C.E.; Ravel, B.; Pellegrino, J.G.; Steiner, B.; Bompadre, S.G.; Sorensen, L.B.; Miyano, K.E.; Kirkland, J.P.

    1998-01-01

    Diffraction anomalous fine-structure measurements performed at both the Ga and As K edges have determined the Ga-As bond length to be 2.442±0.005thinsp Angstrom in a buried, 213-Angstrom-thick Ga 0.785 In 0.215 As layer grown coherently on GaAs(001). This bond length corresponds to a strain-induced contraction of 0.013±0.005thinsp Angstrom relative to the Ga-As bond length in bulk Ga 1-x In x As of the same composition. Together with recent extended x-ray-absorption fine-structure measurements performed at the In K edge [Woicik et al., Phys. Rev. Lett. 79, 5026 (1997)], excellent agreement is found with the uniform bond-length distortion model for strained-layer semiconductors on (001) substrates. copyright 1998 The American Physical Society

  14. Structural and electronic properties of isovalent boron atoms in GaAs

    Science.gov (United States)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  15. CdS nanowires formed by chemical synthesis using conjugated single-stranded DNA molecules

    Science.gov (United States)

    Sarangi, S. N.; Sahu, S. N.; Nozaki, S.

    2018-03-01

    CdS nanowires were successfully grown by chemical synthesis using two conjugated single-stranded (ss) DNA molecules, poly G (30) and poly C (30), as templates. During the early stage of the synthesis with the DNA molecules, the Cd 2+ interacts with Poly G and Poly C and produces the (Cd 2+)-Poly GC complex. As the growth proceeds, it results in nanowires. The structural analysis by grazing angle x-ray diffraction and transmission electron microscopy confirmed the zinc-blende CdS nanowires with the growth direction of . Although the nanowires are well surface-passivated with the DNA molecules, the photoluminescence quenching was caused by the electron transfer from the nanowires to the DNA molecules. The quenching can be used to detect and label the DNAs.

  16. Molecular beam epitaxy of InN nanowires on Si

    Science.gov (United States)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Laskar, Masihhur R.; May, Brelon J.; Myers, Roberto C.

    2015-10-01

    We report on a systematic growth study of the nucleation process of InN nanowires on Si(1 1 1) substrates using plasma assisted molecular beam epitaxy (PAMBE). Samples are grown with various substrate temperatures and III/V ratios. Scanning electron microscopy, X-ray diffraction spectroscopy, energy dispersive X-ray spectroscopy, and photoluminescence are carried out to map out the variation in structural and optical properties versus growth conditions. Statistical averages of areal density, height, and radius are mapped as a function of substrate temperature and III/V ratio. Three different morphological phases are identified on the growth surface: InN, α-In and β-In. Based on SEM image analysis of samples grown at different conditions, the formation mechanism of these phases is proposed. Finally, the growth phase diagram of PAMBE grown InN on Si under N-rich condition is presented, and tapered versus non-tapered growth conditions are identified. It is found that high growth temperature and low III/V ratio plays a critical role in the growth of non-tapered InN nanowires.

  17. Structural and magnetic properties of nickel nanowires grown in porous anodic aluminium oxide template by electrochemical deposition technique

    Science.gov (United States)

    Nugraha Pratama, Sendi; Kurniawan, Yudhi; Muhammady, Shibghatullah; Takase, Kouichi; Darma, Yudi

    2018-03-01

    We study the formation of nickel nanowires (Ni NWs) grown in porous anodic aluminium oxide (AAO) template by the electrochemical deposition technique. Here, the initial AAO template was grown by anodization of aluminium substrate in sulphuric acid solution. The cross-section, crystal structure, and magnetic properties of Ni NWs system were characterized by field-emission SEM, XRD, and SQUID. As a result, the highly-ordered Ni NWs are observed with the uniform diameter of 27 nm and the length from 31 to 163 nm. Based on XRD spectra analysis, Ni NWs have the face-centered cubic structure with the lattice parameter of 0.35 nm and average crystallite size of 17.19 nm. From SQUID measurement at room temperature, by maintaining the magnetic field perpendicular to Ni NWs axis, the magnetic hysteresis of Ni NWs system show the strong ferromagnetism with the coercivity and remanence ratio of ∼148 Oe and ∼0.23, respectively. The magnetic properties are also calculated by means of generalized gradient approximation methods. From the calculation result, we show that the ferromagnetism behavior comes from Ni NWs without any contribution from AAO template or the substrate. This study opens the potential application of Ni NWs system for novel functional magnetic devices.

  18. Accelerated GaAs growth through MOVPE for low-cost PV applications

    Science.gov (United States)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  19. Stable and Controllable Synthesis of Silver Nanowires for Transparent Conducting Film

    Science.gov (United States)

    Liu, Bitao; Yan, Hengqing; Chen, Shanyong; Guan, Youwei; Wu, Guoguo; Jin, Rong; Li, Lu

    2017-03-01

    Silver nanowires without particles are synthesized by a solvothermal method at temperature 150 °C. Silver nanowires are prepared via a reducing agent of glycerol and a capping agent of polyvinylpyrrolidone ( M w ≈ 1,300,000). Both of them can improve the purity of the as-prepared silver nanowires. With controllable shapes and sizes, silver nanowires are grown continuously up to 10-20 μm in length with 40-50 nm in diameter. To improve the yield of silver nanowires, the different concentrations of AgNO3 synthesis silver nanowires are discussed. The characterizations of the synthesized silver nanowires are analyzed by UV-visible absorption spectroscopy, X-ray diffraction (XRD), scanning electron microscopy (SEM), and atomic force microscope (AFM), and silver nanowires are pumped on the cellulose membrane and heated stress on the PET. Then, the cellulose membrane is dissolved by the steam of acetone to prepare flexible transparent conducting thin film, which is detected 89.9 of transmittance and 58 Ω/□. Additionally, there is a close loop connected by the thin film, a blue LED, a pair of batteries, and a number of wires, to determinate directly the film if conductive or not.

  20. Lasing in robust cesium lead halide perovskite nanowires

    Science.gov (United States)

    Eaton, Samuel W.; Lai, Minliang; Gibson, Natalie A.; Wong, Andrew B.; Dou, Letian; Ma, Jie; Wang, Lin-Wang; Leone, Stephen R.; Yang, Peidong

    2016-01-01

    The rapidly growing field of nanoscale lasers can be advanced through the discovery of new, tunable light sources. The emission wavelength tunability demonstrated in perovskite materials is an attractive property for nanoscale lasers. Whereas organic–inorganic lead halide perovskite materials are known for their instability, cesium lead halides offer a robust alternative without sacrificing emission tunability or ease of synthesis. Here, we report the low-temperature, solution-phase growth of cesium lead halide nanowires exhibiting low-threshold lasing and high stability. The as-grown nanowires are single crystalline with well-formed facets, and act as high-quality laser cavities. The nanowires display excellent stability while stored and handled under ambient conditions over the course of weeks. Upon optical excitation, Fabry–Pérot lasing occurs in CsPbBr3 nanowires with an onset of 5 μJ cm−2 with the nanowire cavity displaying a maximum quality factor of 1,009 ± 5. Lasing under constant, pulsed excitation can be maintained for over 1 h, the equivalent of 109 excitation cycles, and lasing persists upon exposure to ambient atmosphere. Wavelength tunability in the green and blue regions of the spectrum in conjunction with excellent stability makes these nanowire lasers attractive for device fabrication. PMID:26862172

  1. Effects of buffer layer on the structural and electrical properties of InAsSb epilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jayavel, P.; Nakamura, S.; Koyama, T.; Hayakawa, Y.

    2006-01-01

    InAsSb ternary epilayers with arsenic composition of 0.5 have been grown on GaAs(001) substrates. Linear-graded and step-graded InAsSb buffer layers with an InSb layer have been used to relax lattice mismatch between the epilayer and substrate. X-ray diffraction results of the epilayers indicate that an enhancement in the peak intensity of the buffer layer samples is due to improved crystalline quality of the epilayers. We find that the growth technique of the buffer layer strongly influences the surface morphology and roughness of the epilayer. Hall effect measurements of the step-graded buffer layer samples show an order of magnitude higher electron mobility than the direct and linear-graded buffer layer samples. These results demonstrate that high crystalline quality and electron mobility of the InAs 0.5 Sb 0.5 ternary epilayers can be achieved by using the step-graded InAsSb buffer layers. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Observation of the in-plane spin-dephasing anisotropy in [111]-grown GaAs/AlGaAs quantum well

    International Nuclear Information System (INIS)

    Zhao, Chunbo; Li, Junbin; Yu, Ying; Ni, Haiqiao; Niu, Zhichuan; Zhang, Xinhui

    2014-01-01

    The electron density and temperature dependent in-plane spin-dephasing anisotropy in [111]-grown GaAs quantum well (QW) has been investigated by time-resolved magneto-Kerr rotation technique. Due to the specific symmetry of [111]-grown quantum well, the in-plane Rashba and linear Dresselhaus effective spin-orbit magnetic field is parallel to each other for electron wave vectors in all directions. However, an obvious in-plane spin-dephasing anisotropy comparing [2 ¯ 11] with [01 ¯ 1] crystalline orientations has been observed and discussed in this work. Our results demonstrate the innegligible spin dephasing channel through inhomogeneous broadening induced by the out-of-plane non-linear Dresselhaus field, which arises naturally from the C 3 symmetry of [111]-grown GaAs QW

  3. Sulfur passivation of semi-insulating GaAs: Transition from Coulomb blockade to weak localization regime

    Energy Technology Data Exchange (ETDEWEB)

    Bagraev, N. T., E-mail: Bagraev@mail.ioffe.ru [Ioffe Institute (Russian Federation); Chaikina, E. I. [Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Division de Fisica Aplicada (Mexico); Danilovskii, E. Yu.; Gets, D. S.; Klyachkin, L. E.; L’vova, T. V.; Malyarenko, A. M. [Ioffe Institute (Russian Federation)

    2016-04-15

    The sulfur passivation of the semi-insulating GaAs bulk (SI GaAs) grown in an excess phase of arsenic is used to observe the transition from the Coulomb blockade to the weak localization regime at room temperature. The I–V characteristics of the SI GaAs device reveal nonlinear behavior that appears to be evidence of the Coulomb blockade process as well as the Coulomb oscillations. The sulfur passivation of the SI GaAs device surface results in enormous transformation of the I–V characteristics that demonstrate the strong increase of the resistance and Coulomb blockade regime is replaced by the electron tunneling processes. The results obtained are analyzed within frameworks of disordering SI GaAs surface that is caused by inhomogeneous distribution of the donor and acceptor anti-site defects which affects the conditions of quantum- mechanical tunneling. Weak localization processes caused by the preservation of the Fermi level pinning are demonstrated by measuring the negative magnetoresistance in weak magnetic fields at room temperature. Finally, the studies of the magnetoresistance at higher magnetic fields reveal the h/2e Aharonov–Altshuler–Spivak oscillations with the complicated behavior due to possible statistical mismatch of the interference paths in the presence of different microdefects.

  4. Raman study of low-temperature-grown Al0.29Ga0.71ASGaAs photorefractive materials

    International Nuclear Information System (INIS)

    Guo, L.W.; Han, Y.J.; Hu, C.Y.; Tan, P.H.; Yang, F.H.; Huang, Q.; Zhou, J.M.

    2002-01-01

    We report on the observation of resonant Raman scattering in low-temperature-grown AlGaASGaAs structure. Two kinds of excitation lights, 632.8 and 488 nm laser lines, were used to detect scattering signal from different regions based on different penetration depths. Under the outgoing resonant condition, up to fourth-order resonant Raman peaks were observed in the low-temperature-grown AlGaAs alloy, owing to a broad exciton luminescence in low-temperature-grown AlGaAs alloy induced by intrinsic defects and As cluster after post-annealing. These resonant peaks were assigned according to their fundamental modes. Among the resonant peaks, besides the overtones of the GaAs- or AlAs-like mode, there exist combination bands of these two kinds of modes. In addition, a weak scattering peak similar to the bulk GaAs longitudinal optical mode was observed in low-temperature Raman experiments. We consider the weak signal correlated with GaAs clusters appearing in AlGaAs alloys. The accumulation of GaAs in AlGaAs alloys was enhanced after annealing at high temperatures. A detailed study of the dependence of vibration modes on measuring temperature and post-annealing conditions is given also. In light of our experiments, it is suggested that a Raman scattering experiment is a sensitive microscopic probe of local disorder and, especially performed at low temperature, is a superior method in detecting and analyzing the weak interaction between phonons and electrons

  5. Heteroepitaxially grown InP solar cells

    International Nuclear Information System (INIS)

    Weinberg, I.; Swartz, C.K.; Brinker, D.J.; Wilt, D.M.

    1990-01-01

    Although they are significantly more radiation resistant than either Si or GaAs solar cells, their high wafer cost presents a barrier to the widespread use of InP solar cells in space. For this reason, the authors have initiated a program aimed at producing high efficiency, radiation resistant solar cells processed from InP heteroepitaxially grown on cheaper substrates. The authors' objective is to present the most recent results emanating from this program together with the results of their initial proton irradiations on these cells. This paper reports that InP cells were processed from a 4 micron layer of InP, grown by OMCVD on a silicon substrate, with a 0.5 micron buffer layer between the InP directly grown on a GaAs substrate. Initial feasibility studies, in a Lewis sponsored program at the Spire corporation, resulted in air mass zero efficiencies of 7.1% for the former cells and 9.1% for the latter. These initial low efficiencies are attributed to the high dislocation densities caused by lattice mismatch. The authors' preirradiation analysis indicates extremely low minority carrier diffusion lengths, in both cell base and emitter, and high values of both the diffusion and recombination components of the diode reverse saturation currents. Irradiation by 10 MeV protons, to a fluence of 10 13 cm -2 , resulted in relatively low degradation in cell efficiency, short circuit current and open circuit voltage

  6. Real-time impedance analysis of silica nanowire toxicity on epithelial breast cancer cells.

    Science.gov (United States)

    Alexander, Frank A; Huey, Eric G; Price, Dorielle T; Bhansali, Shekhar

    2012-12-21

    Silica nanowires have great potential for usage in the development of highly sensitive in vivo biosensors used for biomarker monitoring. However, careful analysis of nanowire toxicity is required prior to placing these sensors within the human body. This paper describes a real-time and quantitative analysis of nanowire cytotoxicity using impedance spectroscopy; improving upon studies that have utilized traditional endpoint assays. Silica nanowires were grown using the vapor liquid solid (VLS) method, mixed with Dulbecco's Modified Eagle Medium (DMEM) and exposed to Hs578T epithelial breast cancer cells at concentrations of 0 μg ml(-1), 1 μg ml(-1), 50 μg ml(-1) and 100 μg ml(-1). Real-time cellular responses to silica nanowires confirm that while not cytotoxic, silica nanowires at high concentrations (≥50 μg ml(-1)) are toxic to cells, and also suggest that cell death is due to mechanical disturbances of high numbers of nanowires.

  7. Effect of low and staggered gap quantum wells inserted in GaAs tunnel junctions

    Science.gov (United States)

    Louarn, K.; Claveau, Y.; Marigo-Lombart, L.; Fontaine, C.; Arnoult, A.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2018-04-01

    In this article, we investigate the impact of the insertion of either a type I InGaAs or a type II InGaAs/GaAsSb quantum well on the performances of MBE-grown GaAs tunnel junctions (TJs). The devices are designed and simulated using a quantum transport model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We experimentally observe significant improvements of the peak tunneling current density on both heterostructures with a 460-fold increase for a moderately doped GaAs TJ when the InGaAs QW is inserted at the junction interface, and a 3-fold improvement on a highly doped GaAs TJ integrating a type II InGaAs/GaAsSb QW. Thus, the simple insertion of staggered band lineup heterostructures enables us to reach a tunneling current well above the kA cm‑2 range, equivalent to the best achieved results for Si-doped GaAs TJs, implying very interesting potential for TJ-based components, such as multi-junction solar cells, vertical cavity surface emitting lasers and tunnel-field effect transistors.

  8. Effects of Be doping on InP nanowire growth mechanisms

    Energy Technology Data Exchange (ETDEWEB)

    Yee, R. J.; Gibson, S. J.; LaPierre, R. R. [Department of Engineering Physics, Centre for Emerging Device Technologies, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Dubrovskii, V. G. [St. Petersburg Academic University, Khlopina 8/3, 194021 St. Petersburg (Russian Federation); Ioffe Physical Technical Institute RAS, Politekhnicheskaya 26, 194021 St. Petersburg (Russian Federation)

    2012-12-24

    Be-doped InP nanowires were grown by the gold-assisted vapour-liquid-solid mechanism in a gas source molecular beam epitaxy system. The InP nanowire length versus diameter [L(D)] dependence revealed an unexpected transition with increasing Be dopant concentration. At Be dopant concentration below {approx}10{sup 18} cm{sup -3}, nanowires exhibited the usual inverse L(D) relationship, indicating a diffusion-limited growth regime. However, as dopant concentration increased, the nanowire growth rate was suppressed for small diameters, resulting in an unusual L(D) dependence that increased before saturating in height at about 400 nm. The cause of this may be a change in the droplet chemical potential, introducing a barrier to island nucleation. We propose a model accounting for the limitations of diffusion length and monolayer nucleation to explain this behaviour.

  9. Crystallinity, Surface Morphology, and Photoelectrochemical Effects in Conical InP and InN Nanowires Grown on Silicon.

    Science.gov (United States)

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-08-24

    The growth conditions of two types of indium-based III-V nanowires, InP and InN, are tailored such that instead of yielding conventional wire-type morphologies, single-crystal conical structures are formed with an enlarged diameter either near the base or near the tip. By using indium droplets as a growth catalyst, combined with an excess indium supply during growth, "ice cream cone" type structures are formed with a nanowire "cone" and an indium-based "ice cream" droplet on top for both InP and InN. Surface polycrystallinity and annihilation of the catalyst tip of the conical InP nanowires are observed when the indium supply is turned off during the growth process. This growth design technique is extended to create single-crystal InN nanowires with the same morphology. Conical InN nanowires with an enlarged base are obtained through the use of an excess combined Au-In growth catalyst. Electrochemical studies of the InP nanowires on silicon demonstrate a reduction photocurrent as a proof of photovolatic behavior and provide insight as to how the observed surface polycrystallinity and the resulting interface affect these device-level properties. Additionally, a photovoltage is induced in both types of conical InN nanowires on silicon, which is not replicated in epitaxial InN thin films.

  10. Dramatically enhanced ultraviolet photosensing mechanism in a n-ZnO nanowires/i-MgO/n-Si structure with highly dense nanowires and ultrathin MgO layers

    International Nuclear Information System (INIS)

    Kim, Dong Chan; Jung, Byung Oh; Cho, Hyung Koun; Lee, Ju Ho; Lee, Jeong Yong; Lee, Jun Hee

    2011-01-01

    This study reports that the visible-blind ultraviolet (UV) photodetecting properties of ZnO nanowire based photodetectors were remarkably improved by introducing ultrathin insulating MgO layers between the ZnO nanowires and Si substrates. All layers were grown without pause by metal organic chemical vapor deposition and the density and vertical arrangement of the ZnO nanowires were strongly dependent on the thickness of the MgO layers. The sample in which an MgO layer with a thickness of 8 nm was inserted had high density nanowires with a vertical alignment and showed dramatically improved UV photosensing performance (photo-to-dark current ratio = 1344.5 and recovery time = 350 ms). The photoresponse spectrum revealed good visible-blind UV detectivity with a sharp cut off at 378 nm and a high UV/visible rejection ratio. A detailed discussion regarding the developed UV photosensing mechanism from the introduction of the i-MgO layers and highly dense nanowires in the n-ZnO nanowires/i-MgO/n-Si substrates structure is presented in this work.

  11. Growth and characterization of straight InAs/GaAs nanowire heterostructures on Si substrate

    International Nuclear Information System (INIS)

    Yan Xin; Zhang Xia; Li Jun-Shuai; Lü Xiao-Long; Ren Xiao-Min; Huang Yong-Qing

    2013-01-01

    Vertical InAs/GaAs nanowire (NW) heterostructures with a straight InAs segment have been successfully fabricated on Si (111) substrate by using AlGaAs/GaAs buffer layers coupled with a composition grading InGaAs segment. Both the GaAs and InAs segments are not limited by the misfit strain induced critical diameter. The low growth rate of InAs NWs is attributed to the AlGaAs/GaAs buffer layers which dramatically decrease the adatom diffusion contribution to the InAs NW growth. The crystal structure of InAs NW can be tuned from zincblende to wurtzite by controlling its diameter as well as the length of GaAs NWs. This work helps to open up a road for the integration of high-quality III-V NW heterostructures with Si

  12. Auger Recombination in III-Nitride Nanowires and Its Effect on Nanowire Light-Emitting Diode Characteristics

    KAUST Repository

    Guo, Wei; Zhang, Meng; Bhattacharya, Pallab; Heo, Junseok

    2011-01-01

    We have measured the Auger recombination coefficients in defect-free InGaN nanowires (NW) and InGaN/GaN dot-in-nanowire (DNW) samples grown on (001) silicon by plasma-assisted molecular beam epitaxy. The nanowires have a density of ∼1×1011 cm-2 and exhibit photoluminescence emission peak at λ ∼ 500 nm. The Auger coefficients as a function of excitation power have been derived from excitation dependent and time-resolved photoluminescence measurements over a wide range of optical excitation power density. The values of C0, defined as the Auger coefficient at low excitation, are 6.1 × 10-32 and 4.1×10-33 cm6·s-1 in the NW and DNW samples, respectively, which are in reasonably good agreement with theoretical predictions for InGaN alloy semiconductors. Light-emitting diodes made with the NW and DNW samples exhibit no efficiency droop up to an injection current density of 400 A/cm 2. © 2011 American Chemical Society.

  13. Auger Recombination in III-Nitride Nanowires and Its Effect on Nanowire Light-Emitting Diode Characteristics

    KAUST Repository

    Guo, Wei

    2011-04-13

    We have measured the Auger recombination coefficients in defect-free InGaN nanowires (NW) and InGaN/GaN dot-in-nanowire (DNW) samples grown on (001) silicon by plasma-assisted molecular beam epitaxy. The nanowires have a density of ∼1×1011 cm-2 and exhibit photoluminescence emission peak at λ ∼ 500 nm. The Auger coefficients as a function of excitation power have been derived from excitation dependent and time-resolved photoluminescence measurements over a wide range of optical excitation power density. The values of C0, defined as the Auger coefficient at low excitation, are 6.1 × 10-32 and 4.1×10-33 cm6·s-1 in the NW and DNW samples, respectively, which are in reasonably good agreement with theoretical predictions for InGaN alloy semiconductors. Light-emitting diodes made with the NW and DNW samples exhibit no efficiency droop up to an injection current density of 400 A/cm 2. © 2011 American Chemical Society.

  14. Self-organized patterns along sidewalls of iron silicide nanowires on Si(110) and their origin

    Energy Technology Data Exchange (ETDEWEB)

    Das, Debolina; Mahato, J. C.; Bisi, Bhaskar; Dev, B. N., E-mail: msbnd@iacs.res.in [Department of Materials Science, Indian Association for the Cultivation of Science, Kolkata 700032 (India); Satpati, B. [Surface Physics and Material Science Division, Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Kolkata 700064 (India)

    2014-11-10

    Iron silicide (cubic FeSi{sub 2}) nanowires have been grown on Si(110) by reactive deposition epitaxy and investigated by scanning tunneling microscopy and scanning/transmission electron microscopy. On an otherwise uniform nanowire, a semi-periodic pattern along the edges of FeSi{sub 2} nanowires has been discovered. The origin of such growth patterns has been traced to initial growth of silicide nanodots with a pyramidal Si base at the chevron-like atomic arrangement of a clean reconstructed Si(110) surface. The pyramidal base evolves into a comb-like structure along the edges of the nanowires. This causes the semi-periodic structure of the iron silicide nanowires along their edges.

  15. Effect of diffusion from a lateral surface on the rate of GaN nanowire growth

    International Nuclear Information System (INIS)

    Sibirev, N. V.; Tchernycheva, M.; Cirlin, G. E.; Patriarche, G.; Harmand, J. C.; Dubrovskii, V. G.

    2012-01-01

    The kinetics of the growth of GaN crystalline nanowires on a Si (111) surface with no catalyst is studied experimentally and theoretically. Noncatalytic GaN nanowires were grown by molecular-beam epitaxy with AlN inserts, which makes it possible to determine the rate of the vertical growth of nanowires. A model for the formation of GaN nanowires is developed, and an expression for their rate of growth is derived. It is shown that, in the general case, the dependence of the rate of growth on the nanowire diameter has a minimum. The diameter corresponding to the experimentally observed minimum of the rate of growth steadily increases with increasing diffusion flux from the lateral surface.

  16. Synthesis of vertical arrays of ultra long ZnO nanowires on noncrystalline substrates

    International Nuclear Information System (INIS)

    Kwon, Bong Jun; Lee, Kyung Moon; Shin, Hae-Young; Kim, Jinwoong; Liu, Jinzhang; Yoon, Seokhyun; Lee, Soonil; Ahn, Y.H.; Park, Ji-Yong

    2012-01-01

    Highlights: ► Arrays of vertical ultra-long ZnO nanowires with lengths upto 300 μm. ► Controls of lengths and diameters of vertical arrays of ZnO nanowires on SiO 2 substrates. ► Luminescent and electrical properties of ZnO nanowires prepared with different growth conditions. - Abstract: Vertically aligned arrays of ultralong ZnO nanowires were synthesized on SiO 2 substrates with carbothermal vapor phase transport method with Au seeding layer. High density of vertically aligned ZnO nanowires with lengths from a few to ∼300 μm could be grown by controlling growth conditions. Supply of high concentration of Zn vapor and control of the ratio between Zn vapor and oxygen are found to have the most significant effects on the growth of long ZnO nanowires in the vapor–solid growth mechanism. The nanowires are of high crystalline quality as confirmed by various structural, compositional, and luminescent measurements. Luminescent and electrical properties of ZnO nanowires with different growth conditions were also investigated.

  17. Physics and performances of III-V nanowire broken-gap heterojunction TFETs using an efficient tight-binding mode-space NEGF model enabling million-atom nanowire simulations.

    Science.gov (United States)

    Afzalian, A; Vasen, T; Ramvall, P; Shen, T-M; Wu, J; Passlack, M

    2018-06-27

    We report the capability to simulate in a quantum-mechanical atomistic fashion record-large nanowire devices, featuring several hundred to millions of atoms and a diameter up to 18.2 nm. We have employed a tight-binding mode-space NEGF technique demonstrating by far the fastest (up to 10 000  ×  faster) but accurate (error  <  1%) atomistic simulations to date. Such technique and capability opens new avenues to explore and understand the physics of nanoscale and mesoscopic devices dominated by quantum effects. In particular, our method addresses in an unprecedented way the technologically-relevant case of band-to-band tunneling (BTBT) in III-V nanowire broken-gap heterojunction tunnel-FETs (HTFETs). We demonstrate an accurate match of simulated BTBT currents to experimental measurements in a 12 nm diameter InAs NW and in an InAs/GaSb Esaki tunneling diode. We apply our TB MS simulations and report the first in-depth atomistic study of the scaling potential of III-V GAA nanowire HTFETs including the effect of electron-phonon scattering and discrete dopant impurity band tails, quantifying the benefits of this technology for low-power low-voltage CMOS applications.

  18. Hierarchical Ni0.54Co0.46O2 nanowire and nanosheet arrays grown on carbon fiber cloth for high-performance supercapacitors

    Science.gov (United States)

    Jiang, Yuanzhi; Zhang, Lijuan; Zhang, Hang; Zhang, Cui; Liu, Shuangxi

    2016-10-01

    Hierarchical Ni0.54Co0.46O2 architectures composed by nanowires or nanosheets were successfully grown on bio-mass carbon fiber cloth (CFC) by hydrothermal method. The morphology of Ni0.54Co0.46O2 can be effectively controlled by using different precipitators. The structural effects of the two kinds of morphologies were researched. the results suggest that the Ni0.54Co0.46O2 nanosheet arrays grown on CFC (NCO-NSs/CFC) shows a higher Faradaic areal capacity of 438 μAh cm-2 (238.1 mAh g-1) at a current density of 1 mA cm-2 and still about 90.3% initial capacity retention even at the high current density of 50 mA cm-2. Moreover, an all-solid-state flexible symmetric supercapacitor device has been successfully assembled. The optimized device delivers superior electrochemical performance with an outstanding energy density of 92.4 Wh kg-1 at a power density of 207.2 W kg-1. Such hierarchical nanostructure composed by well-aligned uniform Ni0.54Co0.46O2 nanosheet arrays grown on bio-mass carbon fiber cloth might hold great promise as battery-type electrode material for high-performance supercapacitor.

  19. Strong coupling between bi-dimensional electron gas and nitrogen localized states in heavily doped GaAs1-xN x structures

    International Nuclear Information System (INIS)

    Hamdouni, A.; Bousbih, F.; Ben Bouzid, S.; Oueslati, M.; Chtourou, R.; Harmand, J.C.

    2005-01-01

    We report a low-temperature photoluminescence spectra (LTPL) of GaAs 1-x N x layers and two-dimension electron gas (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure grown on GaAs substrates by molecular beam epitaxy (MBE) with low nitrogen content [N] = 2 x 10 18 cm -3 . At low temperature, PL spectra of GaAs 1-x N x layers are governed by several features associate to the excitons bound to nitrogen complexes, these features disappear in (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure and the PL peak energy decrease with the laser power excitation. This effect is explained by the strongly coupling of the (2DEG) fundamental state with the nitrogen localized states. An activated energy of about 55 meV is deduced by photoluminescence measurements in the 10-300 K range for a laser power excitation P = 6 W/cm 2

  20. Prevalence of information stored in arrays of magnetic nanowires against external fields

    Science.gov (United States)

    Ceballos, D.; Cisternas, E.; Vogel, E. E.; Allende, S.

    2018-04-01

    Arrays of magnetic nanowires in porous alumina can be used to store information inscribed on the system by orienting the magnetization of selected wires pointing in a desired direction, so symbols can be read as ferromagnetic sectors. However, this information is subject to aging and the stored information could be gradually lost. We investigate here two mechanisms proposed to improve the prevalence of the stored information: opposite ferromagnetic band at the center of the symbol and bi-segmented nanowires acting as two layers of nanowires storing the same information. Both mechanisms prove to increase resistance to the action of external magnetic fields for the case of Ni wires in a geometry compatible with actually grown nanowires. Advantages and disadvantages of these mechanisms are discussed.

  1. Strain at a semiconductor nanowire-substrate interface studied using geometric phase analysis, convergent beam electron diffraction and nanobeam diffraction

    DEFF Research Database (Denmark)

    Persson, Johan Mikael; Wagner, Jakob Birkedal; Dunin-Borkowski, Rafal E.

    2011-01-01

    Semiconductor nanowires have been studied using electron microscopy since the early days of nanowire growth, e.g. [1]. A common approach for analysing nanowires using transmission electron microscopy (TEM) involves removing them from their substrate and subsequently transferring them onto carbon...... with CBED and NBED [4,5] have shown a high degree of consistency. Strain has previously only been measured in nanowires removed from their substrate [6], or only using GPA [7]. The sample used for the present investigation was an InP nanowire grown on a Si substrate using metal organic vapor phase...

  2. A radio-frequency single-electron transistor based on an InAs/InP heterostructure nanowire

    DEFF Research Database (Denmark)

    Nilsson, Henrik A.; Duty, Tim; Abay, Simon

    2008-01-01

    We demonstrate radio frequency single-electron transistors fabricated from epitaxially grown InAs/InP heterostructure nanowires. Two sets of double-barrier wires with different barrier thicknesses were grown. The wires were suspended 15 nm above a metal gate electrode. Electrical measurements...... on a high-resistance nanowire showed regularly spaced Coulomb oscillations at a gate voltage from −0.5 to at least 1.8 V. The charge sensitivity was measured to 32 µerms Hz−1/2 at 1.5 K. A low-resistance single-electron transistor showed regularly spaced oscillations only in a small gate-voltage region just...

  3. Low temperature transport in p-doped InAs nanowires

    DEFF Research Database (Denmark)

    Upadhyay, Shivendra; Jespersen, Thomas Sand; Madsen, Morten Hannibal

    2013-01-01

    We present low temperature electrical measurements of p-type Indium Arsenide nanowires grown via molecular beam epitaxy using Beryllium as a dopant. Growth of p-type wires without stacking faults is demonstrated. Devices in field-effect geometries exhibit ambipolar behavior, and the temperature...

  4. Amorphous SiOx nanowires catalyzed by metallic Ge for optoelectronic applications

    International Nuclear Information System (INIS)

    Nie Tianxiao; Chen Zhigang; Wu Yueqin; Lin Jianhui; Zhang Jiuzhan; Fan Yongliang; Yang Xinju; Jiang Zuimin; Zou Jin

    2011-01-01

    Research highlights: → Metallic Ge has been demonstrated as an effective catalyst for the growth of SiO x nanowires on Si substrates. → Such a catalyst may avoid catalyst contamination caused by their unconsciousness left in the nanowires. → Two broad peaks centered at 410 nm and 570 nm were observed in photoluminescence spectrum, indicating that such SiO x nanowires have the potential applications in white light-emitting diodes, full-colour display, full-colour indicator and light sources. - Abstract: Amorphous SiO x nanowires, with diameters of ∼20 nm and lengths of tens of μm, were grown from self-organized GeSi quantum dots or GeSi alloy epilayers on Si substrates. The morphologies and yield of these amorphous nanowires depend strongly upon the synthesis temperature. Comparative experiments indicate that the present SiO x nanowires are induced by metallic Ge as catalysts via the solid liquid solid growth mechanism. Two broad peaks centered at 410 nm and 570 nm were observed in photoluminescence spectrum, indicating that such SiO x nanowires have the potential applications in white light-emitting diodes, full-colour display, full-colour indicator and light sources.

  5. Suspended tungsten-based nanowires with enhanced mechanical properties grown by focused ion beam induced deposition

    Science.gov (United States)

    Córdoba, Rosa; Lorenzoni, Matteo; Pablo-Navarro, Javier; Magén, César; Pérez-Murano, Francesc; María De Teresa, José

    2017-11-01

    The implementation of three-dimensional (3D) nano-objects as building blocks for the next generation of electro-mechanical, memory and sensing nano-devices is at the forefront of technology. The direct writing of functional 3D nanostructures is made feasible by using a method based on focused ion beam induced deposition (FIBID). We use this technique to grow horizontally suspended tungsten nanowires and then study their nano-mechanical properties by three-point bending method with atomic force microscopy. These measurements reveal that these nanowires exhibit a yield strength up to 12 times higher than that of the bulk tungsten, and near the theoretical value of 0.1 times the Young’s modulus (E). We find a size dependence of E that is adequately described by a core-shell model, which has been confirmed by transmission electron microscopy and compositional analysis at the nanoscale. Additionally, we show that experimental resonance frequencies of suspended nanowires (in the MHz range) are in good agreement with theoretical values. These extraordinary mechanical properties are key to designing electro-mechanically robust nanodevices based on FIBID tungsten nanowires.

  6. Enhancement of radiation tolerance in GaAs/AlGaAs core–shell and InP nanowires

    Science.gov (United States)

    Li, Fajun; Xie, Xiaolong; Gao, Qian; Tan, Liying; Zhou, Yanping; Yang, Qingbo; Ma, Jing; Fu, Lan; Tan, Hark Hoe; Jagadish, Chennupati

    2018-06-01

    Radiation effects on semiconductor nanowires (NWs) have attracted the attention of the research community due to their potential applications in space and atomic fields. The effective implementation of NW devices in a radiation environment is a matter of concern. Here, the photoluminescence (PL) and time-resolved PL (TRPL) measurements were performed on both GaAs and InP NWs at room temperature before and after 1 MeV H+ irradiation with fluences ranging from 1 × 1011 to 5 × 1013 p cm‑2. It is found that the degradation of lifetime is size-dependent, and typically the minority carrier lifetime damage coefficient is closely correlated with the material and NW diameter. Compared to GaAs and InP bulk material counterparts, the lifetime damage coefficient of NWs decreases by a factor of about one order of magnitude. After irradiation, GaAs NWs with a smaller diameter show a much lower lifetime damage coefficient while InP NWs show an increase in carrier radiative lifetime. The increased size-dependent radiation hardness is mainly attributed to the defect sink effect and/or the improvement of a room temperature dynamic annealing mechanism of the NWs. The InP NWs also showed higher radiation tolerance than GaAs NWs.

  7. Enhancement of radiation tolerance in GaAs/AlGaAs core-shell and InP nanowires.

    Science.gov (United States)

    Li, Fajun; Xie, Xiaolong; Gao, Qian; Tan, Liying; Zhou, Yanping; Yang, Qingbo; Ma, Jing; Fu, Lan; Tan, Hark Hoe; Jagadish, Chennupati

    2018-06-01

    Radiation effects on semiconductor nanowires (NWs) have attracted the attention of the research community due to their potential applications in space and atomic fields. The effective implementation of NW devices in a radiation environment is a matter of concern. Here, the photoluminescence (PL) and time-resolved PL (TRPL) measurements were performed on both GaAs and InP NWs at room temperature before and after 1 MeV H + irradiation with fluences ranging from 1 × 10 11 to 5 × 10 13 p cm -2 . It is found that the degradation of lifetime is size-dependent, and typically the minority carrier lifetime damage coefficient is closely correlated with the material and NW diameter. Compared to GaAs and InP bulk material counterparts, the lifetime damage coefficient of NWs decreases by a factor of about one order of magnitude. After irradiation, GaAs NWs with a smaller diameter show a much lower lifetime damage coefficient while InP NWs show an increase in carrier radiative lifetime. The increased size-dependent radiation hardness is mainly attributed to the defect sink effect and/or the improvement of a room temperature dynamic annealing mechanism of the NWs. The InP NWs also showed higher radiation tolerance than GaAs NWs.

  8. WO3 Nanowires on Graphene Sheets as Negative Electrode for Supercapacitors

    Directory of Open Access Journals (Sweden)

    Bo Liu

    2017-01-01

    Full Text Available WO3 nanowires directly grown on graphene sheets have been fabricated by using a seed-mediated hydrothermal method. The morphologies and electrochemical performance of WO3 films prepared by different process were studied. The results show that the precoated nanoseeds and graphene sheets on graphite electrode provide more reactive centers for the nucleation and formation of uniform WO3 nanowires. The WO3 nanowires electrode exhibits a high area specific capacitance of 800 mF cm−2 over negative potential range from −1.0 V to 0 V versus SCE in 1 M Li2SO4 solution. A high performance electrochemical supercapacitor assembled with WO3 nanowires as negative electrode and PANI/MnO2 as positive electrodes over voltage range of 1.6 V displays a high volumetric capacitance of 2.5 F cm−3, which indicate great potential applications of WO3 nanowires on graphene sheets as negative electrode for energy storage devices.

  9. Annealing of low-temperature GaAs studied using a variable energy positron beam

    International Nuclear Information System (INIS)

    Keeble, D.J.; Umlor, M.T.; Asoka-Kumar, P.; Lynn, K.G.; Cooke, P.W.

    1993-01-01

    The annihilation characteristics of monoenergetic positrons implanted in a molecular beam epitaxy layer of low-temperature (LT) GaAs annealed at temperatures from 300 to 600 degree C were measured. A gallium vacancy concentration of approximately 3x10 17 cm -3 is inferred for the as-grown material. The S parameter increased significantly upon anneal to 500 degree C. The dominant positron traps in samples annealed at and below 400 degree C are distinct from those acting for samples annealed to 500 or 600 degree C. The change in S parameter for the 600 degree C annealed sample compared to the GaAs substrate, S LT,600 =1.047S sub , is consistent with divacancies or larger open volume defects

  10. Ultrafast carrier dynamics in band edge and broad deep defect emission ZnSe nanowires

    Science.gov (United States)

    Othonos, Andreas; Lioudakis, Emmanouil; Philipose, U.; Ruda, Harry E.

    2007-12-01

    Ultrafast carrier dynamics of ZnSe nanowires grown under different growth conditions have been studied. Transient absorption measurements reveal the dependence of the competing effects of state filling and photoinduced absorption on the probed energy states. The relaxation of the photogenerated carriers occupying defect states in the stoichiometric and Se-rich samples are single exponentials with time constants of 3-4ps. State filling is the main contribution for probe energies below 1.85eV in the Zn-rich grown sample. This ultrafast carrier dynamics study provides an important insight into the role that intrinsic point defects play in the observed photoluminescence from ZnSe nanowires.

  11. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    Science.gov (United States)

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  12. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    Science.gov (United States)

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  13. Optical and field emission properties of layer-structure GaN nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Cui, Zhen [Science School, Xi’an University of Technology, Xi’an 710048 (China); School of automation and Information Engineering, Xi’an University of Technology, Xi’an 710048 (China); Li, Enling, E-mail: Lienling@xaut.edu.cn [Science School, Xi’an University of Technology, Xi’an 710048 (China); Shi, Wei; Ma, Deming [Science School, Xi’an University of Technology, Xi’an 710048 (China)

    2014-08-15

    Highlights: • The layer-structure GaN nanowires with hexagonal-shaped cross-sections are produced via a process based on the CVD method. • The diameter of the layer-structure GaN nanowire gradually decreases from ∼500 nm to ∼200 nm along the wire axis. • The layer-structure GaN nanowire film possesses good field emission property. - Abstract: A layer-structure gallium nitride (GaN) nanowires, grown on Pt-coated n-type Si (1 1 1) substrate, have been synthesized using chemical vapor deposition (CVD). The results show: (1) SEM indicates that the geometry structure is layer-structure. HRTEM indicates that GaN nanowire’s preferential growth direction is along [0 0 1] direction. (2) The room temperature PL emission spectrum of the layer-structure GaN nanowires has a peak at 375 nm, which proves that GaN nanowires have potential application in light-emitting nano-devices. (3) Field-emission measurements show that the layer-structure GaN nanowires film has a low turn-on field of 4.39 V/μm (at room temperature), which is sufficient for electron emission devices, field emission displays and vacuum nano-electronic devices. The growth mechanism for GaN nanowires has also been discussed briefly.

  14. Molecular beam epitaxial growth of Bi2Te3 and Sb2Te3 topological insulators on GaAs (111 substrates: a potential route to fabricate topological insulator p-n junction

    Directory of Open Access Journals (Sweden)

    Zhaoquan Zeng

    2013-07-01

    Full Text Available High quality Bi2Te3 and Sb2Te3 topological insulators films were epitaxially grown on GaAs (111 substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111 substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111 substrate is better than a vicinal substrate to provide high quality Bi2Te3 and Sb2Te3 films. Hall and magnetoresistance measurements indicate that p type Sb2Te3 and n type Bi2Te3 topological insulator films can be directly grown on a GaAs (111 substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  15. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.

    Science.gov (United States)

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-04-07

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  16. Milliwatt-level output power in the sub-terahertz range generated by photomixing in a GaAs photoconductor

    Science.gov (United States)

    Peytavit, E.; Lepilliet, S.; Hindle, F.; Coinon, C.; Akalin, T.; Ducournau, G.; Mouret, G.; Lampin, J.-F.

    2011-11-01

    It is shown from accurate on-wafer measurement that continuous wave output powers of 1.2 mW at 50 GHz and 0.35 mW at 305 GHz can be generated by photomixing in a low temperature grown GaAs photoconductor using a metallic mirror Fabry-Pérot cavity. The output power is improved by a factor of about 100 as compared to the previous works on GaAs photomixers. A satisfactory agreement between the theory and the experiment is obtained in considering both the contribution of the holes and the electrons to the total photocurrent.

  17. Novel low-temperature growth of SnO2 nanowires and their gas-sensing properties

    International Nuclear Information System (INIS)

    Kumar, R. Rakesh; Parmar, Mitesh; Narasimha Rao, K.; Rajanna, K.; Phani, A.R.

    2013-01-01

    Graphical abstract: -- A simple thermal evaporation method is presented for the growth of crystalline SnO 2 nanowires at a low substrate temperature of 450 °C via an gold-assisted vapor–liquid–solid mechanism. The as-grown nanowires were characterized by scanning electron microscopy, transmission electron microscopy and X-ray diffraction, and were also tested for methanol vapor sensing. Transmission electron microscopy studies revealed the single-crystalline nature of the each nanowire. The fabricated sensor shows good response to methanol vapor at an operating temperature of 450 °C.

  18. Piezoelectric Nanogenerator Using p-Type ZnO Nanowire Arrays

    KAUST Repository

    Lu, Ming-Pei; Song, Jinhui; Lu, Ming-Yen; Chen, Min-Teng; Gao, Yifan; Chen, Lih-Juann; Wang, Zhong Lin

    2009-01-01

    Using phosphorus-doped ZnO nanowire (NW) arrays grown on silicon substrate, energy conversion using the p-type ZnO NWs has been demonstrated for the first time. The p-type ZnO NWs produce positive output voltage pulses when scanned by a conductive

  19. Copper Nanowire Production for Interconnect Applications

    Science.gov (United States)

    Han, Jin-Woo (Inventor); Meyyappan, Meyya (Inventor)

    2014-01-01

    A method of fabricating metallic Cu nanowires with lengths up to about 25 micrometers and diameters in a range 20-100 nanometers, or greater if desired. Vertically oriented or laterally oriented copper oxide structures (CuO and/or Cu2O) are grown on a Cu substrate. The copper oxide structures are reduced with 99+ percent H or H2, and in this reduction process the lengths decrease (to no more than about 25 micrometers), the density of surviving nanostructures on a substrate decreases, and the diameters of the surviving nanostructures have a range, of about 20-100 nanometers. The resulting nanowires are substantially pure Cu and can be oriented laterally (for local or global interconnects) or can be oriented vertically (for standard vertical interconnects).

  20. Copper vanadate nanowires-based MIS capacitors: Synthesis, characterization, and their electrical charge storage applications

    KAUST Repository

    Shahid, Muhammad

    2013-07-14

    Copper vanadate (CVO) nanowires were grown on Si/SiO2 substrates by thermal annealing technique. A thin film of a CVO precursor at 550 C under an ambient atmosphere could also be prepared. The electrical properties of the nanowires embedded in the dielectrical layer were examined by capacitance-voltage (C-V) measurements. The C-V curves for Au/CVO nanowires embedded in an hafnium oxide layer/SiO2/p-Si capacitor at 298 K showed a clockwise hysteresis loop when the gate bias was swept cyclically. The hysteresis characteristics were studied further at different frequencies, which clearly indicated that the traps in the nanowires have a large charging-discharging time and thus the as-synthesized nanowires can be utilized for electrical charge storage devices. © 2013 Springer Science+Business Media Dordrecht.

  1. Copper vanadate nanowires-based MIS capacitors: synthesis, characterization, and their electrical charge storage applications

    Energy Technology Data Exchange (ETDEWEB)

    Shahid, Muhammad, E-mail: shahid@skku.edu [King Abdullah University of Science and Technology, Material Science and Engineering (Saudi Arabia); Nafady, Ayman [King Saud University, Department of Chemistry, College of Science (Saudi Arabia); Shakir, Imran; Rana, Usman Ali; Sarfraz, Mansoor [King Saud University, Sustainable Energy Technologies (SET) Center, College of Engineering (Saudi Arabia); Warsi, Muhammad Farooq [The Islamia University of Bahawalpur, Department of Chemistry (Pakistan); Hussain, Rafaqat [Universiti Teknologi Malaysia, Ibnu Sina Institute for Fundamental Science Studies (Malaysia); Ashiq, Muhammad Naeem [Bahauddin Zakaryia University, Institute of Chemical Sciences (Pakistan)

    2013-08-15

    Copper vanadate (CVO) nanowires were grown on Si/SiO{sub 2} substrates by thermal annealing technique. A thin film of a CVO precursor at 550 Degree-Sign C under an ambient atmosphere could also be prepared. The electrical properties of the nanowires embedded in the dielectrical layer were examined by capacitance-voltage (C-V) measurements. The C-V curves for Au/CVO nanowires embedded in an hafnium oxide layer/SiO{sub 2}/p-Si capacitor at 298 K showed a clockwise hysteresis loop when the gate bias was swept cyclically. The hysteresis characteristics were studied further at different frequencies, which clearly indicated that the traps in the nanowires have a large charging-discharging time and thus the as-synthesized nanowires can be utilized for electrical charge storage devices.

  2. Copper vanadate nanowires-based MIS capacitors: Synthesis, characterization, and their electrical charge storage applications

    KAUST Repository

    Shahid, Muhammad; Nafady, Ayman; Shakir, Imran; Rana, Usman Ali; Sarfraz, Mansoor M.; Warsi, Muhammad Farooq; Hussain, Rafaqat; Ashiq, Muhammad Naeem

    2013-01-01

    Copper vanadate (CVO) nanowires were grown on Si/SiO2 substrates by thermal annealing technique. A thin film of a CVO precursor at 550 C under an ambient atmosphere could also be prepared. The electrical properties of the nanowires embedded in the dielectrical layer were examined by capacitance-voltage (C-V) measurements. The C-V curves for Au/CVO nanowires embedded in an hafnium oxide layer/SiO2/p-Si capacitor at 298 K showed a clockwise hysteresis loop when the gate bias was swept cyclically. The hysteresis characteristics were studied further at different frequencies, which clearly indicated that the traps in the nanowires have a large charging-discharging time and thus the as-synthesized nanowires can be utilized for electrical charge storage devices. © 2013 Springer Science+Business Media Dordrecht.

  3. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.

    Science.gov (United States)

    Wen, Feng; Tutuc, Emanuel

    2018-01-10

    We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.

  4. Fabrication and characterization of nickel nanowires deposited on metal substrate

    International Nuclear Information System (INIS)

    Rahman, I.Z.; Razeeb, K.M.; Rahman, M.A.; Kamruzzaman, Md.

    2003-01-01

    The present investigation is a part of ongoing systematic study of production and process development of nanometer scale arrays of magnetic wires on metal substrates. Nickel nanowires are grown in ordered anodic alumina templates using galvanostatic electrodeposition. In this paper we report on the growth of nanowires on the electrochemical cell parameters such as bath temperature, pH and time. Focused ion beam analysis revealed heterogeneous growth of nickel nanowires. X-ray diffraction spectrum showed that FCC nickel changed the preferred orientation from (2 2 0) at lower bath temperatures to (2 0 0) at higher bath temperatures. Magnetic measurement showed that coercive fields were higher for wires with smaller diameters. Magneto-impedance was measured as a function of applied magnetic field and wire diameter

  5. Crystallographically driven magnetic behaviour of arrays of monocrystalline Co nanowires

    KAUST Repository

    Ivanov, Yurii P.; Trabada, Daniel G.; Chuvilin, Andrey L.; Kosel, Jü rgen; Chubykalo-Fesenko, Oksana A.; Vá zquez., Manuel M.

    2014-01-01

    Cobalt nanowires, 40 nm in diameter and several micrometers long, have been grown by controlled electrodeposition into ordered anodic alumina templates. The hcp crystal symmetry is tuned by a suitable choice of the electrolyte pH (between 3.5 and 6

  6. Self-diffusion in single crystalline silicon nanowires

    Science.gov (United States)

    Südkamp, T.; Hamdana, G.; Descoins, M.; Mangelinck, D.; Wasisto, H. S.; Peiner, E.; Bracht, H.

    2018-04-01

    Self-diffusion experiments in single crystalline isotopically controlled silicon nanowires with diameters of 70 and 400 nm at 850 and 1000 °C are reported. The isotope structures were first epitaxially grown on top of silicon substrate wafers. Nanowires were subsequently fabricated using a nanosphere lithography process in combination with inductively coupled plasma dry reactive ion etching. Three-dimensional profiling of the nanosized structure before and after diffusion annealing was performed by means of atom probe tomography (APT). Self-diffusion profiles obtained from APT analyses are accurately described by Fick's law for self-diffusion. Data obtained for silicon self-diffusion in nanowires are equal to the results reported for bulk silicon crystals, i.e., finite size effects and high surface-to-volume ratios do not significantly affect silicon self-diffusion. This shows that the properties of native point defects determined from self-diffusion in bulk crystals also hold for nanosized silicon structures with diameters down to 70 nm.

  7. Weak antilocalization and conductance fluctuation in a single crystalline Bi nanowire

    International Nuclear Information System (INIS)

    Kim, Jeongmin; Lee, Seunghyun; Kim, MinGin; Lee, Wooyoung; Brovman, Yuri M.; Kim, Philip

    2014-01-01

    We present the low temperature transport properties of an individual single-crystalline Bi nanowire grown by the on-film formation of nanowire method. The temperature dependent resistance and magnetoresistance of Bi nanowires were investigated. The phase coherence length was obtained from the fluctuation pattern of the magnetoresistance below 40 K using universal conductance fluctuation theory. The obtained temperature dependence of phase coherence length and the fluctuation amplitude indicates that the transport of electrons shows 2-dimensional characteristics originating from the surface states. The temperature dependence of the coherence length derived from the weak antilocalization effect using the Hikami–Larkin–Nagaoka model is consistent with that from the universal conductance fluctuations theory

  8. David Adler Lectureship Award Talk: III-V Semiconductor Nanowires on Silicon for Future Devices

    Science.gov (United States)

    Riel, Heike

    Bottom-up grown nanowires are very attractive materials for direct integration of III-V semiconductors on silicon thus opening up new possibilities for the design and fabrication of nanoscale devices for electronic, optoelectronic as well as quantum information applications. Template-Assisted Selective Epitaxy (TASE) allows the well-defined and monolithic integration of complex III-V nanostructures and devices on silicon. Achieving atomically abrupt heterointerfaces, high crystal quality and control of dimension down to 1D nanowires enabled the demonstration of FETs and tunnel devices based on In(Ga)As and GaSb. Furthermore, the strong influence of strain on nanowires as well as results on quantum transport studies of InAs nanowires with well-defined geometry will be presented.

  9. Fabrication of GaInPSb quaternary alloy nanowires and its room temperature electrical properties

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Yadan; Ma, Liang; Li, Dan; Yang, Yankun; Wan, Qiang [Hunan University, School of Physics and Electronics, Changsha, Hunan (China); Liu, Ruping [Beijing Institute of Graphic Communication, Beijing (China); Dai, Guozhang [Central South University, School of Physics and Electronics, Changsha, Hunan (China)

    2017-01-15

    GaInPSb quaternary alloy nanowires were first synthesized via a simple chemical vapor deposition method. The synthesized nanowires' length can reach up to 20 μm and diameter ranging from 50 to 100 nm. Raman measurements and high-resolution transmission electron microscopy image illustrate that the as-grown nanowires have a high crystallinity. Room temperature near-infrared photodetector based on as-prepared GaInPSb nanowires was also built for the first time. It shows a good contact with the electrode, and the device has a strong light response to light illumination. This novel near-infrared photodetector may find promising applications in integrated infrared photodetection, information communication, and processing. (orig.)

  10. GaIn As Quantum Dots (QD) grown by Liquid Phase Epitaxy (LPE)

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz Vazquez, F E; Mishurnyi, V A; Gorbatchev, A Yu; De Anda, F [Universidad Autonoma de San Luis Potosi, Instituto de Investigation en Comunicacion Optica, Av. Karakorum 1470, Col. Lomas 4a Sec., San Luis Potosi, SLP, CP 78210 (Mexico); Elyukhin, V A, E-mail: fcoe_ov@prodigy.net.m, E-mail: andre@cactus.iico.uaslp.m [CINVESTAV-IPN, Av. IPN 2508, Col. San Pedro Zacatenco, Mexico D.F., CP 07360 (Mexico)

    2009-05-01

    The majority of the semiconductor structures with QD today are grown by MBE and MOCVD. It is known that the best material quality can be achieved by LPE because, in contrast to MBE and MOCVD, this method is realized at near-equilibrium conditions. To develop QD LPE technology first of all it is necessary to find out a growth technique allowing the crystallization of epitaxial materials with very small volume. This can be done by means of different techniques. In this work we apply a low temperature short-time growth method, which allows the production not only of single, but also of multilayer heterostructures. We have grown Ga{sub x}In{sub 1-z}As QD on GaAs (100) substrates at 450 C. The details of the QD formation, depending on composition of the Ga{sub x}In{sub -x} As solid solutions, have been studied by atom-force microscopy. The photoluminescence spectra of investigated samples show, in addition to a short-wave GaAs related peak, a longer wavelength line, which disappears after removal of the grown GaInAs material using an etching solution. This fact, together with atom-force microscopy results can be interpreted as a proof that QD heterostructures were grown successfully by LPE.

  11. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    Science.gov (United States)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  12. Growth of InAs/InP core-shell nanowires with various pure crystal structures.

    Science.gov (United States)

    Gorji Ghalamestani, Sepideh; Heurlin, Magnus; Wernersson, Lars-Erik; Lehmann, Sebastian; Dick, Kimberly A

    2012-07-20

    We have studied the epitaxial growth of an InP shell on various pure InAs core nanowire crystal structures by metal-organic vapor phase epitaxy. The InP shell is grown on wurtzite (WZ), zinc-blende (ZB), and {111}- and {110}-type faceted ZB twin-plane superlattice (TSL) structures by tuning the InP shell growth parameters and controlling the shell thickness. The growth results, particularly on the WZ nanowires, show that homogeneous InP shell growth is promoted at relatively high temperatures (∼500 °C), but that the InAs nanowires decompose under the applied conditions. In order to protect the InAs core nanowires from decomposition, a short protective InP segment is first grown axially at lower temperatures (420-460 °C), before commencing the radial growth at a higher temperature. Further studies revealed that the InP radial growth rate is significantly higher on the ZB and TSL nanowires compared to WZ counterparts, and shows a strong anisotropy in polar directions. As a result, thin shells were obtained during low temperature InP growth on ZB structures, while a higher temperature was used to obtain uniform thick shells. In addition, a schematic growth model is suggested to explain the basic processes occurring during the shell growth on the TSL crystal structures.

  13. Growth of InAs/InP core–shell nanowires with various pure crystal structures

    International Nuclear Information System (INIS)

    Gorji Ghalamestani, Sepideh; Heurlin, Magnus; Lehmann, Sebastian; Dick, Kimberly A; Wernersson, Lars-Erik

    2012-01-01

    We have studied the epitaxial growth of an InP shell on various pure InAs core nanowire crystal structures by metal–organic vapor phase epitaxy. The InP shell is grown on wurtzite (WZ), zinc-blende (ZB), and {111}- and {110}-type faceted ZB twin-plane superlattice (TSL) structures by tuning the InP shell growth parameters and controlling the shell thickness. The growth results, particularly on the WZ nanowires, show that homogeneous InP shell growth is promoted at relatively high temperatures (∼500 °C), but that the InAs nanowires decompose under the applied conditions. In order to protect the InAs core nanowires from decomposition, a short protective InP segment is first grown axially at lower temperatures (420–460 °C), before commencing the radial growth at a higher temperature. Further studies revealed that the InP radial growth rate is significantly higher on the ZB and TSL nanowires compared to WZ counterparts, and shows a strong anisotropy in polar directions. As a result, thin shells were obtained during low temperature InP growth on ZB structures, while a higher temperature was used to obtain uniform thick shells. In addition, a schematic growth model is suggested to explain the basic processes occurring during the shell growth on the TSL crystal structures. (paper)

  14. Valence band photoemission from in-situ grown GaAs(100)-c(4 x 4)

    Czech Academy of Sciences Publication Activity Database

    Jiříček, Petr; Cukr, Miroslav; Bartoš, Igor; Adell, M.; Strasser, T.; Schattke, W.

    2006-01-01

    Roč. 56, č. 1 (2006), s. 21-26 ISSN 0011-4626. [Symposium on Surface Physics /10./. Praha, 11.07.2005-15.07.2005] R&D Projects: GA ČR(CZ) GA202/04/0994 Institutional research plan: CEZ:AV0Z10100521 Keywords : GaAs(100)-c(4X4) * surface states * band structure * structure plot Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.568, year: 2006

  15. High-resolution X-ray diffraction characterisation of piezoelectric InGaAs / GaAs multiquantum wells and superlattices on (111)B GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Sanz-Hervas, A.; Aguilar, M. [Madrid, Univ. (Spain). Dept. Tecnologia Electronica. E.T.S.I. Telecomunicacion; Lopez, M.; Llorente, C.; Lorenzo, R.; Abril, E. J. [Valladolid, Real de Burgos Univ. (Spain). Dept. Teoria de la Senal u Comunicaciones e Ingegneria Telematica. E.T.S.I. Telecomunicacion; Sacedon, A.; Sanchez, J. L.; Calleja, E.; Munoz, E. [Madrid, Univ. (Spain). Dept. Ingegnieria Electronica. E.T.S.I. Telecomunicacion

    1997-02-01

    In this paper the authors show some examples of strained InGaAs / GaAs multilayers on (111)B GaAs substrates studied by high-resolution X-ray diffractometry. The samples consisted of a multiquantum well or superlattice embedded in the intrinsic region of a p-i-n photodiode. They have analysed piezoelectric (111)B structures with 3, 7, 10, and 40 periods and different indium contents and compared the results with identical structures simultaneously grown on (001) substrates. The interpretation of the diffraction profiles has been carried out with a computer simulation model developed in our labs, which allows the calculation of symmetric and asymmetric reflections regardless of the substrate orientation or miscut angle. The agreement between the experimental scans and the theory was very satisfactory in all the samples, which has enabled us to determine the main structural parameters of the diodes, Asymmetric 224{+-} reflections on (111)B structures have been simulated for the first time. They have also compared the structural parameters obtained by high-resolution X-ray diffractometry with the results deduced from photoluminescence and photocurrent spectroscopies.

  16. High-resolution X-ray diffraction characterisation of piezoelectric InGaAs / GaAs multiquantum wells and superlattices on (111)B GaAs

    International Nuclear Information System (INIS)

    Sanz-Hervas, A.; Aguilar, M.; Lopez, M.; Llorente, C.; Lorenzo, R.; Abril, E. J.; Sacedon, A.; Sanchez, J. L.; Calleja, E.; Munoz, E.

    1997-01-01

    In this paper the authors show some examples of strained InGaAs / GaAs multilayers on (111)B GaAs substrates studied by high-resolution X-ray diffractometry. The samples consisted of a multiquantum well or superlattice embedded in the intrinsic region of a p-i-n photodiode. They have analysed piezoelectric (111)B structures with 3, 7, 10, and 40 periods and different indium contents and compared the results with identical structures simultaneously grown on (001) substrates. The interpretation of the diffraction profiles has been carried out with a computer simulation model developed in our labs, which allows the calculation of symmetric and asymmetric reflections regardless of the substrate orientation or miscut angle. The agreement between the experimental scans and the theory was very satisfactory in all the samples, which has enabled us to determine the main structural parameters of the diodes, Asymmetric 224± reflections on (111)B structures have been simulated for the first time. They have also compared the structural parameters obtained by high-resolution X-ray diffractometry with the results deduced from photoluminescence and photocurrent spectroscopies

  17. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  18. Sputtered gold-coated ITO nanowires by alternating depositions from Indium and ITO targets for application in surface-enhanced Raman scattering

    International Nuclear Information System (INIS)

    Setti, Grazielle O.; Mamián-López, Mónica B.; Pessoa, Priscila R.; Poppi, Ronei J.; Joanni, Ednan; Jesus, Dosil P.

    2015-01-01

    Graphical abstract: - Highlights: • ITO nanowires were grown by the sputtering method using a new synthesis procedure. • By changing the deposition parameters the morphology and dimensions of the nanostructures were modified. • Seed layer thickness was an important factor for obtaining branched nanowires. • SERS substrates having good performance and a high application potential were produced. • The first Raman results for our substrates are already comparable to commercial substrates. - Abstract: Indium Tin oxide (ITO) nanowires were deposited by RF sputtering over oxidized silicon using ITO and Indium targets. The nanowires grew on the substrate with a catalyst layer of Indium by the vapor–liquid–solid (VLS) mechanism. Modifications in the deposition conditions affected the morphology and dimensions of the nanowires. The samples, after being covered with gold, were evaluated as surface-enhanced Raman scattering (SERS) substrates for detection of dye solutions and very good intensifications of the Raman signal were obtained. The SERS performance of the samples was also compared to that of a commercial SERS substrate and the results achieved were similar. To the best of our knowledge, this is the first time ITO nanowires were grown by the sputtering technique using oxide and metal targets

  19. Sputtered gold-coated ITO nanowires by alternating depositions from Indium and ITO targets for application in surface-enhanced Raman scattering

    Energy Technology Data Exchange (ETDEWEB)

    Setti, Grazielle O. [Institute of Chemistry, University of Campinas, Campinas, P.O. Box 6154, 13083-970 Campinas, SP (Brazil); Renato Archer Information Technology Center, Rodovia Dom Pedro I (SP-65), Km 143,6 – Amarais, 13069-901 Campinas, SP (Brazil); Mamián-López, Mónica B.; Pessoa, Priscila R.; Poppi, Ronei J. [Institute of Chemistry, University of Campinas, Campinas, P.O. Box 6154, 13083-970 Campinas, SP (Brazil); Joanni, Ednan, E-mail: ednan.joanni@cti.gov.br [Renato Archer Information Technology Center, Rodovia Dom Pedro I (SP-65), Km 143,6 – Amarais, 13069-901 Campinas, SP (Brazil); Jesus, Dosil P. [Institute of Chemistry, University of Campinas, Campinas, P.O. Box 6154, 13083-970 Campinas, SP (Brazil)

    2015-08-30

    Graphical abstract: - Highlights: • ITO nanowires were grown by the sputtering method using a new synthesis procedure. • By changing the deposition parameters the morphology and dimensions of the nanostructures were modified. • Seed layer thickness was an important factor for obtaining branched nanowires. • SERS substrates having good performance and a high application potential were produced. • The first Raman results for our substrates are already comparable to commercial substrates. - Abstract: Indium Tin oxide (ITO) nanowires were deposited by RF sputtering over oxidized silicon using ITO and Indium targets. The nanowires grew on the substrate with a catalyst layer of Indium by the vapor–liquid–solid (VLS) mechanism. Modifications in the deposition conditions affected the morphology and dimensions of the nanowires. The samples, after being covered with gold, were evaluated as surface-enhanced Raman scattering (SERS) substrates for detection of dye solutions and very good intensifications of the Raman signal were obtained. The SERS performance of the samples was also compared to that of a commercial SERS substrate and the results achieved were similar. To the best of our knowledge, this is the first time ITO nanowires were grown by the sputtering technique using oxide and metal targets.

  20. Synthesis, structure and optical properties of single-crystalline In{sub 2}O{sub 3} nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Hadia, N.M.A., E-mail: nomery_abass@yahoo.com [Physics Department, Faculty of Science, Sohag University, 82524 Sohag (Egypt); Mohamed, H.A. [Physics Department, Faculty of Science, Sohag University, 82524 Sohag (Egypt); King Saud University, Teachers College, Science Department (Physics), 11148 Riyadh (Saudi Arabia)

    2013-01-15

    Highlights: Black-Right-Pointing-Pointer Metal and metal oxide one dimensional (1D) nanostructured materials are of crucial importance. Black-Right-Pointing-Pointer The paper deals with the synthesis of In{sub 2}O{sub 3} nanowires without the use of catalysts. Black-Right-Pointing-Pointer The optical constants and Photoluminescence (PL) of In{sub 2}O{sub 3} nanowires were evaluated. - Abstract: Indium oxide In{sub 2}O{sub 3} nanowires have been recently synthesized revealing interesting properties and used in various applications. In order to reduce as much as possible the influence of undesired dopants and/or impurities on the observed properties, In{sub 2}O{sub 3} nanowires have been grown without the use of catalysts, directly from metallic indium by a vapor transport technique and a controlled oxidation with oxygen-argon mixtures. Depending on the growth conditions (temperature, vapor pressure, oxygen concentration, etc.) different results have been achieved and it has been observed that a 'proper' In condensation on the substrates may enhance the nanowires growth. Detailed structural analysis showed that the In{sub 2}O{sub 3} nanostructures are single crystalline with a cubic crystal structure. The grown In{sub 2}O{sub 3} nanowires were optically characterized in order to evaluate the absorption coefficient, optical band gap, refractive index and extinction coefficient. Room temperature Photoluminescence (PL) spectrum showed broad and intense blue emission at 375 nm.

  1. Metal-Catalyst-Free Synthesis and Characterization of Single-Crystalline Silicon Oxynitride Nanowires

    Directory of Open Access Journals (Sweden)

    Shuang Xi

    2012-01-01

    Full Text Available Large quantities of single-crystal silicon oxynitride nanowires with high N concentration have been synthesized directly on silicon substrate at 1200°C without using any metal catalyst. The diameter of these ternary nanowires is ranging from 10 to 180 nm with log-normal distribution, and the length of these nanowires varies from a few hundreds of micrometers to several millimeters. A vapor-solid mechanism was proposed to explain the growth of the nanowires. These nanowires are grown to form a disordered mat with an ultrabright white nonspecular appearance. The mat demonstrates highly diffusive reflectivity with the optical reflectivity of around 80% over the whole visible wavelength, which is comparable to the most brilliant white beetle scales found in nature. The whiteness might be resulted from the strong multiscattering of a large fraction of incident light on the disordered nanowire mat. These ultra-bright white nanowires could form as reflecting surface to meet the stringent requirements of bright-white light-emitting-diode lighting for higher optical efficiency. They can also find applications in diverse fields such as sensors, cosmetics, paints, and tooth whitening.

  2. Thermal resistance measurement of In{sub 3}SbTe{sub 2} nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Battaglia, J.L.; Saci, A.; De, I. [I2M Laboratory, University of Bordeaux, UMR CNRS 5295, Talence (France); Cecchini, R.; Cecchi, S.; Longo, M. [Laboratorio MDM, IMM-CNR, Unita di Agrate Brianza (Italy); Selmo, S.; Fanciulli, M. [Laboratorio MDM, IMM-CNR, Unita di Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, University of Milano Bicocca, Milano (Italy)

    2017-05-15

    The thermal resistance along the thickness of In{sub 3}SbTe{sub 2} crystalline nanowires was measured using the scanning thermal microscopy in 3ω mode. The nanowires were grown by metal organic vapor deposition, exploiting the VLS mechanism induced by Au metal-catalyst nanoparticles and harvested on a SiO{sub 2}/Si substrate. Two nanowires with different thickness (13 and 23 nm) were investigated. The thermal resistance of the nanowires was determined using two different approaches; the first one exploits the experimental data, whereas the second one is more sophisticated, since it involves a minimization procedure. Both methods led to comparable values of the thermal resistance along the transverse direction (thickness) of the nanowire. The obtained results were explained starting from the mean free path of phonons calculated in the In{sub 3}SbTe{sub 2} bulk. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Effects of Precursor-Substrate Distances on the Growth of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Hongbin Cheng

    2015-01-01

    Full Text Available GaN nanowires were synthesized through the Ni-catalyzed chemical vapor deposition (CVD method using Ga2O3/GaN mixtures as gallium sources, and precursor-substrate distances were investigated as the important factor for the growth of GaN nanowires. The microstructure, composition, and photoluminescence property were characterized by X-ray diffraction, field emission scanning electron microscopy, high-resolution transmission electron microscopy, and photoluminescence spectra. The results showed that single crystalline GaN nanowires with the diameter of about 90 nm and the length up to tens of micrometers had been grown thickly across Si (100 substrates with uniform density. Moreover, the variations of the GaN nanowire morphology, density, and size were largely attributed to substrate positions which would influence Ga precursor density in the carrier gas, the saturation degree of gaseous reactants, and the catalyst activity, respectively, in the fabrication of GaN nanowires by the vapour liquid solid mechanism.

  4. Plasmonic Nanowires for Wide Wavelength Range Molecular Sensing

    KAUST Repository

    Marinaro, Giovanni

    2018-05-17

    In this paper, we propose the use of a standing nanowires array, constituted by plasmonic active gold wires grown on iron disks, and partially immersed in a supporting alumina matrix, for surface-enhanced Raman spectroscopy applications. The galvanic process was used to fabricate nanowires in pores of anodized alumina template, making this device cost-effective. This fabrication method allows for the selection of size, diameter, and spatial arrangement of nanowires. The proposed device, thanks to a detailed design analysis, demonstrates a broadband plasmonic enhancement effect useful for many standard excitation wavelengths in the visible and NIR. The trigonal pores arrangement gives an efficiency weakly dependent on polarization. The devices, tested with 633 and 830 nm laser lines, show a significant Raman enhancement factor, up to around 6 × 10⁴, with respect to the flat gold surface, used as a reference for the measurements of the investigated molecules.

  5. Nickel Nanowire@Porous NiCo2O4 Nanorods Arrays Grown on Nickel Foam as Efficient Pseudocapacitor Electrode

    Directory of Open Access Journals (Sweden)

    Houzhao Wan

    2017-12-01

    Full Text Available A three dimensional hierarchical nanostructure composed of nickel nanowires and porous NiCo2O4 nanorods arrays on the surface of nickel foam is successfully fabricated by a facile route. In this structure, the nickel nanowires are used as core materials to support high-pseudocapacitance NiCo2O4 nanorods and construct the well-defined NiCo2O4 nanorods shell/nickel nanowires core hierarchical structure on nickel foam. Benefiting from the participation of nickel nanowires, the nickel nanowire@NiCo2O4/Ni foam electrode shows a high areal specific capacitance (7.4 F cm−2 at 5 mA cm−2, excellent rate capability (88.04% retained at 100 mA cm−2, and good cycling stability (74.08% retained after 1,500 cycles. The superior electrochemical properties made it promising as electrode for supercapacitors.

  6. Mechanical transfer of ZnO nanowires for a flexible and conformal piezotronic strain sensor

    Science.gov (United States)

    Jenkins, Kory; Yang, Rusen

    2017-07-01

    We demonstrate a truly conformal and flexible piezotronic strain sensor using zinc oxide (ZnO) nanowires. Well-aligned, vertical ZnO nanowires are grown by chemical vapor deposition on a silicon wafer with a hydrothermally grown ZnO seed layer. The nanowires are infiltrated with polydimethylsiloxane and mechanically transferred from the silicon substrate. Plasma etching exposes the top surface of the nanowires before deposition of a gold (Au) top electrode. The bottom electrode is formed by silver paint which also adheres the sensor to the measured structure. To demonstrate the sensor’s ability to conform to complex surfaces, a stepped shaft with a shoulder fillet is used. The sensor is attached to the shoulder fillet of the stepped shaft, conforming to both the circumference of the shaft, and the radius of the fillet. A periodic bending displacement is applied to the end of the shaft. The strain induces a piezoelectric potential in the ZnO nanowires which controls the barrier height and conductivity at the gold/ZnO interface, by what is known as the piezotronic effect. The conductivity change is measured for periodically applied strains. The nonlinear current-voltage (I-V) response of the device is due to the Schottky contact between the ZnO nanowires and gold electrode. The geometry of the stepped shaft corresponds to a known stress concentration factor, and the strain experienced by the shaft is estimated with a COMSOL FEA study. The conformal nature of the strain sensor makes it suitable for structural monitoring applications involving complex geometries and stress concentrators.

  7. Multi-segmented Magnetic Nanowires Fabrication and Characterization

    KAUST Repository

    Moreno Garcia, Julian

    2016-04-28

    In this work, nickel-gold multi-segmented magnetic nanowires were grown by electrodeposition in anodized alumina templates. The templates were fabricated by a two step anodization process of aluminum disks in an aqueous solution of oxalic acid. In this process, ordered pores grew in an alumina oxide layer at the exposed aluminum area. Each disk was electropolished before the anodization process and the features at its surface were characterized to assess the effect on the pore ordering. Nickel Watts and gold cyanide electrolyte baths were prepared to electrodeposit pure nickel and gold in the templates. Both solutions response to a range of externally applied voltages was characterized and a threshold voltage above which deposition occurs is reported. Single nanowires were isolated by chemically dissolving the template and dispersed in ethanol. Devices were fabricated with these isolated nanowires in which gold contacts were deposited to measure the resistance. A current pulse setup was implemented in a magnetoresistance system allowing to send current pulses with amplitude as low as 2nA and 50μs width. Magneto resistance measurement were carried out on the single nanowires devices and the effect of current pulses was studied. It was found that distinct resistance states can be achieved by applying a determined current pulse at a constant applied field and that the initial state can be recovered by removing excess charge from the nanowire. Finally, the effect of annealing the nanowires in an air atmosphere at 150°C for 24 hours is studied showing that the nickel sections oxidize and the gold sections remain unchanged.

  8. Multi-segmented Magnetic Nanowires Fabrication and Characterization

    KAUST Repository

    Moreno Garcia, Julian

    2016-01-01

    In this work, nickel-gold multi-segmented magnetic nanowires were grown by electrodeposition in anodized alumina templates. The templates were fabricated by a two step anodization process of aluminum disks in an aqueous solution of oxalic acid. In this process, ordered pores grew in an alumina oxide layer at the exposed aluminum area. Each disk was electropolished before the anodization process and the features at its surface were characterized to assess the effect on the pore ordering. Nickel Watts and gold cyanide electrolyte baths were prepared to electrodeposit pure nickel and gold in the templates. Both solutions response to a range of externally applied voltages was characterized and a threshold voltage above which deposition occurs is reported. Single nanowires were isolated by chemically dissolving the template and dispersed in ethanol. Devices were fabricated with these isolated nanowires in which gold contacts were deposited to measure the resistance. A current pulse setup was implemented in a magnetoresistance system allowing to send current pulses with amplitude as low as 2nA and 50μs width. Magneto resistance measurement were carried out on the single nanowires devices and the effect of current pulses was studied. It was found that distinct resistance states can be achieved by applying a determined current pulse at a constant applied field and that the initial state can be recovered by removing excess charge from the nanowire. Finally, the effect of annealing the nanowires in an air atmosphere at 150°C for 24 hours is studied showing that the nickel sections oxidize and the gold sections remain unchanged.

  9. A Hierarchical Phosphorus Nanobarbed Nanowire Hybrid: Its Structure and Electrochemical Properties.

    Science.gov (United States)

    Zhao, Dan; Li, Beibei; Zhang, Jinying; Li, Xin; Xiao, Dingbin; Fu, Chengcheng; Zhang, Lihui; Li, Zhihui; Li, Jun; Cao, Daxian; Niu, Chunming

    2017-06-14

    Nanostructured phosphorus-carbon composites are promising materials for Li-ion and Na-ion battery anodes. A hierarchical phosphorus hybrid, SiC@graphene@P, has been synthesized by the chemical vapor deposition of phosphorus on the surfaces of barbed nanowires, where the barbs are vertically grown graphene nanosheets and the cores are SiC nanowires. A temperature-gradient vaporization-condensation method has been used to remove the unhybridized phosphorus particles formed by homogeneous nucleation. The vertically grown barb shaped graphene nanosheets and a high concentration of edge carbon atoms induced a fibrous red phosphorus (f-RP) growth with its {001} planes in parallel to {002} planes of nanographene sheets and led to a strong interpenetrated interface interaction between phosphorus and the surfaces of graphene nanosheets. This hybridization has been demonstrated to significantly enhance the electrochemical performances of phosphorus.

  10. Mass and energy dispersive recoil spectrometry of GaAs structures

    International Nuclear Information System (INIS)

    Hult, M.

    1994-01-01

    Mass and energy dispersive Recoil Spectrometry (RS) using heavy ions at energies of about 0.2Α-0.8Α MeV has attracted much interest recently due to its potential for separately and unambiguously generating information on isotopic depth distributions. The principal advantages of mass and energy dispersive RS are that both light and heavy elements can be separately studied simultaneously and problems caused by chemical matrix effects are avoided since the technique is based on high energy nucleus-nucleus scattering. In order to elucidate reactions taking place in various GaAs structures, Time of flight-Energy (ToF-E) RS was developed to allow Ga and As to be studied separately down to depths of about 500-800 nm with a depth resolution of about 16 nm at the surface. This was shown in a study of an Al x Ga 1-x As quantum-well structure. The benefits of using ToF-E RS on GaAs structures were further demonstrated in studies of Co/GaAs and CoSi 2 /GaAs reactions, as well as in a study of the composition of MOCVD grown Al x Ga 1-x As. Most recoil measurements employed 127 I at energies of about 50-90 MeV as projectiles. The recoil detector telescope consisted of a silicon energy detector and two carbon foil time pick-off detectors separated by a variable flight length of 213.5-961 mm. The reactions taking place between various thin films and GaAs were also studied using complementary techniques such as XRD, XPS and SEM. Co was found to react extensively with GaAs, already at about 300 degrees C, making it unsuitable as a contact material. Thin films of Co and Si were found to react extensively with each other and to form CoSi 2 at 500 degrees C and above. CoSi 2 , a low resistivity silicide, turned out to be stable on GaAs, at least up to 700 degrees C. Considerable grain growth could cause problems, however, in the use of CoSi 2 -contacts. 112 refs, figs, tabs

  11. Heterostructured ZnS/InP nanowires for rigid/flexible ultraviolet photodetectors with enhanced performance.

    Science.gov (United States)

    Zhang, Kai; Ding, Jia; Lou, Zheng; Chai, Ruiqing; Zhong, Mianzeng; Shen, Guozhen

    2017-10-19

    Heterostructured ZnS/InP nanowires, composed of single-crystalline ZnS nanowires coated with a layer of InP shell, were synthesized via a one-step chemical vapor deposition process. As-grown heterostructured ZnS/InP nanowires exhibited an ultrahigh I on /I off ratio of 4.91 × 10 3 , a high photoconductive gain of 1.10 × 10 3 , a high detectivity of 1.65 × 10 13 Jones and high response speed even in the case of very weak ultraviolet light illumination (1.87 μW cm -2 ). The values are much higher than those of previously reported bare ZnS nanowires owing to the formation of core/shell heterostructures. Flexible ultraviolet photodetectors were also fabricated with the heterostructured ZnS/InP nanowires, which showed excellent mechanical flexibility, electrical stability and folding endurance besides excellent photoresponse properties. The results elucidated that the heterostructured ZnS/InP nanowires could find good applications in next generation flexible optoelectronic devices.

  12. Magnetic properties of Fe3O4 thin films grown on different substrates by laser ablation

    International Nuclear Information System (INIS)

    Parames, M.L.; Viskadourakis, Z.; Rogalski, M.S.; Mariano, J.; Popovici, N.; Giapintzakis, J.; Conde, O.

    2007-01-01

    Magnetite thin films have been grown onto (1 0 0)Si (1 0 0)GaAs and (0 0 0 1)Al 2 O 3 , at substrate temperatures varying from 473 to 673 K, by UV pulsed laser ablation of Fe 3 O 4 targets in reactive atmospheres of O 2 and Ar, at working pressure of 8 x 10 -2 Pa. The influence of the substrate on stoichiometry, microstructure and the magnetic properties has been studied by X-ray diffraction (XRD), conversion electron Moessbauer spectroscopy (CEMS) and magnetic measurements. Magnetite crystallites, with stoichiometry varying from Fe 2.95 O 4 to Fe 2.99 O 4 , are randomly oriented for (1 0 0)GaAs and (1 0 0)Si substrates and exhibit (1 1 1) texture if grown onto (0 0 0 1)Al 2 O 3 . Interfacial Fe 3+ diffusion, which is virtually absent for (1 0 0)Si substrates, was found for both (0 0 0 1)Al 2 O 3 and (1 0 0)GaAs, with some deleterious effect on the subsequent microstructure and magnetic behaviour

  13. Biofunctionalization of ZnO nanowires for DNA sensory applications

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Ulrich Christian; Gnauck, Martin; Ronning, Carsten [Institute of Solid State Physics, University of Jena, Max-Wien-Platz 1, D-07743 Jena (Germany); Moeller, Robert; Rudolph, Bettina; Fritzsche, Wolfgang [Institut fuer Photonische Technologien e.V., Albert-Einstein-Strasse 9, D-07745 Jena (Germany)

    2011-07-01

    In recent years, DNA detecting systems have received a growing interest due to promising fields of application like DNA diagnostics, gene analysis, virus detection or forensic applications. Nanowire-based DNA biosensor allows both miniaturization and easy continuous monitoring of a detection signal by electrical means. The label free detection scheme based on electrochemical changes of the surface potential during immobilization of specific DNA probes was heretofore mainly studied for silicon. In this work a surface decoration process with bifunctional molecules known as silanization was applied to VLS-grown ZnO nanowires which both feature a large sensitivity for surface modification, are biocompatible and easy to synthesize as well. Successfully bound DNA was proved by fluorescence microscopy. Dielectrophoresis (DEP) was chosen and optimized for quickly contacting the ZnO nanowires. Furthermore, electrical signal characterization was performed in preparation for DNA sensory applications.

  14. Magnetic behaviour of arrays of Ni nanowires by electrodeposition into self-aligned titania nanotubes

    International Nuclear Information System (INIS)

    Prida, V.M.; Hernandez-Velez, M.; Cervera, M.; Pirota, K.; Sanz, R.; Navas, D.; Asenjo, A.; Aranda, P.; Ruiz-Hitzky, E.; Batallan, F.; Vazquez, M.; Hernando, B.; Menendez, A.; Bordel, N.; Pereiro, R.

    2005-01-01

    Arrays of Ni nanowires electrodeposited into self-aligned and randomly disordered titania nanotube arrays grown by anodization process are investigated by X-ray diffraction, SEM, rf-GDOES and VSM magnetometry. The titania nanotube outer diameter is about 160 nm, wall thickness ranging from 60 to 70 nm and 300 nm in depth. The so-obtained Ni nanowires reach above 100 nm diameter and 240 nm length, giving rise to coercive fields of 98 and 200 Oe in the perpendicular or parallel to the nanowires axis hysteresis loops, respectively. The formation of magnetic vortex domain states is also discussed

  15. Growth mechanism and elemental distribution of beta-Ga2O3 crystalline nanowires synthesized by cobalt-assisted chemical vapor deposition.

    Science.gov (United States)

    Wang, Hui; Lan, Yucheng; Zhang, Jiaming; Crimp, Martin A; Ren, Zhifeng

    2012-04-01

    Long beta-Ga2O3 crystalline nanowires are synthesized on patterned silicon substrates using chemical vapor deposition technique. Advanced electron microscopy indicates that the as-grown beta-Ga2O3 nanowires are consisted of poly-crystalline (Co, Ga)O tips and straight crystalline beta-Ga2O3 stems. The catalytic cobalt not only locates at the nanowire tips but diffuses into beta-Ga2O3 nanowire stems several ten nanometers. A solid diffusion growth mechanism is proposed based on the spatial elemental distribution along the beta-Ga2O3 nanowires at nanoscale.

  16. Preparation of silicon carbide nanowires via a rapid heating process

    International Nuclear Information System (INIS)

    Li Xintong; Chen Xiaohong; Song Huaihe

    2011-01-01

    Silicon carbide (SiC) nanowires were fabricated in a large quantity by a rapid heating carbothermal reduction of a novel resorcinol-formaldehyde (RF)/SiO 2 hybrid aerogel in this study. SiC nanowires were grown at 1500 deg. C for 2 h in an argon atmosphere without any catalyst via vapor-solid (V-S) process. The β-SiC nanowires were characterized by field-emission scanning electron microscope (FE-SEM), X-ray diffraction (XRD), transmission electron microscope (TEM), high-resolution transmission electron microscope (HRTEM) equipped with energy dispersive X-ray (EDX) facility, Fourier transformed infrared spectroscopy (FTIR), and thermogravimetric analysis (TGA). The analysis results show that the aspect ratio of the SiC nanowires via the rapid heating process is much larger than that of the sample produced via gradual heating process. The SiC nanowires are single crystalline β-SiC phase with diameters of about 20-80 nm and lengths of about several tens of micrometers, growing along the [1 1 1] direction with a fringe spacing of 0.25 nm. The role of the interpenetrating network of RF/SiO 2 hybrid aerogel in the carbothermal reduction was discussed and the possible growth mechanism of the nanowires is analyzed.

  17. Optical emission of InAs nanowires

    International Nuclear Information System (INIS)

    Möller, M; De Lima Jr, M M; Cantarero, A; Chiaramonte, T; Cotta, M A; Iikawa, F

    2012-01-01

    Wurtzite InAs nanowire samples grown by chemical beam epitaxy have been analyzed by photoluminescence spectroscopy. The nanowires exhibit two main optical emission bands at low temperatures. They are attributed to the recombination of carriers in quantum well structures, formed by zincblende–wurtzite alternating layers, and to the donor–acceptor pair. The blue-shift observed in the former emission band when the excitation power is increased is in good agreement with the type-II band alignment between the wurtzite and zincblende sections predicted by previous theoretical works. When increasing the temperature and the excitation power successively, an additional band attributed to the band-to-band recombination from wurtzite InAs appears. We estimated a lower bound for the wurtzite band gap energy of approximately 0.46 eV at low temperature. (paper)

  18. Optical emission of InAs nanowires

    Science.gov (United States)

    Möller, M.; de Lima, M. M., Jr.; Cantarero, A.; Chiaramonte, T.; Cotta, M. A.; Iikawa, F.

    2012-09-01

    Wurtzite InAs nanowire samples grown by chemical beam epitaxy have been analyzed by photoluminescence spectroscopy. The nanowires exhibit two main optical emission bands at low temperatures. They are attributed to the recombination of carriers in quantum well structures, formed by zincblende-wurtzite alternating layers, and to the donor-acceptor pair. The blue-shift observed in the former emission band when the excitation power is increased is in good agreement with the type-II band alignment between the wurtzite and zincblende sections predicted by previous theoretical works. When increasing the temperature and the excitation power successively, an additional band attributed to the band-to-band recombination from wurtzite InAs appears. We estimated a lower bound for the wurtzite band gap energy of approximately 0.46 eV at low temperature.

  19. Strained Silicon Single Nanowire Gate-All-Around TFETs with Optimized Tunneling Junctions

    Directory of Open Access Journals (Sweden)

    Keyvan Narimani

    2018-04-01

    Full Text Available In this work, we demonstrate a strained Si single nanowire tunnel field effect transistor (TFET with gate-all-around (GAA structure yielding Ion-current of 15 μA/μm at the supply voltage of Vdd = 0.5V with linear onset at low drain voltages. The subthreshold swing (SS at room temperature shows an average of 76 mV/dec over 4 orders of drain current Id from 5 × 10−6 to 5 × 10−2 µA/µm Optimized devices also show excellent current saturation, an important feature for analog performance.

  20. Characteristics of AlN/GaN nanowire Bragg mirror grown on (001) silicon by molecular beam epitaxy

    KAUST Repository

    Heo, Junseok; Bhattacharya, Pallab K.; Guo, Wei; Ooi, Boon S.; Zhou, Zifan

    2013-01-01

    finite difference time domain technique. Ensemble nanowire microcavities with In0.3Ga 0.7N nanowires clad by AlN/GaN DBRs have also been characterized. Room temperature emission from the microcavity exhibits considerable linewidth narrowing compared

  1. Epitaxial grown InP quantum dots on a GaAs buffer realized on GaP/Si(001) templates

    Energy Technology Data Exchange (ETDEWEB)

    Hartwig, Walter; Wiesner, Michael; Koroknay, Elisabeth; Paul, Matthias; Jetter, Michael; Michler, Peter [Institut fuer Halbleiteroptik und Funktionelle Grenzflaechen und Research Center SCoPE, Universitaet Stuttgart, Allmandring 3, 70569 Stuttgart (Germany)

    2013-07-01

    The increasing necessity of higher computational capacity and security in the information technology requires originally technical solutions, which today's standard microelectronics, as their technical limits are close, can't provide anymore. One way out offers the integration of III-V semiconductor photonics with low-dimensional structures in current CMOS technology, enabling on-chip quantum optical applications, like quantum cryptography or quantum computing. Challenges in the heteroepitaxy of III-V semiconductors and silicon are the mismatches in material properties of the both systems. Defects, like dislocations and anti-phase domains (APDs), inhibit the monolithic integration of III-V semiconductor on Si. We present the growth of a thin GaAs buffer on CMOS-compatible oriented Si(001) by metal-organic vapor-phase epitaxy. To circumvent the forming APDs in the GaAs buffer a GaP on Si template (provided by NAsP{sub III/V} GmbH) was used. The dislocation density was then reduced by integrating several layers of InAs quantum dots in the GaAs buffer to bend the threading misfit dislocations. On top of this structure we grew InP quantum dots embedded in a Al{sub x}Ga{sub 1-x}InP composition and investigated the photoluminescence properties.

  2. Charge-collection efficiency of GaAs field effect transistors fabricated with a low temperature grown buffer layer: dependence on charge deposition profile

    International Nuclear Information System (INIS)

    McMorrow, D.; Knudson, A.R.; Melinger, J.S.; Buchner, S.

    1999-01-01

    The results presented here reveal a surprising dependence of the charge-collection efficiency of LT GaAs FETs (field effect transistors) on the depth profile of the deposited charge. Investigation of the temporal dependence of the signal amplitude, carrier density contours, and potential contours reveals different mechanisms for charge collection arising from carriers deposited above and below the LT GaAs buffer layer, respectively. In particular, carriers deposited below the LT GaAs buffer layer dissipate slowly and give rise to a persistent charge collection that is associated with a bipolar-like gain process. These results may be of significance in understanding the occurrence of single-event upsets from protons, neutrons, and large-angle, glancing heavy-ion strikes. (authors)

  3. Vertically aligned nanowires on flexible silicone using a supported alumina template prepared by pulsed anodization

    DEFF Research Database (Denmark)

    Mátéfi-Tempfli, Stefan; Mátéfi-Tempfli, M.

    2009-01-01

    Carpets of vertically aligned nanowires on flexible substrates are successfully realized by a template method. Applying special pulsed anodization conditions, defect-free nanoporous alumina structures supported on polydimethylsiloxane (PDMS), a flexible silicone elastomer, are created. By using...... this template with nanopores ending on a conducting underlayer, a high-density nanowire array can be simply grown by direct DCelectrodeposition on the top of the silicone rubber....

  4. Growth of Vertically Aligned ZnO Nanowire Arrays Using Bilayered Metal Catalysts

    Science.gov (United States)

    2012-01-01

    12] J. P. Liu, C. X. Guo, C. M. Li et al., “Carbon-decorated ZnO nanowire array: a novel platform for direct electrochemistry of enzymes and...cited. Vertically aligned, high-density ZnO nanowires (NWs) were grown for the first time on c-plane sapphire using binary alloys of Ni/Au or Cu/Au as...deleterious to the ZnO NW array growth. Significant improvement of the Au adhesion on the substrate was noted, opening the potential for direct

  5. Low-energy particle treatment of GaAs surface

    International Nuclear Information System (INIS)

    Pincik, E.; Ivanco, J.; Brunner, R.; Jergel, M.; Falcony, C.; Ortega, L.; Kucera, J. M.

    2002-01-01

    The paper presents results of a complex study of surface properties of high-doped (2x10 18 cm -3 ) and semi-insulating GaAs after an interaction with the particles coming from low-energy ion sources such as RF plasma and ion beams. The virgin samples were mechano-chemically polished liquid-encapsulated Czochralski-grown GaAs (100) oriented wafers. The crystals were mounted on the grounded electrode (holder). The mixture Ar+H 2 as well as O 2 and CF 4 were used as working gases: In addition, a combination of two different in-situ exposures was applied, such as e.g. hydrogen and oxygen. Structural, electrical and optical properties of the exposed surfaces were investigated using X-ray diffraction at grazing incidence, quasi-static and high-frequency C-V curve measurements, deep-level transient spectroscopy, photo-reflectance, and photoluminescence. Plasma and ion beam exposures were performed in a commercial RF capacitively coupled plasma equipment SECON XPL-200P and a commercial LPAI device, respectively. The evolution of surface properties as a function of the pressure of working gas and the duration of exposure was observed. (Authors)

  6. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  7. Molecular beam epitaxial growth of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} topological insulators on GaAs (111) substrates: a potential route to fabricate topological insulator p-n junction

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Zhaoquan; Morgan, Timothy A.; Li, Chen; Hirono, Yusuke; Hu, Xian; Hawkridge, Michael E.; Benamara, Mourad; Salamo, Gregory J. [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Fan, Dongsheng; Yu, Shuiqing [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Department of Electrical Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Zhao, Yanfei [International Center for Quantum Materials, School of Physics, Peking University, Beijing, 100871 (China); Lee, Joon Sue [The Center for Nanoscale Science and Department of Physics, The Pennsylvania State University, University Park, PA 16802 (United States); Wang, Jian [International Center for Quantum Materials, School of Physics, Peking University, Beijing, 100871 (China); The Center for Nanoscale Science and Department of Physics, The Pennsylvania State University, University Park, PA 16802 (United States); Wang, Zhiming M. [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Science, Beijing 100083 (China)

    2013-07-15

    High quality Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} topological insulators films were epitaxially grown on GaAs (111) substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111) substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111) substrate is better than a vicinal substrate to provide high quality Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films. Hall and magnetoresistance measurements indicate that p type Sb{sub 2}Te{sub 3} and n type Bi{sub 2}Te{sub 3} topological insulator films can be directly grown on a GaAs (111) substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  8. Dye-sensitized solar cells with vertically aligned TiO2 nanowire arrays grown on carbon fibers.

    Science.gov (United States)

    Cai, Xin; Wu, Hongwei; Hou, Shaocong; Peng, Ming; Yu, Xiao; Zou, Dechun

    2014-02-01

    One-dimensional semiconductor TiO2 nanowires (TNWs) have received widespread attention from solar cell and related optoelectronics scientists. The controllable synthesis of ordered TNW arrays on arbitrary substrates would benefit both fundamental research and practical applications. Herein, vertically aligned TNW arrays in situ grown on carbon fiber (CF) substrates through a facile, controllable, and seed-assisted thermal process is presented. Also, hierarchical TiO2 -nanoparticle/TNW arrays were prepared that favor both the dye loading and depressed charge recombination of the CF/TNW photoanode. An impressive conversion efficiency of 2.48 % (under air mass 1.5 global illumination) and an apparent efficiency of 4.18 % (with a diffuse board) due to the 3D light harvesting of the wire solar cell were achieved. Moreover, efficient and inexpensive wire solar cells made from all-CF electrodes and completely flexible CF-based wire solar cells were demonstrated, taking into account actual application requirements. This work may provide an intriguing avenue for the pursuit of lightweight, cost-effective, and high-performance flexible/wearable solar cells. Copyright © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. CuO-In2O3 Core-Shell Nanowire Based Chemical Gas Sensors

    Directory of Open Access Journals (Sweden)

    Xiaoxin Li

    2014-01-01

    Full Text Available The CuO-In2O3 core-shell nanowire was fabricated by a two-step method. The CuO nanowire core (NWs was firstly grown by the conventional thermal oxidation of Cu meshes at 500°C for 5 hours. Then, the CuO nanowires were immersed into the suspension of amorphous indium hydroxide deposited from the In(AC3 solution by ammonia. The CuO nanowires coated with In(OH3 were subsequently heated at 600°C to form the crystalline CuO-In2O3 core-shell structure, with In2O3 nanocrystals uniformly anchored on the CuO nanowires. The gas sensing properties of the formed CuO-In2O3 core-shell nanowires were investigated by various reducing gases such as hydrogen, carbon monoxide, and propane at elevated temperature. The sensors using the CuO-In2O3 nanowires show improved sensing performance to hydrogen and propane but a suppressed response to carbon monoxide, which could be attributed to the enhanced catalytic properties of CuO with the coated porous In2O3 shell and the p-n junction formed at the core-shell interface.

  10. Spin Qubits in GaAs Heterostructures and Gating of InAs Nanowires for Lowtemperature Measurements

    DEFF Research Database (Denmark)

    Nissen, Peter Dahl

    of the contenders in the race to build a large-scale quantum computer, is such a component, and research aiming to build, manipulate and couple spin qubits is looking at many materials systems to nd one where the requirements for fast control and long coherence time can be combined with ecient coupling between...... distant qubits. This thesis presents electric measurement on two of the materials systems currently at the forefront of the spin qubit race, namely InAs nanowires and GaAs/AlGaAs heterostructures. For the InAs nanowires we investigate dierent gating geometries towards the goal of dening stable quantum...... electrodes induces tunable barriers of up to 0:25 eV. From the temperature dependence of the conductance, the barrier height is extracted and mapped as a function of gate voltage. Top and bottom gates are similar to each other in terms of electrostatic couplings (lever arms 0:10:2 eV=V) and threshold...

  11. Surface properties of anatase TiO2 nanowire films grown from a fluoride-containing solution.

    Science.gov (United States)

    Berger, Thomas; Anta, Juan A; Morales-Flórez, Víctor

    2013-06-03

    Controlling the surface chemistry of nucleating seeds during wet-chemical synthesis allows for the preparation of morphologically well-defined nanostructures. Synthesis conditions play a key role in the surface properties, which directly affect the functional properties of the material. Therefore, it is important to establish post-synthesis treatments to facilitate the optimization of surface properties with respect to a specific application, without losing the morphological peculiarity of the nanostructure. We studied the surface properties of highly crystalline and porous anatase TiO2 nanowire (NW) electrodes, grown by chemical-bath deposition in fluoride-containing solutions, using a combined electrochemical and spectroscopic approach. As-deposited films showed low capacity for catechol adsorption and a poor photoelectrocatalytic activity for water oxidation. Mild thermal annealing at 200 °C resulted in a significant improvement of the electrode photoelectrocatalytic activity, whereas the bulk properties of the NWs (crystal structure, band-gap energy) remained unchanged. Enhancement of the functional properties of the material is discussed on the basis of adsorption capacity and electronic properties. The temperature-induced decrease of recombination centers, along with the concomitant increase of adsorption and reaction sites upon thermal annealing are called to be responsible for such improved performance. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Mobility-lifetime product in epitaxial GaAs X-ray detectors

    Energy Technology Data Exchange (ETDEWEB)

    Sun, G.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)]. E-mail: guocsun@ccr.jussieu.fr; Zazoui, M. [LPMC, Faculte des Sciences et Techniques-Mohammedia, B.P. 146 Bd Hassan II, Mohammedia, Maroc (Morocco); Talbi, N. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Khirouni, K. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Bourgoin, J.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)

    2007-04-01

    Self-supported thick (200-500 {mu}m), non-intentionally doped, epitaxial GaAs layers are good candidates for X-ray imaging for the following reasons. Their electronic properties are homogeneous over large areas, they can be grown at low cost, the technology to realize pixel detectors of various size is standard, the defect concentration is low and the fluorescence yield is small. Here, we characterize the defects present in the material and evaluate the mobility-lifetime product, using Deep Level Transient Spectroscopy combined with current-voltage and charge collection measurements.

  13. Effects of electron and proton irradiations on n/p and p/n GaAs cells grown by MOCVD

    International Nuclear Information System (INIS)

    Weinberg, I.; Swartz, C.K.; Hart, R.E. Jr.

    1987-01-01

    State-of-the-art n/p and p/n heteroface GaAs cells, processed by metal organic chemical vapor deposition, were irradiated by 1 MeV electrons and 37 MeV protons and their performance determined as a function of fluence. It was found that the p/n cells were more radiation resistant than the n/p cells. The increased loss in the n/p cells was attributed to increases in series resistance and losses in the p-region resulting from the irradiation. The greater loss in fill factor observed for the n/p cells introduces the possibility that the presently observed superiority of the p/n cells may not be an intrinsic property of this configuration in GaAs

  14. Fabrication and magnetic characterization of Co{sub x}Pt{sub 1-x} nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Shamaila, S. [Chinese Academy of Sciences, State Key Laboratory of Magnetism, Institute of Physics, Beijing (China); University of Engineering and Technology, Advanced Physics Laboratory, Lahore (Pakistan); Sharif, R.; Riaz, S.; Han, X.F. [Chinese Academy of Sciences, State Key Laboratory of Magnetism, Institute of Physics, Beijing (China); Khaleeq-ur-Rahman, M. [University of Engineering and Technology, Advanced Physics Laboratory, Lahore (Pakistan)

    2008-08-15

    Co{sub x}Pt{sub 1-x}(x{>=}0.7) alloy nanowires are grown into self-synthesized anodic alumina templates by electrodeposition. Magnetic and magnetization properties of Co{sub x}Pt{sub 1-x} alloy nanowires are measured as functions of wire length, temperature, and field orientation. X-ray diffraction shows that as-prepared CoPt nanowires are of fcc polycrystalline structure. A crossover of easy axis of magnetization is observed from parallel to perpendicular of the nanowire axis as a function of length. The coercivity (H{sub c}) and remanent squareness (SQ) of Co{sub x}Pt{sub 1-x} nanowire arrays are derived from hysteresis loops measured at various angles ({theta}) between the field and wire axis. H{sub c}({theta}) and SQ({theta}) curves show bell-shaped or otherwise bell-shaped behavior corresponding to the easy axis of their magnetization. (orig.)

  15. Creating New VLS Silicon Nanowire Contact Geometries by Controlling Catalyst Migration

    DEFF Research Database (Denmark)

    Alam, Sardar Bilal; Panciera, Federico; Hansen, Ole

    2015-01-01

    The formation of self-assembled contacts between vapor-liquid-solid grown silicon nanowires and flat silicon surfaces was imaged in situ using electron microscopy. By measuring the structural evolution of the contact formation process, we demonstrate how different contact geometries are created b...

  16. Design and characterisation of high electron mobility transistors for use in a monolithic GaAs X-ray imaging sensor

    International Nuclear Information System (INIS)

    Boardman, D.A.; Sellin, P.J.

    2001-01-01

    A new design of monolithic GaAs pixel detector is proposed for medical and synchrotron applications. In this device a semi-insulating GaAs wafer will be used as both the detector element and the substrate for the integrated charge readout matrix. The charge readout matrix consists of High Electron Mobility Transistors (HEMTs), which are grown epitaxially onto the GaAs substrate. Experimental characterisation of HEMTs has been carried out and their suitability for the proposed imaging device is assessed. Temperature measurements on initial devices showed the threshold voltage to be stable from room temperature down to -15 degree sign C. HEMT designs with lower leakage current that operate in enhancement mode have been fabricated and modelled using the Silvaco simulation package. These optimised devices have been fabricated using a gate recess, and exhibit enhancement mode operation and significantly reduced gate leakage currents

  17. Low-temperature growth of highly crystalline β-Ga2O3 nanowires by solid-source chemical vapor deposition.

    Science.gov (United States)

    Han, Ning; Wang, Fengyun; Yang, Zaixing; Yip, SenPo; Dong, Guofa; Lin, Hao; Fang, Ming; Hung, TakFu; Ho, Johnny C

    2014-01-01

    Growing Ga2O3 dielectric materials at a moderately low temperature is important for the further development of high-mobility III-V semiconductor-based nanoelectronics. Here, β-Ga2O3 nanowires are successfully synthesized at a relatively low temperature of 610°C by solid-source chemical vapor deposition employing GaAs powders as the source material, which is in a distinct contrast to the typical synthesis temperature of above 1,000°C as reported by other methods. In this work, the prepared β-Ga2O3 nanowires are mainly composed of Ga and O elements with an atomic ratio of approximately 2:3. Importantly, they are highly crystalline in the monoclinic structure with varied growth orientations in low-index planes. The bandgap of the β-Ga2O3 nanowires is determined to be 251 nm (approximately 4.94 eV), in good accordance with the literature. Also, electrical characterization reveals that the individual nanowire has a resistivity of up to 8.5 × 10(7) Ω cm, when fabricated in the configuration of parallel arrays, further indicating the promise of growing these highly insulating Ga2O3 materials in this III-V nanowire-compatible growth condition. 77.55.D; 61.46.Km; 78.40.Fy.

  18. A universal approach to electrically connecting nanowire arrays using nanoparticles—application to a novel gas sensor architecture

    Science.gov (United States)

    Parthangal, Prahalad M.; Cavicchi, Richard E.; Zachariah, Michael R.

    2006-08-01

    We report on a novel, in situ approach toward connecting and electrically contacting vertically aligned nanowire arrays using conductive nanoparticles. The utility of the approach is demonstrated by development of a gas sensing device employing this nano-architecture. Well-aligned, single-crystalline zinc oxide nanowires were grown through a direct thermal evaporation process at 550 °C on gold catalyst layers. Electrical contact to the top of the nanowire array was established by creating a contiguous nanoparticle film through electrostatic attachment of conductive gold nanoparticles exclusively onto the tips of nanowires. A gas sensing device was constructed using such an arrangement and the nanowire assembly was found to be sensitive to both reducing (methanol) and oxidizing (nitrous oxides) gases. This assembly approach is amenable to any nanowire array for which a top contact electrode is needed.

  19. A universal approach to electrically connecting nanowire arrays using nanoparticles-application to a novel gas sensor architecture

    International Nuclear Information System (INIS)

    Parthangal, Prahalad M; Cavicchi, Richard E; Zachariah, Michael R

    2006-01-01

    We report on a novel, in situ approach toward connecting and electrically contacting vertically aligned nanowire arrays using conductive nanoparticles. The utility of the approach is demonstrated by development of a gas sensing device employing this nano-architecture. Well-aligned, single-crystalline zinc oxide nanowires were grown through a direct thermal evaporation process at 550 deg. C on gold catalyst layers. Electrical contact to the top of the nanowire array was established by creating a contiguous nanoparticle film through electrostatic attachment of conductive gold nanoparticles exclusively onto the tips of nanowires. A gas sensing device was constructed using such an arrangement and the nanowire assembly was found to be sensitive to both reducing (methanol) and oxidizing (nitrous oxides) gases. This assembly approach is amenable to any nanowire array for which a top contact electrode is needed

  20. Electrical properties of fluorine-doped ZnO nanowires formed by biased plasma treatment

    Science.gov (United States)

    Wang, Ying; Chen, Yicong; Song, Xiaomeng; Zhang, Zhipeng; She, Juncong; Deng, Shaozhi; Xu, Ningsheng; Chen, Jun

    2018-05-01

    Doping is an effective method for tuning electrical properties of zinc oxide nanowires, which are used in nanoelectronic devices. Here, ZnO nanowires were prepared by a thermal oxidation method. Fluorine doping was achieved by a biased plasma treatment, with bias voltages of 100, 200, and 300 V. Transmission electron microscopy indicated that the nanowires treated at bias voltages of 100 and 200 V featured low crystallinity. When the bias voltage was 300 V, the nanowires showed single crystalline structures. Photoluminescence measurements revealed that concentrations of oxygen and surface defects decreased at high bias voltage. X-ray photoelectron spectroscopy suggested that the F content increased as the bias voltage was increased. The conductivity of the as-grown nanowires was less than 103 S/m; the conductivity of the treated nanowires ranged from 1 × 104-5 × 104, 1 × 104-1 × 105, and 1 × 103-2 × 104 S/m for bias voltage treatments at 100, 200, and 300 V, respectively. The conductivity improvements of nanowires formed at bias voltages of 100 and 200 V, were attributed to F-doping, defects and surface states. The conductivity of nanowires treated at 300 V was attributed to the presence of F ions. Thus, we provide a method of improving electrical properties of ZnO nanowires without altering their crystal structure.