WorldWideScience

Sample records for gaas layers prepared

  1. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  2. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  3. Preparation of GaAs photocathodes at low temperature

    International Nuclear Information System (INIS)

    Mulhollan, G.; Clendenin, J.; Tang, H.

    1996-10-01

    The preparation of an atomically clean surface is a necessary step in the formation of negative electron affinity (NEA) GaAs. Traditional methods to this end include cleaving, heat cleaning and epitaxial growth. Cleaving has the advantage of yielding a fresh surface after each cleave, but is limited to small areas and is not suitable for specialized structures. Heat cleaning is both simple and highly successful, so it is used as a preparation method in virtually all laboratories employing a NEA source on a regular basis. Due to its high cost and complexity, epitaxial growth of GaAs with subsequent in vacuo transfer is not a practical solution for most end users of GaAs as a NEA electron source. While simple, the heating cleaning process has a number of disadvantages. Here, a variety of cleaning techniques related to preparation of an atomically clean GaAs surface without heating to 600 C are discussed and evaluated

  4. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  5. Interface analysis of Ge ultra thin layers intercalated between GaAs substrates and oxide stacks

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Lamagna, Luca; Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (MI) (Italy); Dipartimento di Scienza dei Materiali, Universita di Milano Bicocca, Milano (Italy); Brammertz, Guy; Meuris, Marc [IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium)

    2010-01-01

    Capping III-V compound surfaces with Ge ultra-thin layer might be a viable pathway to passivate the electrically active interface traps which usually jeopardize the integration of III-V materials in metal-oxide-semiconductor devices. As the physical nature of such traps is intrinsically related to the chemical details of the interface composition, the structural and compositional features of the Ge/GaAs interface were thoroughly investigated in two different configurations, the atomic layer deposition of La-doped ZrO{sub 2} films on Ge-capped GaAs and the ultra-high vacuum based molecular beam deposition of GeO{sub 2}/Ge double stack on in situ prepared GaAs. In the former case, the intercalation of a Ge interface layer is shown to suppress the concentration of interface Ga-O, As-O and elemental As bonding which were significantly detected in case of the direct oxide deposition on GaAs. In the latter case, the incidence of two different in situ surface preparations, the Ar sputtering and the atomic H cleaning, on the interface composition is elucidated and the beneficial role played by the atomic H exposure in reducing the semiconductor-oxygen bonds at the interface level is demonstrated.

  6. Determination of the thickness of chemically removed thin layers on GaAs VPE structures

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K.; Nemeth-Sallay, M.; Nemcsics, A. (Research Inst. for Technical Physics, Hungarian Academy of Sciences, Budapest (Hungary))

    1991-01-01

    Thinning of epitaxial GaAs layers was studied during the surface etching, with a special attention to submicron epitaxial structures, like MESFET or varactor-type structures. Each chemical treatment influences the crystal surface during the device preparation processes, though the possible thinning of the active layer is small. Therefore a method allowing determination of thicknesses as small as at about 20 nm of the layer removed by chemical etching from GaAs VPE structures was applied. Using special multilayered structures and a continuous electrochemical carrier concentration depth profiling, the influence of the layer thickness inhomogeneity and of some measurement errors can be minimized. Some frequently used etchants and the influence of different - so called - non-etching processes were compared in different combinations. It was shown that besides the direct etching a change of the surface conditions occurs, which influences the etch rate in the succeeding etching procedure. (orig.).

  7. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  8. Structural and optical properties of vapor-etched porous GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Smida, A.; Laatar, F. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Hassen, M., E-mail: mhdhassen@yahoo.fr [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Applied Science and Technology of Sousse, City Taffala (Ibn Khaldun), 4003 Sousse (Tunisia); Ezzaouia, H. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2016-08-15

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO{sub 3} as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  9. Structural and optical properties of vapor-etched porous GaAs

    International Nuclear Information System (INIS)

    Smida, A.; Laatar, F.; Hassen, M.; Ezzaouia, H.

    2016-01-01

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO 3 as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  10. Microhardness of epitaxial layers of GaAs doped with rare earths

    International Nuclear Information System (INIS)

    Kulish, U.M.; Gamidov, Z.S.; Kuznetsova, I.Yu.; Petkeeva, L.N.; Borlikova, G.V.

    1989-01-01

    Results of the study of microhardness of GaAS layer doped by certain rare earths - Gd, Tb, Dy - are presented. The assumption is made that the higher is the value of the first potential of rare earth impurity ionization (i.e. the higher is the filling of 4f-shell), the lower is the effect of the element on electric and mechanical properties of GaAs epitaxial layers

  11. Superconducting NbN single-photon detectors on GaAs with an AlN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Ekkehart; Merker, Michael; Ilin, Konstantin; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie, Hertzstrasse 16, 76187 Karlsruhe (Germany)

    2015-07-01

    GaAs is the material of choice for photonic integrated circuits. It allows the monolithic integration of single-photon sources like quantum dots, waveguide based optical circuits and detectors like superconducting nanowire single-photon detectors (SNSPDs) onto one chip. The growth of high quality NbN films on GaAs is challenging, due to natural occurring surface oxides and the large lattice mismatch of about 27%. In this work, we try to overcome these problems by the introduction of a 10 nm AlN buffer layer. Due to the buffer layer, the critical temperature of 6 nm thick NbN films was increased by about 1.5 K. Furthermore, the critical current density at 4.2 K of NbN flim deposited onto GaAs with AlN buffer is 50% higher than of NbN film deposited directly onto GaAs substrate. We successfully fabricated NbN SNSPDs on GaAs with a AlN buffer layer. SNSPDs were patterned using electron-beam lithography and reactive-ion etching techniques. Results on the study of detection efficiency and jitter of a NbN SNSPD on GaAs, with and without AlN buffer layer will be presented and discussed.

  12. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    Science.gov (United States)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  13. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al2O3 gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    Directory of Open Access Journals (Sweden)

    Takeshi Aoki

    2015-08-01

    Full Text Available This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS structures comprising a Al2O3 gate oxide, deposited via atomic layer deposition (ALD, with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD. The established protocol afforded self-limiting growth of Al2O3 in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al2O3 layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resulting MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (Dit near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce Dit to below 2 × 1012 cm−2 eV−1. Using a (111A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.

  14. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  15. Preparation and properties of thick not intentionally doped GaInP(As)/GaAs layers

    CERN Document Server

    Nohavica, D; Zdansky, K

    1999-01-01

    We report on liquid-phase epitaxial growth of thick layers of GaInP(As), lattice matched to GaAs. Layers with thicknesses up to 10 mu m were prepared in a multi-melt bin, step-cooling, one-phase configuration. Unintentionally doped layers, grown from moderate purity starting materials, show a significant decrease in the residual impurity level when erbium is added to the melt. Fundamental electrical and optical properties of the layers were investigated. (author)

  16. Direct Growth of High-Quality InP Layers on GaAs Substrates by MOCVD

    Directory of Open Access Journals (Sweden)

    K. F. Yarn

    2003-01-01

    group V partial pressure, growth rate and V/III ratios. A mirror-like, uniform surface and high crystal quality of the metamorphic buffer layer directly grown on a GaAs substrate can be achieved. Finally, to investigate the performance of the metamorphic microwave devices, we also fabricate the InAlAs/InGaAs metamorphic HEMT on GaAs substrates.

  17. Laser-excited photoluminescence of three-layer GaAs double-heterostructure laser material

    International Nuclear Information System (INIS)

    Nash, F.R.; Dixon, R.W.; Barnes, P.A.; Schumaker, N.E.

    1975-01-01

    The successful fabrication of high-quality DH GaAs lasers from a simplified three-layer structure is reported. A major asset of this structure is the transparency of its final layer to recombination radiation occurring in the active layer, thus permitting the use of nondestructive photoluminescent techniques for material evaluation prior to device fabrication. In the course of photoluminescence investigations on this material the additional important observation has been made that indirect excitation (in which photocarriers are generated in the top ternary layer) has significant advantages over direct excitation (in which photocarriers are generated directly in the active layer). These include (i) the direct measurement of Al concentrations in both upper layers, (ii) the measurements of the minority-carrier diffusion length in the upper layer, (iii) an easily obtained indication of taper in the thickness of the upper layer, and (iv) surprisingly effective excitation of the active layer. By combining direct and indirect excitation it is shown that a clearer understanding of the location and detrimental influences of defects in the GaAs laser structure may be obtained. For example, the width of the region of reduced luminescence associated with many defects is found to be very excitation dependent and is confirmed to arise fr []m reduced active region luminescence. The photoluminescent excitation techniques described should be useful in the study of other heterostructure devices and material systems

  18. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    Science.gov (United States)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  19. Self-assisted GaAs nanowires with selectable number density on Silicon without oxide layer

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Esposito, L; Sanguinetti, S; Frigeri, C; Fedorov, A; Geelhaar, L

    2014-01-01

    We present the growth of self-assisted GaAs nanowires (NWs) with selectable number density on bare Si(1 1 1), not covered by the silicon oxide. We determine the number density of the NWs by initially self-assembling GaAs islands on whose top a single NW is nucleated. The number density of the initial GaAs base islands can be tuned by droplet epitaxy and the same degree of control is then transferred to the NWs. This procedure is completely performed during a single growth in an ultra-high vacuum environment and requires neither an oxide layer covering the substrate, nor any pre-patterning technique. (paper)

  20. MBE growth and characterization of GaAs1-x Sb x epitaxial layers on Si (0 0 1) substrates

    International Nuclear Information System (INIS)

    Toda, T.; Nishino, F.; Kato, A.; Kambayashi, T.; Jinbo, Y.; Uchitomi, N.

    2006-01-01

    We investigated the growth of GaAs 1- x Sb x (x=1.0, 0.82, 0.69, 0.44, 0.0) layers on Si (0 0 1) substrates using AlSb as a buffer layer. Epilayers were grown as a function of As beam equivalent pressure (BEP) under a constant Sb BEP, and they were then characterized by atomic force microscopy (AFM), X-ray diffraction (XRD), and micro-Raman scattering analysis. We confirmed that GaAs 1- x Sb x layers have been successfully grown on Si substrates by introducing AlSb layers

  1. Shear deformation and relaxed lattice constant of (Ga,Mn)As layers on GaAs(113)A

    Energy Technology Data Exchange (ETDEWEB)

    Dreher, Lukas; Daeubler, Joachim; Glunk, Michael; Schoch, Wladimir; Limmer, Wolfgang; Sauer, Rolf [Institut fuer Halbleiterphysik, Universitaet Ulm, D-89069 Ulm (Germany)

    2008-07-01

    The shear deformation and the relaxed lattice constant of compressively strained (Ga,Mn)As layers with Mn concentrations of up to 5%, pseudomorphically grown on GaAs(113)A and GaAs(001) substrates by low-temperature molecular-beam epitaxy, have been studied by high resolution X-ray diffraction (HRXRD) measurements. Rocking curves reveal a triclinic distortion of the (113)A layers with a shear direction towards the [001] crystallographic axis, whereas the (001) layers are tetragonally distorted along [001]. The relaxed lattice constants were derived from {omega}-2{theta} scans for the symmetric (113) and (004) Bragg reflections, taking the elastic anisotropy of the cubic system into account. The increase of the lattice constant with Mn content has been found to be smaller for the (113)A layers than for the (001) layers, presumably due to the enhanced amount of excess As in the (113)A layers.

  2. Photoacoustic study of the effect of doping concentration on the transport properties of GaAs epitaxial layers

    NARCIS (Netherlands)

    George, S.D.; Dilna, S.; Prasanth, R.; Radhakrishnan, P.; Vallabhan, C.P.G.; Nampoori, V.P.N.

    2003-01-01

    We report a photoacoustic (PA) study of the thermal and transport properties of a GaAs epitaxial layer doped with Si at varying doping concentration, grown on GaAs substrate by molecular beam epitaxy. The data are analyzed on the basis of Rosencwaig and Gersho's theory of the PA effect. The

  3. Creation of oxygen-enriched layers at the surface of GaAs single crystal

    International Nuclear Information System (INIS)

    Kulik, M.; Maczka, D.; Kobzev, A.P.

    1999-01-01

    The optical properties and the element depth profiles at the (100) plane high resistant and noncomposite GaAs single crystals implanted with In ions were investigated. The results have been compared with those obtained for virgin samples. The optic properties for all of the samples (implanted and not implanted, annealed and not annealed) have been measured using the ellipsometric method. The element depth profiles for the same samples have been obtained by the RBS and NRA techniques. It has been shown that the post-implantation annealing at a temperature more than 600 deg C leads to a ten time increase in contents of oxygen atoms in the implanted layer with respect to the not annealed sample. The thickness of the transparence layer at the surface of GaAs single crystal increases also after implantation with In ions and subsequent annealing

  4. High Ms Fe16N2 thin film with Ag under layer on GaAs substrate

    Energy Technology Data Exchange (ETDEWEB)

    Allard Jr, Lawrence Frederick [ORNL

    2016-01-01

    (001) textured Fe16N2 thin film with Ag under layer is successfully grown on GaAs substrate using a facing target sputtering (FTS) system. After post annealing, chemically ordered Fe16N2 phase is formed and detected by X-ray diffraction (XRD). High saturation magnetization (Ms) is measured by a vibrating sample magnetometer (VSM). In comparison with Fe16N2 with Ag under layer on MgO substrate and Fe16N2 with Fe under layer on GaAs substrate, the current layer structure shows a higher Ms value, with a magnetically softer feature in contrast to the above cases. In addition, X-ray photoelectron spectroscopy (XPS) is performed to characterize the binding energy of N atoms. To verify the role of strain that the FeN layer experiences in the above three structures, Grazing Incidence X-ray Diffraction (GIXRD) is conducted to reveal a large in-plane lattice constant due to the in-plane biaxial tensile strain. INTRODUCTION

  5. Optical characterization of MOVPE grown δ-InAs layers in GaAs

    Czech Academy of Sciences Publication Activity Database

    Hazdra, P.; Voves, J.; Hulicius, Eduard; Pangrác, Jiří

    2005-01-01

    Roč. 2, č. 4 (2005), s. 1319-1324 ISSN 1610-1634 R&D Projects: GA AV ČR(CZ) IAA1010318; GA MŠk(CZ) LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : δ-layer * MOVPE * GaAs * photoluminescence * photocurrent * photoreflectance Subject RIV: BM - Solid Matter Physics ; Magnetism

  6. Design optimization of GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyanag; Jiang Lan; Chen Xuyuan

    2011-01-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm -2 63 Ni, the open circuit voltage of the optimized batteries is about ∼0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P + PN + junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm -2 , which indicates a carrier diffusion length of less than 1 μm. The overall results show that multi-layer P + PN + junctions are the preferred structures for GaAs betavoltaic battery design.

  7. X-ray in-situ study of copper electrodeposition on UHV prepared GaAs(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Gruender, Yvonne

    2008-06-02

    For this work a unique setup for in-situ electrochemical studies was employed and improved. This setup permits UHV preparation of the GaAs(001) surface with a defined surface termination (arsenic-rich or gallium-rich) and its characterization by SXRD in UHV, under ambient pressure in inert gas and in electrolyte under potential control without passing through air. The GaAs(001) surfaces were capped by amorphous arsenic. This permitted to ship them through ambient air. Afterwards smooth well defined GaAs(001) surfaces could be recovered by thermal annealing in UHV. A first investigation of the arsenic capped sample was done by atomic force microscopy (AFM) and Surface X-Ray Diffraction (SXRD). The non bulk like termination of the arsenic buried GaAs(001) surface was revealed. For the electrochemical metal deposition, arsenic terminated (2 x 4) reconstructed and gallium terminated (4 x 2) reconstructed GaAs(001) surfaces were employed. These surfaces were characterized by STM, LEED and a first time by SXRD. The surfaces are smooth, however, a higher degree of disorder than for MBE prepared reconstructed GaAs(001) is found. After exposure of the sample to nitrogen, the surfaces were then again studied by SXRD. These two steps characterizing the bare GaAs(001) surfaces permitted us to get a better knowledge of the starting surface and its influence on the later electrodeposited copper. At ambient pressure both reconstructions are lifted, but the surface is not bulk-like terminated as can be deduced from the crystal truncation rods. Epitaxial copper clusters grow upon electrodeposition on the UHV prepared GaAs(001) surface. The copper lattice is rotated and inclined with respect to the GaAs substrate lattice, leading to eight symmetry equivalent domains. The influence of the surface termination as well as the nucleation potential on the structure of the electrodeposited copper were investigated. The tilt and rotation angles do not depend on the deposition potential but

  8. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  9. Crystal structure of LT GaAs layers before and after annealing

    International Nuclear Information System (INIS)

    Litiental-Weber, Z.

    1992-01-01

    In this paper the structural quality of GaAs layers grown at low temperatures by solid-source and gas-source MBE at different growth conditions is described. Dependence on the growth temperature and concentration of As [expressed at As/Ga beam equivalent pressure (BEP)] used for the growth is discussed. A higher growth temperature is required top obtain the same monocrystalling layer thickness with increased BEP. The annealing of these layers is associated with the formation of As precipitates. Semicoherent precipitates with lowest formation energies are formed in the monocrystalline parts of the layers grown with the lowest BEP. Precipitates with higher formation energies are formed when higher BEP is applied; they are also formed in the vicinity of structural defects. Formation of As precipitates releases strain in the layers. Arsenic precipitates are not formed in annealed ternary (InAlAs) layers despite their semi-insulating properties. The role of As precipitates in semi-insulating properties and the short lifetime of minority carriers in these layers is discussed

  10. Characterization of InSb layers on GaAs substrates using infrared reflectance and a modified oscillator formula

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A., E-mail: Japie.Engelbrecht@nmmu.ac.z [Physics Department, Nelson Mandela Metropolitan University, Box 77000, Port Elizabeth 6031 (South Africa); Wagener, M.C. [Physics Department, Nelson Mandela Metropolitan University, Box 77000, Port Elizabeth 6031 (South Africa)

    2009-12-01

    InSb epilayers on GaAs substrates are analyzed using infrared reflectance spectroscopy, but employing a modified Drude oscillator formula. The modified formula enables the determination of 13 parameters: six dielectric parameters for both layer and substrate separately, as well as the thickness of the layer. The formula is tested against previously published data, and to characterize layers grown in this laboratory.

  11. Characterization of InSb layers on GaAs substrates using infrared reflectance and a modified oscillator formula

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Wagener, M.C.

    2009-01-01

    InSb epilayers on GaAs substrates are analyzed using infrared reflectance spectroscopy, but employing a modified Drude oscillator formula. The modified formula enables the determination of 13 parameters: six dielectric parameters for both layer and substrate separately, as well as the thickness of the layer. The formula is tested against previously published data, and to characterize layers grown in this laboratory.

  12. Charge-collection efficiency of GaAs field effect transistors fabricated with a low temperature grown buffer layer: dependence on charge deposition profile

    International Nuclear Information System (INIS)

    McMorrow, D.; Knudson, A.R.; Melinger, J.S.; Buchner, S.

    1999-01-01

    The results presented here reveal a surprising dependence of the charge-collection efficiency of LT GaAs FETs (field effect transistors) on the depth profile of the deposited charge. Investigation of the temporal dependence of the signal amplitude, carrier density contours, and potential contours reveals different mechanisms for charge collection arising from carriers deposited above and below the LT GaAs buffer layer, respectively. In particular, carriers deposited below the LT GaAs buffer layer dissipate slowly and give rise to a persistent charge collection that is associated with a bipolar-like gain process. These results may be of significance in understanding the occurrence of single-event upsets from protons, neutrons, and large-angle, glancing heavy-ion strikes. (authors)

  13. Influence of implantation conditions of He+ ions on the structure of a damaged layer in GaAs(001)

    International Nuclear Information System (INIS)

    Shcherbachev, Kirill; Bailey, Melanie J.

    2011-01-01

    An investigation into the influence of implantation conditions (dose, energy, and target temperature) of He + ions on the damage structure of GaAs (100) substrates was performed by HRXRD, scanning electron microscopy, and Nomarski microscopy. Blistering is shown to become apparent as characteristic features of isolines in RSMs. We propose that the formation of the defects yielding a characteristic XRDS is defined by the behavior of implanted atoms in the GaAs matrix, depending on two competing processes: (1) formation of the gas-filled bubbles; (2) diffusion of the He atoms from the bubbles toward the surface and deep into the GaAs substrate. We conclude that the gas-filled bubbles change the structure of the irradiated layer, resulting in the formation of strained crystalline areas of the GaAs matrix. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Infrared reflection spectra of multilayer epitaxial heterostructures with embedded InAs and GaAs layers

    International Nuclear Information System (INIS)

    Seredin, P. V.; Domashevskaya, E. P.; Lukin, A. N.; Arsent'ev, I. N.; Vinokurov, D. A.; Tarasov, I. S.

    2008-01-01

    The effect of the thickness of embedded InAs and GaAs layers on the infrared reflection spectra of lattice vibrations for AlInAs/InAs/AlInAs, InGaAs/GaAs/InGaAs, and AlInAs/InGaAs/GaAs/InGaAs/AlInAs multilayer epitaxial heterostructures grown by MOC hydride epitaxy on InP (100) substrates is studied. Relative stresses emerging in the layers surrounding the embedded layers with variation in the number of monolayers from which the quantum dots are formed and with variation the thickness of the layers themselves surrounding the embedded layers are evaluated.

  15. Single-Crystal Y2O3 Epitaxially on GaAs(001 and (111 Using Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Y. H. Lin

    2015-10-01

    Full Text Available Single-crystal atomic-layer-deposited (ALD Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films 2 nm thick were epitaxially grown on molecular beam epitaxy (MBE GaAs(001-4 \\(\\times\\ 6 and GaAs(111A-2 \\(\\times\\ 2 reconstructed surfaces. The in-plane epitaxy between the ALD-oxide films and GaAs was observed using \\textit{in-situ} reflection high-energy electron diffraction in our uniquely designed MBE/ALD multi-chamber system. More detailed studies on the crystallography of the hetero-structures were carried out using high-resolution synchrotron radiation X-ray diffraction. When deposited on GaAs(001, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are of a cubic phase and have (110 as the film normal, with the orientation relationship being determined: Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(110\\[\\(001\\][\\(\\overline{1}10\\]//GaAs(\\(001\\[\\(110\\][\\(1\\overline{1}0\\]. On GaAs(\\(111\\A, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are also of a cubic phase with (\\(111\\ as the film normal, having the orientation relationship of Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(111\\[\\(2\\overline{1}\\overline{1}\\] [\\(01\\overline{1}\\]//GaAs (\\(111\\ [\\(\\overline{2}11\\][\\(0\\overline{1}1\\]. The relevant orientation for the present/future integrated circuit platform is (\\(001\\. The ALD-Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\/GaAs(\\(001\\-4 \\(\\times\\ 6 has shown excellent electrical properties. These include small frequency dispersion in the capacitance-voltage CV curves at accumulation of ~7% and ~14% for the respective p- and n-type samples with the measured frequencies of 1 MHz to 100 Hz. The interfacial trap density (Dit is low of ~10\\(^{12}\\ cm\\(^{−2}\\eV\\(^{−1}\\ as extracted from measured quasi-static CVs. The frequency dispersion at accumulation and the D\\(_{it}\\ are the lowest ever achieved among all the ALD-oxides on GaAs(\\(001\\.

  16. Structural characterization of ZnTe grown by atomic-layer-deposition regime on GaAs and GaSb (100) oriented substrates

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, Roberto Saúl [Universidad Politécnica de Pachuca (Mexico); Díaz-Reyes, Joel; Peralta-Clara, María de la Cruz; Veloz-Rendón, Julieta Salomé, E-mail: joel_diaz_reyes@hotmail.com [Centro de Investigación en Biotecnología Aplicada, Instituto Politécnico Nacional, Tlaxcala, (Mexico); Galván-Arellano, Miguel [Centro de Investigación y de Estudios Avanzados, Instituto Politécnico Nacional (Mexico); Anda-Salazar, Francisco de [Instituto de Investigación en Comunicación Óptica, Universidad Autónoma de San Luis Potosí (Mexico); Contreras-Rascon, Jorge Indalecio [Departamento de Física, Universidad de Sonora (Mexico)

    2017-10-15

    This work presents the characterization of ZnTe nano layers grown on GaAs and GaSb (100) substrates by the Atomic Layer Deposition (ALD) regime. Under certain conditions, the alternating exposition of a substrate surface to the element vapours makes possible the growth of atomic layers in a reactor where the atmosphere is high-purity hydrogen. ZnTe was grown simultaneously on GaAs and GaSb at the same run, allowing, a comparison between the effects produced by the superficial processes due to the different used substrates, thereby eliminating possible unintended changes of growth parameters. Nano layers on GaSb maintained their shiny appearance even at temperatures near 420°C. It was found that for exposure times below 2.5 s there was not growth on GaAs, while for GaSb the shortest time was 1.5 s at 385°C. By HRXRD the peak corresponding to (004) diffraction plane of ZnTe was identified and investigated, the FWHM resulted very wide (600-800 arcsec) indicating a highly distorted lattice mainly due to mosaicity. Raman scattering shows the peak corresponding to LO-ZnTe, which is weak and slightly shifted in comparison with the reported for the bulk ZnTe at 210 cm{sup -1}. Additionally, the measurements suggest that the crystalline quality have a dependence with the growth temperature. (author)

  17. A polarized photoluminescence study of strained layer GaAs photocathodes

    International Nuclear Information System (INIS)

    Mair, R.A.

    1996-07-01

    Photoluminescence measurements have been made on a set of epitaxially grown strained GaAs photocathode structures. The photocathodes are designed to exhibit a strain-induced enhancement of the electron spin polarization obtainable by optical pumping with circularly polarized radiation of near band gap energy. For the case of non-strained GaAs, the degree of spin polarization is limited to 50% by crystal symmetry. Under an appropriate uniaxial compression or tension, however, the valence band structure near the gap minimum is modified such that a spin polarization of 100% is theoretically possible. A total of nine samples with biaxial compressive strains ranging from zero to ∼0.8% are studied. X-ray diffraction analysis, utilizing Bragg reflections, is used to determine the crystal lattice structure of the samples. Luminescence spectra and luminescence circular polarization data are obtained at room temperature, ∼78 K and ∼12 K. The degree of luminescence circular polarization is used as a relative measure of the photo-excited electron spin polarization. The room temperature luminescence circular polarization data is compared with the measured electron spin polarization when the samples are used as electron photo-emitters with a negative electron affinity surface preparation. The luminescence data is also analyzed in conjunction with the crystal structure data with the goal of understanding the strain dependent valence band structure, optical pumping characteristics and spin depolarization mechanisms of the photocathode structures. A simple model is used to describe the luminescence data, obtained for the set of samples. Within the assumptions of the model, the deformation potentials a, b and d for GaAs are determined. The measured values are a = -10.16±.21 eV, b = -2.00±.05 eV and d = -4.87±.29 eV. Good agreement with published values of the deformation potentials provides support for the model used to describe the data

  18. Influence of a Thiolate Chemical Layer on GaAs (100 Biofunctionalization: An Original Approach Coupling Atomic Force Microscopy and Mass Spectrometry Methods

    Directory of Open Access Journals (Sweden)

    Alex Bienaime

    2013-10-01

    Full Text Available Widely used in microelectronics and optoelectronics; Gallium Arsenide (GaAs is a III-V crystal with several interesting properties for microsystem and biosensor applications. Among these; its piezoelectric properties and the ability to directly biofunctionalize the bare surface, offer an opportunity to combine a highly sensitive transducer with a specific bio-interface; which are the two essential parts of a biosensor. To optimize the biorecognition part; it is necessary to control protein coverage and the binding affinity of the protein layer on the GaAs surface. In this paper; we investigate the potential of a specific chemical interface composed of thiolate molecules with different chain lengths; possessing hydroxyl (MUDO; for 11-mercapto-1-undecanol (HS(CH211OH or carboxyl (MHDA; for mercaptohexadecanoic acid (HS(CH215CO2H end groups; to reconstitute a dense and homogeneous albumin (Rat Serum Albumin; RSA protein layer on the GaAs (100 surface. The protein monolayer formation and the covalent binding existing between RSA proteins and carboxyl end groups were characterized by atomic force microscopy (AFM analysis. Characterization in terms of topography; protein layer thickness and stability lead us to propose the 10% MHDA/MUDO interface as the optimal chemical layer to efficiently graft proteins. This analysis was coupled with in situ MALDI-TOF mass spectrometry measurements; which proved the presence of a dense and uniform grafted protein layer on the 10% MHDA/MUDO interface. We show in this study that a critical number of carboxylic docking sites (10% is required to obtain homogeneous and dense protein coverage on GaAs. Such a protein bio-interface is of fundamental importance to ensure a highly specific and sensitive biosensor.

  19. Novel optical and structural properties of porous GaAs formed by anodic etching of n±GaAs in a HF:C_2H_5OH:HCl:H_2O_2:H_2O electrolyte: effect of etching time

    International Nuclear Information System (INIS)

    Naddaf, M.; Saad, M.

    2014-01-01

    Porous GaAs layers have been formed by anodic etching of n±type GaAs (10.0) substrates in a HF:C_2H_5OH:HCl:H_2O_2:H_2O electrolyte. A dramatic impact of etching time on the optical and structural properties of porous GaAs layer is demonstrated. The nano/micro-features of porous GaAs layers are revealed by scanning electron microscopy (SEM) imaging. Two-peak room temperature photoluminescence (PL), "blue-green"and "green-yellow", is obtained in all prepared porous GaAs samples. Proper adjustment of etching time is found to produce a white color layer, instead of the usual dark gray color of porous GaAs. This is found to cause vast enhancement in the intensity of the visible PL in porous GaAs layer. Chemical composition and structural characterization by means of X-ray photoelectron spectroscopic (XPS), X-ray diffraction (XRD), and micro-Raman spectroscopy, confirm that this layer is characterized with monoclinic β-Ga_2O_3 rich surface. Etching time induced-modification of structural and chemical properties of porous GaAs layer is discussed and correlated to its PL behavior. It is inferred that the "blue-green"PL in porous GaAs can be ascribed to different degrees of quantum confinement in GaAs nano crystallites, whereas, the "green-yellow"PL is highly influenced by the As_2O_3 and Ga_2O_3, content in the porous GaAs layer. In addition, the reflectance measurements reveal an anti-refection trend of behavior of porous GaAs layers in the spectral range (500-1,100 nm). (author)

  20. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2008-12-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. It has been found that etching parameters can be controlled to produce a considerably low optical reflectivity porous GaAs layer, attractive for use in solar cells. In addition, it has been observed that the deposition of plasma polymerized HMDSO thin film on porous GaAs surface can be utilized to produce a surface with novel optical properties interesting for solar cells and optoelectronic devices. (author)

  1. Effect of rapid thermal annealing observed by photoluminescence measurement in GaAs1-xN x layers

    International Nuclear Information System (INIS)

    Bousbih, F.; Bouzid, S.B.; Hamdouni, A.; Chtourou, R.; Harmand, J.C.

    2005-01-01

    A set of GaAs 1-x N x samples with small nitrogen content were investigated by photoluminescence (PL) measurements as function of irradiance in order to investigate the effect of rapid thermal annealing (RTA) on photoluminescence (PL) properties. The analysis of PL spectra as function of irradiance and nitrogen content shows that the PL spectra associated to the GaAs 1- x N x layers are the result of the nitrogen localized state recombination. The results are examined as a consequence of a rapid thermal annealing (RTA). The variation of the emission band peak energy (E p ), at 10 K as a function of irradiance, is fitted by a theoretical model taking into account two types of nitrogen localized states. The variation of the PL intensity versus irradiance in the range from 1.59 to 159 W/cm 2 for different GaAs 1-x N x samples confirm that the PL spectra result from the nitrogen localized state recombination

  2. Defect characterization in compositionally graded InGaAs layers on GaAs(001) grown by MBE

    International Nuclear Information System (INIS)

    Sasaki, Takuo; Takahasi, Masamitu; Norman, Andrew G.; Romero, Manuel J.; Al-Jassim, Mowafak M.; Kojima, Nobuaki; Ohshita, Yoshio; Yamaguchi, Masafumi

    2013-01-01

    Defect characterization in molecular beam epitaxial (MBE) compositionally-graded In x Ga 1-x As layers on GaAs substrates consisting different thickness of overshooting (OS) layers was carried out using cathodoluminescence (CL) and transmission electron microscopy (TEM). We found that the thickness of the OS layer influences not only stress but also lattice defects generated in a top InGaAs layer. While the top InGaAs layer with a thin OS layer is under compression and has mainly threading dislocations, the top layer with a thick OS layer is under tension and exhibits inhomogeneous strain associating with phase separation. We will discuss the mechanisms of defect generation and their in-plane distribution based on strain relaxation at the top and OS layers. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Photo-irradiation effects on GaAs atomic layer epitaxial growth. GaAs no genshiso epitaxial seicho ni okeru hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y.; Sasaki, M.; Ishikawa, H. (Toshiba Corp., Kawasaki (Japan). Research and Development Center)

    1990-08-10

    Single atomic layer epitaxy (ALE) aims at controlling a growing film at a precision of single molecular layer. In this article, it is reported that the growth temperature range of ALE was expanded by the vertical irradiation of KrF exima laser (248 nm) onto the substrate for the ALE growth of GaAs using the metalorganic chemical vapor deposition (MOCVD) method. Thanks for the results of the above experiment, it was demonstrated that the irradiation effect was not thermal, but photochemical. In addition, this article studies the possibility of adsorption layer irradiation and surface irradiation as the photo-irradiation mechanism, and points out that coexistence of both irradiation mechanisms can be considered and, in case of exima laser, strong possibility of direct irradiation of the adsorption layer because of its high power density. Hereinafter, by using both optical growth ALE and thermal growth ALE jointly, the degree of freedom of combination of hetero ALE increases and its application to various material systems becomes possible. 16 refs., 6 figs.

  4. Peeled film GaAs solar cell development

    International Nuclear Information System (INIS)

    Wilt, D.M.; Thomas, R.D.; Bailey, S.G.; Brinker, D.J.; DeAngelo, F.L.

    1990-01-01

    Thin film, single crystal gallium arsenide (GaAs) solar cells could exhibit a specific power approaching 700 W/Kg including coverglass. A simple process has been described whereby epitaxial GaAs layers are peeled from a reusable substrate. This process takes advantage of the extreme selectivity (>10 6 ) of the etching rate of aluminum arsenide (AlAs) over GaAs in dilute hydrofloric acid (HF). The intent of this work is to demonstrate the feasibility of using the peeled film technique to fabricate high efficiency, low mass GaAs solar cells. We have successfully produced a peeled film GaAs solar cell. The device, although fractured and missing the aluminum gallium arsenide (Al x Ga 1 - x As) window and antireflective (AR) coating, had a Voc of 874 mV and a fill factor of 68% under AMO illumination

  5. Metallization systems for stable ohmic contacts to GaAs

    International Nuclear Information System (INIS)

    Tandon, J.L.; Douglas, K.D.; Vendura, G.; Kolawa, E.; So, F.C.T.; Nicolet, M.A.

    1986-01-01

    A metallization scheme to form reproducible and stable ohmic contacts to GaAs is described. The approach is based on the configuration: GaAs/X/Y/Z; where X is a thin metal film (e.g. Pt, Ti, Pd, Ru), Y is an electrically conducting diffusion barrier layer (TiN, W or W/sub 0.7/N/sub 0.3/), and Z is a thick metal layer (e.g. Ag) typically required for bonding or soldering purposes. The value and reproducibility of the contact resistance in these metallization systems results from the uniform steady-state solid-phase reaction of the metal X with GaAs. The stability of the contacts is achieved by the diffusion barrier layer Y, which not only confines the reaction of X with GaAs, but also prevents the top metal layer Z from interfering with this reaction. Applications of such contacts in fabricating stable solar cells are also discussed

  6. Determination of the nitrogen concentration in epitaxial layers of GaAs /SUB 1-x/ p /SUB x/ by the optical method

    International Nuclear Information System (INIS)

    Lupal, M.V.; Klot, B; Nikhter, K.; Pikhtin, A.N.; Trapp, M.

    1986-01-01

    This paper determines the dependence of the cross section for absorption in the A /SUB N/ line of a bound exciton on the nitrogen content in the solid solution GaAs /SUB 1-x/ P /SUB x/ by comparing the results of optical measurements with the data from secondary ionic mass spectrometry, and these results are used to study the effect of technological factors on the nitrogen concentration epitaxial layers obtained by the gas-transport method. Doping was carried out with nitrogen by injecting ammonia into the reactor zone; the partial pressure of the ammonia was varied from 1 to 25 kPa. Aside from nitrogen, the authors doped the layers with shallow donor Te. It is established that the solubility of nitrogen in the solid solution decreases as the arsenic content increases when the convenient optical method for determining the nitrogen concentration in epitaxial GaAs /SUB 1-x/ P /SUB x/ layers is used

  7. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  8. In-situ transmission electron microscopy of the solid-phase epitaxial growth of GaAs: sample preparation and artifact characterization

    International Nuclear Information System (INIS)

    Llewellyn, D.J.; Llewellyn, D.J.; Belay, K.B.; Ridgway, M.C.

    1998-01-01

    In-situ transmission electron microscopy (TEM) has been used to characterize the solid phase epitaxial growth of amorphized GaAs at a temperature of 260 deg C. To maximize heat transfer from the heated holder to the sample and minimize electron-irradiation induced artifacts, non-conventional methodologies were utilized for the preparation of cross-sectional samples. GaAs 3x1 mm rectangular wafers were cleaved then glued face-to-face to form a wafer stack size of 3x3 mm while maintaining the TEM region at the center. This stack was subsequently polished on the cross-section to a thickness of ∼ 200 μm. A 3 mm disc was then cut perpendicular to the cross-section using a Gatan ultrasonic cutter. The disc was polished then dimpled on both sides to a thickness of ∼ 15 μm. This was ion-beam milled at liquid nitrogen temperature to an electron-transparent layer. From a comparison of in-situ and ex-situ measurements of the recrystallization rate, the actual sample temperature during in-situ characterization was estimated to deviate by ≤ 20 deg C from that of the heated holder. The influence of electron-irradiation was found to be negligible by comparing the recrystallization rate and microstructure of irradiated and unirradiated regions of comparable thickness. Similarly, the influence of the 'thin-foil effect' was found to be negligible by comparing the recrystallization rate and microstructure of thick and thin regions, the former determined after the removal of the sample from the microscope and further ion-beam milling of tens of microns of material. In conclusion, the potential influence of artifacts during in-situ TEM can be minimized by the appropriate choice of sample preparation procedures. (authors)

  9. Characterization of core/shell structures based on CdTe and GaAs nanocrystalline layers deposited on SnO2 microwires

    Science.gov (United States)

    Ghimpu, L.; Ursaki, V. V.; Pantazi, A.; Mesterca, R.; Brâncoveanu, O.; Shree, Sindu; Adelung, R.; Tiginyanu, I. M.; Enachescu, M.

    2018-04-01

    We report the fabrication and characterization of SnO2/CdTe and SnO2/GaAs core/shell microstructures. CdTe or GaAs shell layers were deposited by radio-frequency (RF) magnetron sputtering on core SnO2 microwires synthesized by a flame-based thermal oxidation method. The produced structures were characterized by scanning electron microscopy (SEM), high-resolution scanning transmission electron microscope (HR-STEM), X-ray diffraction (XRD), Raman scattering and FTIR spectroscopy. It was found that the SnO2 core is of the rutile type, while the shells are composed of CdTe or GaAs nanocrystallites of zincblende structure with the dimensions of crystallites in the range of 10-20 nm. The Raman scattering investigations demonstrated that the quality of the porous nanostructured shell is improved by annealing at temperatures of 420-450 °C. The prospects of implementing these microstructures in intrinsic type fiber optic sensors are discussed.

  10. Implantation annealing in GaAs by incoherent light

    International Nuclear Information System (INIS)

    Davies, D.E.; Ryan, T.G.; Soda, K.J.; Comer, J.J.

    1983-01-01

    Implanted GaAs has been successfully activated through concentrating the output of quartz halogen lamps to anneal in times of the order of 1 sec. The resulting layers are not restricted by the reduced mobilities and thermal instabilities of laser annealed GaAs. Better activation can be obtained than with furnace annealing but this generally requires maximum temperatures >= 1050degC. (author)

  11. Pump-probe studies of travelling coherent longitudinal acoustic phonon oscillations in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Y.; Qi, J.; Tolk, Norman [Department of Physics and Astronomy, Vanderbilt University, Nashville, TN, 37235 (United States); Miller, J. [Naval air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Cho, Y.J.; Liu, X.; Furdyna, J.K. [Department of Physics, University of Notre Dame, Notre Dame, IN 46556 (United States); Shahbazyan, T.V. [Department of Physics, Jackson State University, MS 39217 (United States)

    2008-07-01

    We report comprehensive studies of long-lived oscillations in femtosecond optical pump-probe measurements on GaAs based systems. The oscillations arise from a photo-generated coherent longitudinal acoustic phonon wave at the sample surface, which subsequently travels from the surface into the GaAs substrate, thus providing information on the optical properties of the material as a function of time/depth. Wavelength-dependent studies of the oscillations near the bandgap of GaAs indicate strong correlations to the optical properties of GaAs. We also use the coherent longitudinal acoustic phonon waves to probe a thin buried Ga{sub 0.1}In{sub 0.9}As layers non-invasively. The observed phonon oscillations experience a reduction in amplitude and a phase change at wavelengths near the bandgap of the GaAs, when it passes through the thin Ga{sub x}In{sub 1-x}As layer. The layer depth and thicknesses can be extracted from the oscillation responses. A model has been developed that satisfactorily characterizes the experimental results. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Paths to light trapping in thin film GaAs solar cells.

    Science.gov (United States)

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  13. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    Science.gov (United States)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  14. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Klimov, E. A. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Vasiliev, A. L.; Imamov, R. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics,” (Russian Federation); Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Trunkin, I. N. [National Research Centre “Kurchatov Institute” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation)

    2017-01-15

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers of arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.

  15. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    Science.gov (United States)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  16. Modeling of altered layer formation during reactive ion etching of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Mutzke, A. [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Rai, A., E-mail: Abha.Rai@ipp.mpg.de [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Schneider, R.; Angelin, E.J.; Hippler, R. [Institute of Physics, Ernst-Moritz-Arndt-University Greifswald, Felix-Hausdorff-Str.6, D-17489 Greifswald (Germany)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Experimental result showing the preferential sputtering of GaAs (150 keV Ar{sup +} and thermal O on GaAs) during reactive ion beam etching (RIBE) has been reported. Black-Right-Pointing-Pointer A model based on binary collisions (SDTrimSP) is presented to simulate RIBE. Black-Right-Pointing-Pointer The model is used to explain the reported experimental data and also the results by Grigonis and co-workers [1]. - Abstract: The binary collision based SDTrimSP model has been used to simulate the reactive ion beam etching (RIBE) of GaAs in the presence of energetic Ar ions and thermal O atoms. It includes the collisional effects, diffusive processes and chemical reactions taking place in the system. The model parameters are fitted using the experimental observations of Grigonis and co-workers [1] and validated with the experimental results obtained during the GaAs ion etching presented in this paper. A detailed analysis is presented to understand the effect of the diffusive processes and the role of O during RIBE of GaAs. It is shown how the presence of damage caused by the energetic Ar coupled with the presence of thermal O opens up chemical reaction channels which eventually leads to the preferential sputtering of Ga observed at the ion etching facility at University of Greifswald.

  17. High microwave performance ion-implanted GaAs MESFETs on InP substrates

    International Nuclear Information System (INIS)

    Wada, M.; Kato, K.

    1990-01-01

    Ion implantation was employed, for the first time, in fabricating GaAs MESFETs in undoped 2 μm thick GaAs epitaxial layers directly grown on InP substrates by low-pressure MOVPE. The Si-ion-implanted GaAs layer on InP substrates showed excellent electrical characteristics: a mobility of 4300 cm 2 /Vs with a carrier density of 2 x 10 17 cm -3 at room temperature. The MESFET (0.8 μm gate length) exhibited a current-gain cutoff frequency of 25 GHz and a maximum frequency of oscillation of 53 GHz, the highest values yet reported to GaAs MESFETs on InP substrates. These results demonstrate the high potential of ion-implanted MESFETs as electronic devices for high-speed InP-based OEICs. (author)

  18. Growth of thermal oxide layers on GaAs and InP in the presence of ammonium heptamolybdate

    International Nuclear Information System (INIS)

    Mittova, I.Ya.; Lavrushina, S.S.; Afonchikova, A.V.

    2004-01-01

    Processes of thermal oxidation of GaAs and InP in the presence of ammonium heptamolybdate were studied using the methods of X-ray fluorescence analysis and IR spectroscopy at temperatures 480-580 Deg C. It was ascertained that introduction of the activator into the system results in accelerated growth of layers on semiconductors due to participation of anionic component of the chemostimulator in oxidation processes. The activator is integrated into the salts formed [ru

  19. Structure and homoepitaxial growth of GaAs(6 3 1)

    International Nuclear Information System (INIS)

    Mendez-Garcia, V.H.; Ramirez-Arenas, F.J.; Lastras-Martinez, A.; Cruz-Hernandez, E.; Pulzara-Mora, A.; Rojas-Ramirez, J.S.; Lopez-Lopez, M.

    2006-01-01

    We have studied the surface atomic structure of GaAs(6 3 1), and the GaAs growth by molecular beam epitaxy (MBE) on this plane. After the oxide desorption process at 585 deg. Creflection high-energy electron diffraction (RHEED) showed along the [-1 2 0] direction a 2x surface reconstruction for GaAs(6 3 1)A, and a 1x pattern was observed for GaAs(6 3 1)B. By annealing the substrates for 60 min, we observed that on the A surface appeared small hilly-like features, while on GaAs(6 3 1)B surface pits were formed. For GaAs(6 3 1)A, 500 nm-thick GaAs layers were grown at 585 deg. C. The atomic force microscopy (AFM) images at the end of growth showed the self-formation of nanoscale structures with a pyramidal shape enlarged along the [5-9-3] direction. Transversal views of the bulk-truncated GaAs(6 3 1) surface model showed arrays of atomic grooves along this direction, which could influence the formation of the pyramidal structures

  20. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    International Nuclear Information System (INIS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-01-01

    Molecular-Beam Epitaxy growth of multiple In 0.4 Ga 0.6 As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4 Ga 0.6 As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4 Ga 0.6 As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  1. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.; Ghoneim, Mohamed T.; Droopad, Ravi; Hussain, Muhammad Mustafa

    2014-01-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  2. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.

    2014-08-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  3. Nitridation of porous GaAs by an ECR ammonia plasma

    International Nuclear Information System (INIS)

    Naddaf, M; Hullavarad, S S; Ganesan, V; Bhoraskar, S V

    2006-01-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy

  4. Nitridation of porous GaAs by an ECR ammonia plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Department of Physics, Atomic Energy Commission of Syria, PO Box 6091, Damascus (Syrian Arab Republic); Hullavarad, S S [Center for Superconductivity Research, Department of Physics, University of Maryland, College Park, MD 20742 (United States); Ganesan, V [Inter University Consortium, Indore (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2006-02-15

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  5. Nitridation of porous GaAs by an ECR ammonia plasma

    Science.gov (United States)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  6. Study of the process of positron annihilation in GaAs disturbed surface layers

    International Nuclear Information System (INIS)

    Vorob'ev, A.A.; Aref'ev, K.P.; Vorob'ev, S.A.; Karetnikov, A.S.; Prokop'ev, E.P.; Kuznetsov, Yu.N.; Khashimov, F.R.; Markova, T.I.

    1977-01-01

    The effect was investigated of single-crystal semiconductor surface treatment types on positron annihilation characteristics. CaAs single-crystal specimens were investigated with the following surface treatment types: (a) polishing with Al 2 O 3 abrasive powder water suspension; (b) mechanical polishing with diamond paste; (c) mechanical chemical polishing with Al 2 O 3 or ZrO 2 suspensions; (d) chemical polishing with the 1HF:3HNO 3 :2H 2 O mixture. The investigation of annihilation was performed by the method of distinguishing the narrow component Isub(N) from correlation curves in 14.5 kOc statical magnetic field and by that of measuring the relative value of friquantuum annihilation Psub(3γ). The maximum Isub(N) and Psub(3γ) values are shown to occur in GaAs specimens with the (d) type of treatment. The experimental data provided a conclusion about the presence of a maximum thickness oxide layer of complex composition on the surface of the specimens compared with oxide layer thicknesses on the surface of specimens with (a), (b), and (c) treatmens. It is concluded that the positron annihilation method may be successfully used for the study of semiconductor material oxide layers

  7. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  8. GaAs low-energy X-ray radioluminescence nuclear battery

    Science.gov (United States)

    Zhang, Zheng-Rong; Liu, Yun-Peng; Tang, Xiao-Bin; Xu, Zhi-Heng; Yuan, Zi-Cheng; Liu, Kai; Chen, Wang

    2018-01-01

    The output properties of X-ray radioluminescence (RL) nuclear batteries with different phosphor layers were investigated by using low-energy X-ray. Results indicated that the values of electrical parameters increased as the X-ray energy increased, and the output power of nuclear battery with ZnS:Cu phosphor layer was greater than those of batteries with ZnS:Ag, (Zn,Cd)S:Cu or Y2O3:Eu phosphor layers under the same excitation conditions. To analyze the RL effects of the phosphor layers under X-ray excitation, we measured the RL spectra of the different phosphor layers. Their fluorescence emissions were absorbed by the GaAs device. In addition, considering luminescence utilization in batteries, we introduced an aluminum (Al) film between the X-ray emitter and phosphor layer. Al film is a high performance reflective material and can increase the fluorescence reaching the GaAs photovoltaic device. This approach significantly improved the output power of the battery.

  9. GaAs droplet quantum dots with nanometer-thin capping layer for plasmonic applications

    Science.gov (United States)

    In Park, Suk; Trojak, Oliver Joe; Lee, Eunhye; Song, Jin Dong; Kyhm, Jihoon; Han, Ilki; Kim, Jongsu; Yi, Gyu-Chul; Sapienza, Luca

    2018-05-01

    We report on the growth and optical characterization of droplet GaAs quantum dots (QDs) with extremely-thin (11 nm) capping layers. To achieve such result, an internal thermal heating step is introduced during the growth and its role in the morphological properties of the QDs obtained is investigated via scanning electron and atomic force microscopy. Photoluminescence measurements at cryogenic temperatures show optically stable, sharp and bright emission from single QDs, at visible wavelengths. Given the quality of their optical properties and the proximity to the surface, such emitters are good candidates for the investigation of near field effects, like the coupling to plasmonic modes, in order to strongly control the directionality of the emission and/or the spontaneous emission rate, crucial parameters for quantum photonic applications.

  10. In-situ optical spectroscopy and electronic properties of pyrrole sub-monolayers on Ga-rich GaAs(001)

    International Nuclear Information System (INIS)

    Bruhn, Thomas; Ewald, Marcel; Fimland, Bjørn-Ove; Kneissl, Michael; Esser, Norbert; Vogt, Patrick

    2011-01-01

    We report on the characterization of sub-monolayers of pyrrole adsorbed on Ga-rich GaAs(001) surfaces. The interfaces were characterized by scanning tunneling microscopy (STM), scanning tunneling spectroscopy (STS) and reflectance anisotropy spectroscopy (RAS) in a spectral range between 1.5 and 8 eV. The adsorption of pyrrole on Ga-rich GaAs(001) modifies the RAS spectrum of the clean GaAs surface significantly at the surface transitions at 2.2 and 3.5 eV indicating a chemisorption of the molecules. By the help of transients at these surface transitions during the adsorption process, we were able to prepare different molecular coverages from a sub-monolayer up to a complete molecular layer. The different coverages of pyrrole were imaged by STM and electronically characterized by STS. The measurements reveal that the adsorbed molecules electronically insulate the surface and indicate the formation of new interface states around −3.5 and +4.2 eV. The RAS measurements in the UV region show new anisotropies in the spectral range of the optical transitions of the adsorbed pyrrole molecules. Our measurements demonstrate the potential of optical and electronic spectroscopy methods for the characterization of atomically thin molecular layers on semiconductor surfaces allowing a direct access to the properties of single adsorbed molecules.

  11. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    Energy Technology Data Exchange (ETDEWEB)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.; Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultrahigh Frequency Semiconductor Electronics (Russian Federation); Grekhov, M. M. [National Research Nuclear University “MEPhI” (Russian Federation); Ilyakov, I. E.; Shishkin, B. V.; Akhmedzhanov, R. A. [Russian Academy of Sciences, Institute of Applied Physics (Russian Federation)

    2017-04-15

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  12. Status of fully integrated GaAs particle detectors

    International Nuclear Information System (INIS)

    Braunschweig, W.; Breibach, J.; Kubicki, Th.; Luebelsmeyer, K.; Maesing, Th.; Rente, C.; Roeper, Ch.; Siemes, A.

    1999-01-01

    GaAs strip detectors are of interest because of their radiation hardness at room temperature and the high absorption coefficient of GaAs for x-rays. The detectors currently under development will be used in the VLQ-experiment at the H1 experiment at the HERA collider. This will be the first high energy physics experiment where GaAs detectors will be used. The detectors have a sensitive area of 5 x 4 cm with a pitch of 62 μ m. Due to the high density of channels the biasing resistors and coupling capacitors are integrated. For the resistors a resistive layer made of Cermet is used. The properties of the first fully integrated strip detector are presented

  13. Testing a GaAs cathode in SRF gun

    International Nuclear Information System (INIS)

    Wang, E.; Kewisch, J.; Ben-Zvi, I.; Burrill, A.; Rao, T.; Wu, Q.; Holmes, D.

    2011-01-01

    RF electron guns with a strained superlattice GaAs cathode are expected to generate polarized electron beams of higher brightness and lower emittance than do DC guns, due to their higher field gradient at the cathode's surface and lower cathode temperature. We plan to install a bulk GaAs:Cs in a SRF gun to evaluate the performance of both the gun and the cathode in this environment. The status of this project is: In our 1.3 GHz 1/2 cell SRF gun, the vacuum can be maintained at nearly 10 -12 Torr because of cryo-pumping at 2K. With conventional activation of bulk GaAs, we obtained a QE of 10% at 532 nm, with lifetime of more than 3 days in the preparation chamber and have shown that it can survive in transport from the preparation chamber to the gun. The beam line has been assembled and we are exploring the best conditions for baking the cathode under vacuum. We report here the progress of our test of the GaAs cathode in the SRF gun. Future particle accelerators, such as eRHIC and the ILC require high-brightness, high-current polarized electrons. Strained superlattice GaAs:Cs has been shown to be an efficient cathode for producing polarized electrons. Activation of GaAs with Cs,O(F) lowers the electron affinity and makes it energetically possible for all the electrons, excited into the conduction band that drift or diffuse to the emission surface, to escape into the vacuum. Presently, all operating polarized electron sources, such as the CEBAF, are DC guns. In these devices, the excellent ultra-high vacuum extends the lifetime of the cathode. However, the low field gradient on the photocathode's emission surface of the DC guns limits the beam quality. The higher accelerating gradients, possible in the RF guns, generate a far better beam. Until recently, most RF guns operated at room temperature, limiting the vacuum to ∼10 -9 Torr. This destroys the GaAs's NEA surface. The SRF guns combine the excellent vacuum conditions of DC guns and the high accelerating

  14. Direct investigation of (sub-) surface preparation artifacts in GaAs based materials by FIB sectioning

    Energy Technology Data Exchange (ETDEWEB)

    Belz, Jürgen; Beyer, Andreas; Torunski, Torsten; Stolz, Wolfgang; Volz, Kerstin

    2016-04-15

    The introduction of preparation artifacts is almost inevitable when producing samples for (scanning) transmission electron microscopy ((S)TEM). These artifacts can be divided in extrinsic artifacts like damage processes and intrinsic artifacts caused by the deviations from the volume strain state in thin elastically strained material systems. The reduction and estimation of those effects is of great importance for the quantitative analysis of (S)TEM images. Thus, optimized ion beam preparation conditions are investigated for high quality samples. Therefore, the surface topology is investigated directly with atomic force microscopy (AFM) on the actual TEM samples. Additionally, the sectioning of those samples by a focused ion beam (FIB) is used to investigate the damage depth profile directly in the TEM. The AFM measurements show good quantitative agreement of sample height modulation due to strain relaxation to finite elements simulations. Strong indications of (sub-) surface damage by ion beams are observed. Their influence on high angle annular dark field (HAADF) imaging is estimated with focus on thickness determination by absolute intensity methods. Data consolidation of AFM and TEM measurements reveals a 3.5 nm surface amorphization, negligible surface roughness on the scale of angstroms and a sub-surface damage profile in the range of up to 8.0 nm in crystalline gallium arsenide (GaAs) and GaAs-based ternary alloys. A correction scheme for thickness evaluation of absolute HAADF intensities is proposed and applied for GaAs based materials. - Highlights: • The damage by Ar-ion milling during TEM sample preparation is investigated directly. • After FIB sectioning damage and deep disorder of c-GaAs is seen in cross-section. • The influence of such disorder on conventional ADF measurements is estimated. • A correction for HAADF measurements is proposed with focus on thickness estimations.

  15. Coalescence of GaAs on (001) Si nano-trenches based on three-stage epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    He, Yunrui; Wang, Jun, E-mail: wangjun12@bupt.edu.cn; Hu, Haiyang; Wang, Qi; Huang, Yongqing; Ren, Xiaomin [State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, Beijing 100876 (China)

    2015-05-18

    The coalescence of selective area grown GaAs regions has been performed on patterned 1.8 μm GaAs buffer layer on Si via metal-organic chemical vapor deposition. We propose a promising method of three-stage epitaxial lateral overgrowth (ELO) to achieve uniform coalescence and flat surface. Rough surface caused by the coalescence of different growth fronts is smoothened by this method. Low root-mean-square surface roughness of 6.29 nm has been obtained on a 410-nm-thick coalesced ELO GaAs layer. Cross-sectional transmission electron microscope study shows that the coalescence of different growth fronts will induce some new dislocations. However, the coalescence-induced dislocations tend to mutually annihilate and only a small part of them reach the GaAs surface. High optical quality of the ELO GaAs layer has been confirmed by low temperature (77 K) photoluminescence measurements. This research promises a very large scale integration platform for the monolithic integration of GaAs-based device on Si.

  16. GaMnAs on patterned GaAs(001) substrates: Growth and magnetotransport

    Energy Technology Data Exchange (ETDEWEB)

    Daeubler, Joachim; Glunk, Michael; Hummel, Thomas; Schoch, Wladimir; Limmer, Wolfgang; Sauer, Rolf [Institut fuer Halbleiterphysik, Universitaet Ulm, 89069 Ulm (Germany)

    2007-07-01

    A new type of GaMnAs microstructures with laterally confined electronic and magnetic properties has been realized in a bottom-up procedure by growing GaMnAs films on [1 anti 10]-oriented ridge structures with (113)A sidewalls and (001) top layers prepared on GaAs(001) substrates. Previous studies on planar GaMnAs samples have revealed different incorporation of Mn and excess As in (001) and (113)A layers. Accordingly, temperature- and field-dependent magnetotransport measurements on the overgrown ridge structures clearly demonstrate the coexistence of electronic and magnetic properties specific for (001) and (113)A GaMnAs in one single sample. This introduces an additional degree of freedom in the development of new functional structures.

  17. Microwave GaAs Integrated Circuits On Quartz Substrates

    Science.gov (United States)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  18. Modified energetics and growth kinetics on H-terminated GaAs (110)

    International Nuclear Information System (INIS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Tejedor, P.; Lorbek, S.; Hlawacek, G.; Teichert, C.

    2013-01-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As 4 , has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å 2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As 4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed

  19. Modified energetics and growth kinetics on H-terminated GaAs (110)

    Energy Technology Data Exchange (ETDEWEB)

    Galiana, B. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Departamento de Física, Universidad Carlos III de Madrid, Avenida de la Universidad 30, 28911 Madrid (Spain); Benedicto, M.; Díez-Merino, L.; Tejedor, P. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Lorbek, S.; Hlawacek, G.; Teichert, C. [Institut für Physik, Montanuniversität Leoben, Franz Josef St., 18A-8700 Leoben (Austria)

    2013-10-28

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As{sub 4}, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å{sup 2} measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As{sub 4} molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  20. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  1. On the optimization of asymmetric barrier layers in InAlGaAs/AlGaAs laser heterostructures on GaAs substrates

    International Nuclear Information System (INIS)

    Zhukov, A. E.; Asryan, L. V.; Semenova, E. S.; Zubov, F. I.; Kryzhanovskaya, N. V.; Maximov, M. V.

    2015-01-01

    Band offsets at the heterointerface are calculated for various combinations of InAlGaAs/AlGaAs heteropairs that can be synthesized on GaAs substrates in the layer-by-layer pseudomorphic growth mode. Patterns which make it possible to obtain an asymmetric barrier layer providing the almost obstruction-free transport of holes and the highest possible barrier height for electrons are found. The optimal compositions of both compounds (In 0.232 Al 0.594 Ga 0.174 As/Al 0.355 Ga 0.645 As) at which the flux of electrons across the barrier is at a minimum are determined with consideration for the critical thickness of the indium-containing quaternary solid solution

  2. Structural Evolution During Formation and Filling of Self-patterned Nanoholes on GaAs (100 Surfaces

    Directory of Open Access Journals (Sweden)

    Zhou Lin

    2008-01-01

    Full Text Available Abstract Nanohole formation on an AlAs/GaAs superlattice gives insight to both the “drilling” effect of Ga droplets on AlAs as compared to GaAs and the hole-filling process. The shape and depth of the nanoholes formed on GaAs (100 substrates has been studied by the cross-section transmission electron microscopy. The Ga droplets “drill” through the AlAs layer at a much slower rate than through GaAs due to differences in activation energy. Refill of the nanohole results in elongated GaAs mounds along the [01−1] direction. As a result of capillarity-induced diffusion, GaAs favors growth inside the nanoholes, which provides the possibility to fabricate GaAs and AlAs nanostructures.

  3. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Change of the work function and potential barrier transparency of W(100) and GaAs(110) single crystals during removing the inherent surface oxide layer

    International Nuclear Information System (INIS)

    Asalkhanov, Yu.I.; Saneev, Eh.L.

    2002-01-01

    Changes of current voltage characteristics of slow monoenergetic electron beam through the surfaces of W(100) and GaAs(100) single crystals have been measured in the process of surface oxide layers elimination. It is shown that work function is decreased and transparency coefficient of surface potential barrier is increased under increasing the temperature of vacuum annealing. Peculiarities of surface potential change under oxide layer elimination in metals and semiconductors are discussed [ru

  5. Effects of buffer layer on the structural and electrical properties of InAsSb epilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jayavel, P.; Nakamura, S.; Koyama, T.; Hayakawa, Y.

    2006-01-01

    InAsSb ternary epilayers with arsenic composition of 0.5 have been grown on GaAs(001) substrates. Linear-graded and step-graded InAsSb buffer layers with an InSb layer have been used to relax lattice mismatch between the epilayer and substrate. X-ray diffraction results of the epilayers indicate that an enhancement in the peak intensity of the buffer layer samples is due to improved crystalline quality of the epilayers. We find that the growth technique of the buffer layer strongly influences the surface morphology and roughness of the epilayer. Hall effect measurements of the step-graded buffer layer samples show an order of magnitude higher electron mobility than the direct and linear-graded buffer layer samples. These results demonstrate that high crystalline quality and electron mobility of the InAs 0.5 Sb 0.5 ternary epilayers can be achieved by using the step-graded InAsSb buffer layers. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Adding GaAs Monolayers to InAs Quantum-Dot Lasers on (001) InP

    Science.gov (United States)

    Qiu, Yueming; Chacon, Rebecca; Uhl, David; Yang, Rui

    2005-01-01

    In a modification of the basic configuration of InAs quantum-dot semiconductor lasers on (001)lnP substrate, a thin layer (typically 1 to 2 monolayer thick) of GaAs is incorporated into the active region. This modification enhances laser performance: In particular, whereas it has been necessary to cool the unmodified devices to temperatures of about 80 K in order to obtain lasing at long wavelengths, the modified devices can lase at wavelengths of about 1.7 microns or more near room temperature. InAs quantum dots self-assemble, as a consequence of the lattice mismatch, during epitaxial deposition of InAs on ln0.53Ga0.47As/lnP. In the unmodified devices, the quantum dots as thus formed are typically nonuniform in size. Strainenergy relaxation in very large quantum dots can lead to poor laser performance, especially at wavelengths near 2 microns, for which large quantum dots are needed. In the modified devices, the thin layers of GaAs added to the active regions constitute potential-energy barriers that electrons can only penetrate by quantum tunneling and thus reduce the hot carrier effects. Also, the insertion of thin GaAs layer is shown to reduce the degree of nonuniformity of sizes of the quantum dots. In the fabrication of a batch of modified InAs quantum-dot lasers, the thin additional layer of GaAs is deposited as an interfacial layer in an InGaAs quantum well on (001) InP substrate. The device as described thus far is sandwiched between InGaAsPy waveguide layers, then further sandwiched between InP cladding layers, then further sandwiched between heavily Zn-doped (p-type) InGaAs contact layer.

  7. A new structure for comparing surface passivation materials of GaAs solar cells

    Science.gov (United States)

    Desalvo, Gregory C.; Barnett, Allen M.

    1989-01-01

    The surface recombination velocity (S sub rec) for bare GaAs is typically as high as 10 to the 6th power to 10 to the 7th power cm/sec, which dramatically lowers the efficiency of GaAs solar cells. Early attempts to circumvent this problem by making an ultra thin junction (xj less than .1 micron) proved unsuccessful when compared to lowering S sub rec by surface passivation. Present day GaAs solar cells use an GaAlAs window layer to passivate the top surface. The advantages of GaAlAs in surface passivation are its high bandgap energy and lattice matching to GaAs. Although GaAlAs is successful in reducing the surface recombination velocity, it has other inherent problems of chemical instability (Al readily oxidizes) and ohmic contact formation. The search for new, more stable window layer materials requires a means to compare their surface passivation ability. Therefore, a device structure is needed to easily test the performance of different passivating candidates. Such a test device is described.

  8. Annealing of proton-damaged GaAs and 1/f noise

    NARCIS (Netherlands)

    Chen, X.Y.; Folter, de L.C.

    1997-01-01

    GaAs layers were grown by MBE. The layers were then damaged by 3 MeV proton irradiation and later annealed. We performed Hall effect and low-frequency noise measurements at temperatures between 77 K and 300 K after each step. Several generation - recombination noise components created by proton

  9. Enhanced Efficiency of GaAs Single-Junction Solar Cells with Inverted-Cone-Shaped Nanoholes Fabricated Using Anodic Aluminum Oxide Masks

    Directory of Open Access Journals (Sweden)

    Kangho Kim

    2013-01-01

    Full Text Available The GaAs solar cells are grown by low-pressure metalorganic chemical vapor deposition (LP-MOCVD and fabricated by photolithography, metal evaporation, annealing, and wet chemical etch processes. Anodized aluminum oxide (AAO masks are prepared from an aluminum foil by a two-step anodization method. Inductively coupled plasma dry etching is used to etch and define the nanoarray structures on top of an InGaP window layer of the GaAs solar cells. The inverted-cone-shaped nanoholes with a surface diameter of about 50 nm are formed on the top surface of the solar cells after the AAO mask removal. Photovoltaic and optical characteristics of the GaAs solar cells with and without the nanohole arrays are investigated. The reflectance of the AAO nanopatterned samples is lower than that of the planar GaAs solar cell in the measured range. The short-circuit current density increased up to 11.63% and the conversion efficiency improved from 10.53 to 11.57% under 1-sun AM 1.5 G conditions by using the nanohole arrays. Dependence of the efficiency enhancement on the etching depth of the nanohole arrays is also investigated. These results show that the nanohole arrays fabricated with an AAO technique may be employed to improve the light absorption and, in turn, the conversion efficiency of the GaAs solar cell.

  10. Periodic nanostructures fabricated on GaAs surface by UV pulsed laser interference

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Wei; Huo, Dayun; Guo, Xiaoxiang; Rong, Chen; Shi, Zhenwu, E-mail: zwshi@suda.edu.cn; Peng, Changsi, E-mail: changsipeng@suda.edu.cn

    2016-01-01

    Graphical abstract: - Highlights: • Periodic nanostructures were fabricated on GaAs wafers by four-beam laser interference patterning which have potential applications in many fields. • Significant different results were obtained on epi-ready and homo-epitaxial GaAs substrate surfaces. • Two-pulse patterning was carried out on homo-epitaxial GaAs substrate, a noticeable morphology transformation induced by the second pulse was observed. • Temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations. The calculation agrees well with the experiment results. - Abstract: In this paper, periodic nanostructures were fabricated on GaAs wafers by four-beam UV pulsed laser interference patterning. Significant different results were observed on epi-ready and homo-epitaxial GaAs substrate surfaces, which suggests GaAs oxide layer has an important effect on pulsed laser irradiation process. In the case of two-pulse patterning, a noticeable morphology transformation induced by the second pulse was observed on homo-epitaxial GaAs substrate. Based on photo-thermal mode, temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations.

  11. Effects produced in GaAs by MeV ion bombardment

    International Nuclear Information System (INIS)

    Wie, C.R.

    1985-01-01

    The first part of this thesis presents work performed on the ionizing energy beam induced adhesion enhancement of thin (approx.500 A) Au films on GaAs substrates. The ionizing beam, employed in the present thesis, is the MeV ions (i.e., 16 O, 19 F, and 35 Cl), with energies between 1 and 20 MeV. Using the Scratch test for adhesion measurement, and ESCA for chemical analysis of the film substrate interface, the native oxide layer at the interface is shown to play an important role in the adhesion enhancement by the ionizing radiation. A model is discussed that explains the experimental data on the dependence of adhesion enhancement on the energy which was deposited into electronic processes at the interface. The second part of the thesis presents research results on the radiation damage in GaAs crystals produced by MeV ions. Lattice parameter dilatation in the surface layers of the GaAs crystals becomes saturated after a high dose bombardment at room temperature. The strain produced by nuclear collisions is shown to relax partially due to electronic excitation (with a functional dependence on the nuclear and electronic stopping power of bombarding ions. Data on the GaAs and GaP crystals suggest that low temperature recovery stage defects produce major crystal distortion

  12. Formation of columnar (In,Ga)As quantum dots on GaAs(100)

    International Nuclear Information System (INIS)

    He, J.; Noetzel, R.; Offermans, P.; Koenraad, P.M.; Gong, Q.; Hamhuis, G.J.; Eijkemans, T.J.; Wolter, J.H.

    2004-01-01

    Columnar (In,Ga)As quantum dots (QDs) with homogeneous composition and shape in the growth direction are realized by molecular-beam epitaxy on GaAs(100) substrates. The columnar (In,Ga)As QDs are formed on InAs seed QDs by alternating deposition of thin GaAs intermediate layers and monolayers of InAs with extended growth interruptions after each layer. The height of the columnar (In,Ga)As QDs is controlled by varying the number of stacked GaAs/InAs layers. The structural and optical properties are studied by cross-sectional scanning tunneling microscopy, atomic force microscopy, and photoluminescence spectroscopy. With increase of the aspect ratio of the columnar QDs, the emission wavelength is redshifted and the linewidth is reduced

  13. N/P GaAs concentrator solar cells with an improved grid and bushbar contact design

    International Nuclear Information System (INIS)

    Desalvo, G.C.; Mueller, E.H.; Barnett, A.M.

    1985-01-01

    The major requirements for a solar cell used in space applications are high efficiency at AMO irradiance and resistance to high energy radiation. Gallium arsenide, with a band gap of 1.43 eV, is one of the most efficient sunlight to electricity converters (25%) when the the simple diode model is used to calculate efficiencies at AMO irradiance, GaAs solar cells are more radiation resistant than silicon solar cells and the N/P GaAs device has been reported to be more radiation resistant than similar P/N solar cells. This higher resistance is probably due to the fact that only 37% of the current is generated in the top N layer of the N/P cell compared to 69% in the top layer of a P/N solar cell. This top layer of the cell is most affected by radiation. It has also been theoretically calculated that the optimized N/P device will prove to have a higher efficiency than a similar P/N device. The use of a GaP window layer on a GaAs solar cell will avoid many of the inherent problems normally associated with a GaAlAs window while still proving good passivation of the GaAs surface. An optimized circular grid design for solar cell concentrators has been shown which incorporates a multi-layer metallization scheme. This multi-layer design allows for a greater current carrying capacity for a unit area of shading, which results in a better output efficiency

  14. Comparative research on the transmission-mode GaAs photocathodes of exponential-doping structures

    International Nuclear Information System (INIS)

    Chen Liang; Qian Yun-Sheng; Zhang Yi-Jun; Chang Ben-Kang

    2012-01-01

    Early research has shown that the varied doping structures of the active layer of GaAs photocathodes have been proven to have a higher quantum efficiency than uniform doping structures. On the basis of our early research on the surface photovoltage of GaAs photocathodes, and comparative research before and after activation of reflection-mode GaAs photocathodes, we further the comparative research on transmission-mode GaAs photocathodes. An exponential doping structure is the typical varied doping structure that can form a uniform electric field in the active layer. By solving the one-dimensional diffusion equation for no equilibrium minority carriers of transmission-mode GaAs photocathodes of the exponential doping structure, we can obtain the equations for the surface photovoltage (SPV) curve before activation and the spectral response curve (SRC) after activation. Through experiments and fitting calculations for the designed material, the body-material parameters can be well fitted by the SPV before activation, and proven by the fitting calculation for SRC after activation. Through the comparative research before and after activation, the average surface escape probability (SEP) can also be well fitted. This comparative research method can measure the body parameters and the value of SEP for the transmission-mode GaAs photocathode more exactly than the early method, which only measures the body parameters by SRC after activation. It can also help us to deeply study and exactly measure the parameters of the varied doping structures for transmission-mode GaAs photocathodes, and optimize the Cs-O activation technique in the future. (electromagnetism, optics, acoustics, heat transfer, classical mechanics, and fluid dynamics)

  15. Selenium implantation in epitaxial gallium arsenide layers

    International Nuclear Information System (INIS)

    Inada, T.; Tokunaga, K.; Taka, S.; Yuge, Y.; Kohzu, H.

    1981-01-01

    Selenium implantation at room temperature in S-doped epitaxial GaAs layers as a means of the formation of n + layers has been investigated. Doping profiles for Se-implanted layers have been examined by a C-V technique and/or a differential Hall effect method. It has been shown that n + layers with a maximum carrier concentration of approx. equal to1.5 x 10 18 cm -3 can be formed by implantation followed by a 15 min annealing at 950 0 C. Contact resistance of ohmic electrodes is reduced by use of the Se-implanted n + layers, resulting in the improvement on GaAs FET performance. Measured minimum noise figure of the Se-implanted GaAs FETs is 0.74 dB at 4 GHz. (orig.)

  16. The role of proximity caps during the annealing of UV-ozone oxidized GaAs

    International Nuclear Information System (INIS)

    Ghosh, S. C.; Biesinger, M. C.; LaPierre, R. R.; Kruse, P.

    2007-01-01

    This study provides a deeper insight into the chemistry and physics of the common engineering practice of using a proximity cap, while annealing compound semiconductors such as GaAs. We have studied the cases of a GaAs proximity cap, a Si proximity cap, and no proximity cap. Using x-ray photoelectron spectroscopy, it has been found that annealing increases the gallium to arsenic ratio in the oxide layer in all cases. During the annealing of UV-ozone oxidized GaAs, it has been observed that GaAs proximity caps also serve as a sacrificial layer to accelerate the desorption of oxide species. In all cases surface deterioration due to pit formation has been observed, and the depth of pits is found to depend on the effective role played by the capping material. Energy dispersive x-ray analysis provides additional evidence that pits mainly consist of elemental As and gallium oxide, with most of the elemental As situated at the pit-substrate interface. Deposition of a thin layer of gold and subsequent annealing to 500 deg. C for 300 s under different capping conditions shows the use of a proximate cap to be practically insignificant in annealing Au deposited films

  17. Investigations on liquid phase electroepitaxial growth kinetics of GaAs

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2004-01-01

    This paper presents a model based on solving a two-dimensional diffusion equation incorporating the electromigration effect by numerical simulation method corresponding to liquid phase electroepitaxial (LPEE) growth of GaAs, whose growth is limited by diffusion and electro migration of solute species. Using the numerical simulation method, the concentration profiles of As in Ga rich solution during the electroepitaxial growth of GaAs have been constructed in front of the growing crystal interface. Using the concentration gradient at the interface, the growth rate and thickness of the epitaxial layer of GaAs have been determined for different experimental growth conditions. The proposed model is based on the assumption that there is no convection in the solution. The results are discussed in detail

  18. Photoabsorption modulation in GaAs: Ga1-xInx as strained-layer superlattices

    International Nuclear Information System (INIS)

    Sella, I.; Watkins, D.E.; Laurich, B.K.; Smith, D.L.; Subbanna, S.; Kroemer, H.

    1990-01-01

    Photoabsorption modulation measurements have been made on Ga 1 -x In x As -- GaAs strained-layer superlattices using two approaches: In the first the modulating beam and the test beam have the same wavelength (near the exciton resonance). In the second, the modulation wavelength is much shorter than the test beam wavelength. A dramatic difference is observed in the modulated transmission spectra near the excitonic level for the two modulating wavelengths. The difference in behavior can be explained by screening of the residual surface electric field, which only occurs for the high photon energy modulating beam. This beam excites carriers that are free to drift in the surface field before they are captured in the quantum wells. Carriers excited by the low photon energy modulation beam are created in the wells and can not effectively screen the surface field. We describe a model which explains the nonlinear intensity saturation profile and qualitatively describes the spectral line shape. 4 refs., 4 figs

  19. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    Science.gov (United States)

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  20. Diffusion of Ni, Ga, and As in the surface layer of GaAs and characteristics of the Ni/GaAs contact

    International Nuclear Information System (INIS)

    Uskov, V.A.; Fedotov, A.B.; Erofeeva, E.A.; Rodionov, A.I.; Dzhumakulov, D.T.

    1987-01-01

    The authors investigate the low-temperature codiffusion of Ni, Ga, and As in the surface layer of gallium arsenide and study its effect on the current-voltage characteristics of a Ni/GaAs rectifier contact. The concentration distribution of atoms in the function layer of a Ni-GaAs system was investigated by the methods of layerwise radiometric and neutron-activation analyses. It was found that interdiffusion of components takes place in the Ni-GaAs system in an elastic stress field, generated by the differences in the lattice parameters and thermal-expansion coefficients of Ni, GaAs, and the intermetallic compound which form. The form and parameters of the current-voltage characteristics of a Ni/GaAs contact are determined by the phase composition and the structure of the junction layer

  1. Donor level of interstitial hydrogen in GaAs

    International Nuclear Information System (INIS)

    Dobaczewski, L.; Bonde Nielsen, K.; Nylandsted Larsen, A.; Peaker, A.R.

    2006-01-01

    The first data evidencing the existence of the donor level of the interstitial hydrogen in GaAs are presented. The abundant formation of the (0/+) donor level after in situ low-temperature implantation of hydrogen into the depletion layer of GaAs Schottky diodes has been observed and the activation energy and annealing properties have been determined by Laplace DLTS. The activation energy for electron emission of this donor state is 0.14eV. Above 100K the hydrogen deep donor state is unstable, converting to a more stable form when there are electrons available for the capture process. A slightly perturbed form of the hydrogen donor in its neutral charge state can be recovered by illuminating the sample. This process releases twice as many electrons as the ionisation process of the hydrogen donor state itself. This fact, by analogy with the silicon case, evidences the negative-U behaviour of hydrogen in GaAs

  2. Layer-by-Layer Assembly for Preparation of High-Performance Forward Osmosis Membrane

    Science.gov (United States)

    Yang, Libin; Zhang, Jinglong; Song, Peng; Wang, Zhan

    2018-01-01

    Forward osmosis (FO) membrane with high separation performance is needed to promote its practical applications. Herein, layer-by-layer (LbL) approach was used to prepare a thin and highly cross-linked polyamide layer on a polyacrylonitrile substrate surface to prepare a thin-film composite forward osmosis (TFC-FO) membrane with enhanced FO performance. The effects of monomer concentrations and assembly cycles on the performance of the TFC-FO membranes were systematically investigated. Under the optimal preparation condition, TFC-FO membrane achieved the best performance, exhibiting the water flux of 14.4/6.9 LMH and reverse salt flux of 7.7/3.8 gMH under the pressure retarded osmosis/forward osmosis (PRO/FO) mode using 1M NaCl as the draw against a DI-water feed, and a rejection of 96.1% for 2000 mg/L NaCl aqueous solution. The result indicated that layer-by-layer method was a potential method to regulate the structure and performance of the TFC-FO membrane.

  3. Alloy formation during InAs nanowire growth on GaAs(111)

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Saqib, Muhammad; Biermanns, Andreas; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. A possible way to obtain nanowires is the growth in molecular beam epitaxy on the (111)B oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of InAs nanowires grown on GaAs substrates covered by different oxide-layers using X-ray diffraction. In this contribution, we report on the structural investigation of InAs nanowires grown via an In droplet on GaAs substrates covered by different oxide layers using X-ray diffraction. Using a combination of symmetric and asymmetric X-ray diffraction, we observe that for growth on a defective oxide layer, alloy formation takes place and a large amount of InGaAs is formed, whereas for growth on an initially smooth oxide layer, only pure InAs is formed.

  4. Growth Interruption Effect on the Fabrication of GaAs Concentric Multiple Rings by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Fedorov A

    2010-01-01

    Full Text Available Abstract We present the molecular beam epitaxy fabrication and optical properties of complex GaAs nanostructures by droplet epitaxy: concentric triple quantum rings. A significant difference was found between the volumes of the original droplets and the final GaAs structures. By means of atomic force microscopy and photoluminescence spectroscopy, we found that a thin GaAs quantum well-like layer is developed all over the substrate during the growth interruption times, caused by the migration of Ga in a low As background.

  5. Heterojunction Diodes and Solar Cells Fabricated by Sputtering of GaAs on Single Crystalline Si

    Directory of Open Access Journals (Sweden)

    Santiago Silvestre

    2015-04-01

    Full Text Available This work reports fabrication details of heterojunction diodes and solar cells obtained by sputter deposition of amorphous GaAs on p-doped single crystalline Si. The effects of two additional process steps were investigated: A hydrofluoric acid (HF etching treatment of the Si substrate prior to the GaAs sputter deposition and a subsequent annealing treatment of the complete layered system. A transmission electron microscopy (TEM exploration of the interface reveals the formation of a few nanometer thick SiO2 interface layer and some crystallinity degree of the GaAs layer close to the interface. It was shown that an additional HF etching treatment of the Si substrate improves the short circuit current and degrades the open circuit voltage of the solar cells. Furthermore, an additional thermal annealing step was performed on some selected samples before and after the deposition of an indium tin oxide (ITO film on top of the a-GaAs layer. It was found that the occurrence of surface related defects is reduced in case of a heat treatment performed after the deposition of the ITO layer, which also results in a reduction of the dark saturation current density and resistive losses.

  6. Diffraction anomalous fine-structure study of strained Ga1-xInxAs on GaAs(001)

    International Nuclear Information System (INIS)

    Woicik, J.C.; Cross, J.O.; Bouldin, C.E.; Ravel, B.; Pellegrino, J.G.; Steiner, B.; Bompadre, S.G.; Sorensen, L.B.; Miyano, K.E.; Kirkland, J.P.

    1998-01-01

    Diffraction anomalous fine-structure measurements performed at both the Ga and As K edges have determined the Ga-As bond length to be 2.442±0.005thinsp Angstrom in a buried, 213-Angstrom-thick Ga 0.785 In 0.215 As layer grown coherently on GaAs(001). This bond length corresponds to a strain-induced contraction of 0.013±0.005thinsp Angstrom relative to the Ga-As bond length in bulk Ga 1-x In x As of the same composition. Together with recent extended x-ray-absorption fine-structure measurements performed at the In K edge [Woicik et al., Phys. Rev. Lett. 79, 5026 (1997)], excellent agreement is found with the uniform bond-length distortion model for strained-layer semiconductors on (001) substrates. copyright 1998 The American Physical Society

  7. Scanning microwave microscopy applied to semiconducting GaAs structures

    Science.gov (United States)

    Buchter, Arne; Hoffmann, Johannes; Delvallée, Alexandra; Brinciotti, Enrico; Hapiuk, Dimitri; Licitra, Christophe; Louarn, Kevin; Arnoult, Alexandre; Almuneau, Guilhem; Piquemal, François; Zeier, Markus; Kienberger, Ferry

    2018-02-01

    A calibration algorithm based on one-port vector network analyzer (VNA) calibration for scanning microwave microscopes (SMMs) is presented and used to extract quantitative carrier densities from a semiconducting n-doped GaAs multilayer sample. This robust and versatile algorithm is instrument and frequency independent, as we demonstrate by analyzing experimental data from two different, cantilever- and tuning fork-based, microscope setups operating in a wide frequency range up to 27.5 GHz. To benchmark the SMM results, comparison with secondary ion mass spectrometry is undertaken. Furthermore, we show SMM data on a GaAs p-n junction distinguishing p- and n-doped layers.

  8. Electrochemical formation of GaAs honeycomb structure using a fluoride-containing (NH{sub 4}){sub 2}SO{sub 4} solution

    Energy Technology Data Exchange (ETDEWEB)

    Morishita, Yoshitaka, E-mail: morisita@cc.tuat.ac.jp; Yamamoto, Hitoshi; Yokobori, Kuniyuki

    2014-04-01

    GaAs substrates were anodized in the (NH{sub 4}){sub 2}SO{sub 4} electrolyte with various fluoride concentrations. Scanning electron microscope (SEM) observation showed that highly regular honeycomb hollows were formed on the substrates anodized in the (NH{sub 4}){sub 2}SO{sub 4} electrolyte with a small amount of HF concentration. The regularity of hollows decreased with the increase of HF concentration. The average diameter of hollows increased with increasing anodizing voltage. The regularity of hollow diameters increased with the increase of anodizing time, irrespective of the anodizing voltage. Cross-sectional SEM image showed that the average depth of regular hollows was about 5 nm. In addition to the peak in the region of fundamental adsorption of GaAs with the peak wavelength at about 870 nm, photoluminescence spectra of samples anodized in the (NH{sub 4}){sub 2}SO{sub 4} electrolyte with HF concentration of 0.5 ml showed several peaks at about 610, 635, 670 and 720 nm. - Highlights: • We report on the electrochemical formation of GaAs honeycomb structure. • High regular hollows were formed by anodization in HF-containing (NH{sub 4}){sub 2}SO{sub 4} solution. • A thin porous layer was formed by anodization in HF-containing (NH{sub 4}){sub 2}SO{sub 4} solution. • This process is useful for preparing patterned substrate with a thin porous layer.

  9. Visible-light electroluminescence in Mn-doped GaAs light-emitting diodes

    International Nuclear Information System (INIS)

    Nam Hai, Pham; Maruo, Daiki; Tanaka, Masaaki

    2014-01-01

    We observed visible-light electroluminescence (EL) due to d-d transitions in light-emitting diodes with Mn-doped GaAs layers (here, referred to as GaAs:Mn). Besides the band-gap emission of GaAs, the EL spectra show two peaks at 1.89 eV and 2.16 eV, which are exactly the same as 4 A 2 ( 4 F) → 4 T 1 ( 4 G) and 4 T 1 ( 4 G) → 6 A 1 ( 6 S) transitions of Mn atoms doped in ZnS. The temperature dependence and the current-density dependence are consistent with the characteristics of d-d transitions. We explain the observed EL spectra by the p-d hybridized orbitals of the Mn d electrons in GaAs

  10. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  11. Strong coupling between bi-dimensional electron gas and nitrogen localized states in heavily doped GaAs1-xN x structures

    International Nuclear Information System (INIS)

    Hamdouni, A.; Bousbih, F.; Ben Bouzid, S.; Oueslati, M.; Chtourou, R.; Harmand, J.C.

    2005-01-01

    We report a low-temperature photoluminescence spectra (LTPL) of GaAs 1-x N x layers and two-dimension electron gas (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure grown on GaAs substrates by molecular beam epitaxy (MBE) with low nitrogen content [N] = 2 x 10 18 cm -3 . At low temperature, PL spectra of GaAs 1-x N x layers are governed by several features associate to the excitons bound to nitrogen complexes, these features disappear in (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure and the PL peak energy decrease with the laser power excitation. This effect is explained by the strongly coupling of the (2DEG) fundamental state with the nitrogen localized states. An activated energy of about 55 meV is deduced by photoluminescence measurements in the 10-300 K range for a laser power excitation P = 6 W/cm 2

  12. New process for high optical quality InAs quantum dots grown on patterned GaAs(001) substrates

    International Nuclear Information System (INIS)

    Alonso-Gonzalez, Pablo; Gonzalez, Luisa; Gonzalez, Yolanda; Fuster, David; Fernandez-Martinez, Ivan; Martin-Sanchez, Javier; Abelmann, Leon

    2007-01-01

    This work presents a selective ultraviolet (UV)-ozone oxidation-chemical etching process that has been used, in combination with laser interference lithography (LIL), for the preparation of GaAs patterned substrates. Further molecular beam epitaxy (MBE) growth of InAs results in ordered InAs/GaAs quantum dot (QD) arrays with high optical quality from the first layer of QDs formed on the patterned substrate. The main result is the development of a patterning technology that allows the engineering of customized geometrical displays of QDs with the same optical quality as those formed spontaneously on flat non-patterned substrates

  13. Effects of surface passivation on twin-free GaAs nanosheets.

    Science.gov (United States)

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  14. Magnetic anisotropy and anisotropic magnetoresistance of (Ga,Mn)As Layers on (113)A GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Donhauser, Daniela; Dreher, Lukas; Daeubler, Joachim; Glunk, Michael; Rapp, Christoph; Schoch, Wladimir; Sauer, Rolf; Limmer, Wolfgang [Institut fuer Halbleiterphysik, Universitaet Ulm (Germany)

    2009-07-01

    We study the magnetic anisotropy and the anisotropic magnetoresistance of compressively strained (Ga,Mn)As films with various Mn concentrations, grown on (113)A-oriented GaAs substrates. High-resolution x-ray diffraction (HRXRD) studies reveal a monoclinic symmetry of the distorted (113)A layers in agreement with an explicit calculation of the strain tensor. Based on this result, general expressions for the resistivity tensor and the free energy of single-crystalline ferromagnets are derived from a series expansion with respect to the magnetization orientation, including terms up to the fourth order. With these expressions we are able to model the measured angular dependences of our magnetotransport data with the assumption of a single ferromagnetic domain model. In order to quantitatively derive the resistivity and anisotropy parameters the longitudinal and transverse resistivities are experimentally studied for magnetic fields rotated within the (113), (33 anti 2), and (anti 110) plane at various field strengths. It turned out that some of the resistivity parameters significantly depend on the strength of the external magnetic field. Furthermore we found that the layers exhibit a uniaxial anisotropy along the [001] crystallographic axis, which can be theoretically explained based on the explicit form of the strain tensor.

  15. Andreev reflections at interfaces between delta-doped GaAs and superconducting Al films

    DEFF Research Database (Denmark)

    Taboryski, Rafael Jozef; Clausen, Thomas; Hansen, Jørn Bindslev

    1996-01-01

    By placing several Si delta-doped layers close to the surface of a GaAs molecular beam epitaxy-grown crystal, we achieve a compensation of the Schottky barrier and obtain a good Ohmic contact between an in situ deposited (without breaking the vacuum) Al metallization layer and a highly modulation...

  16. Homogeneous ZnO nanostructure arrays on GaAs substrates by two-step chemical bath synthesis

    International Nuclear Information System (INIS)

    Huang, Chun-Yuan; Wu, Tzung-Han; Cheng, Chiao-Yang; Su, Yan-Kuin

    2012-01-01

    ZnO nanostructures, including nanowires, nanorods, and nanoneedles, have been deposited on GaAs substrates by the two-step chemical bath synthesis. It was demonstrated that the O 2 -plasma treatment of GaAs substrates prior to the sol–gel deposition of seed layers was essential to conformally grow the nanostructures instead of 2D ZnO bunches and grains on the seed layers. Via adjusting the growth time and concentration of precursors, nanostructures with different average diameter (26–225 nm), length (0.98–2.29 μm), and density (1.9–15.3 × 10 9 cm −2 ) can be obtained. To the best of our knowledge, this is the first demonstration of ZnO nanostructure arrays grown on GaAs substrates by the two-step chemical bath synthesis. As an anti-reflection layer on GaAs-based solar cells, the array of ZnO nanoneedles with an average diameter of 125 nm, a moderate length of 2.29 μm, and the distribution density of 9.8 × 10 9 cm −2 has increased the power conversion efficiency from 7.3 to 12.2 %, corresponding to a 67 % improvement.

  17. Spectroscopic ellipsometry analysis of GaAs1-xNx layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ben Sedrine, N.; Rihani, J.; Stehle, J.L.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    In this work, we present the effect of nitrogen incorporation on the dielectric function of GaAsN samples, grown by molecular beam epitaxy (MBE) followed by a rapid thermal annealing (for 90 s at 680 deg. C). The GaAs 1-x N x samples with N content up to 1.5% (x = 0.0%, 0.1%, 0.5%, 1.5%), are investigated using room temperature spectroscopic ellipsometry (SE). The optical transitions in the spectral region around 3 eV are analyzed by fitting analytical critical point line shapes to the second derivative of the dielectric function. It was found that the features associated with E 1 and E 1 + Δ 1 transitions are blue-shifted and become less sharp with increasing nitrogen incorporation, in contrast to the case of E 0 transition energy in GaAs 1-x N x . An increase of the split-off Δ 1 energy with nitrogen content was also obtained, in agreement to results found with MOVPE GaAs 1-x N x grown samples

  18. Enhancement of conductance of GaAs sub-microwires under external stimuli

    Science.gov (United States)

    Qu, Xianlin; Deng, Qingsong; Zheng, Kun

    2018-03-01

    Semiconductors with one dimension on the micro-nanometer scale have many unique physical properties that are remarkably different from those of their bulk counterparts. Moreover, changes in the external field will further modulate the properties of the semiconductor micro-nanomaterials. In this study, we used focused ion beam technology to prepare freestanding ⟨111⟩-oriented GaAs sub-microwires from a GaAs substrate. The effects of laser irradiation and bending or buckling deformation induced by compression on the electrical transport properties of an individual GaAs sub-microwire were studied. The experimental results indicate that both laser irradiation and bending deformation can enhance their electrical transport properties, the laser irradiation resulted in a conductance enhancement of ˜30% compared to the result with no irradiation, and in addition, bending deformation changed the conductance by as much as ˜180% when the average strain was approximately 1%. The corresponding mechanisms are also discussed. This study provides beneficial insight into the fabrication of electronic and optoelectronic devices based on GaAs micro/nano-wires.

  19. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Englhard, M.; Klemp, C.; Behringer, M.; Rudolph, A. [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Skibitzki, O.; Zaumseil, P. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Institute of Physics and Chemistry, BTU Cottbus-Senftenberg, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2016-07-28

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-ray diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.

  20. GaAs thin film solar cells. Final report; Duennschicht-Solarzellen aus Galliumarsenid; Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Bett, A.; Bronner, W.; Cardona, S.; Ehrhardt, A.; Habermann, G.; Habich, A.; Lanyi, P.; Lutz, F.; Nguyen, T.; Schetter, C.; Sulima, O.; Welter, H.; Yavas, O.

    1992-11-01

    This R and D project focused on the development of materials and technologies for the production of GaAs solar cells on GaAs and other substrates. Three subjects were gone into on particular: Material preparation (epitaxy), solar cell technology, characterisation of materials and processes. (orig.) [Deutsch] Das vorliegende Forschungsvorhaben hatte die Material- und Technologieentwickung fuer die Herstellung von GaAs-Solarzellen auf Eigen- und Fremdsubstrat zum Gegenstand. Drei Hauptaufgabenbereiche waren: Materialpraeparation (Epitaxie), Solarzellentechnologie, sowie Material- und Prozesscharakterisierung. (orig.)

  1. Hydrogenation of GaAs covered by GaAlAs and subgrain boundary passivation

    Science.gov (United States)

    Djemel, A.; Castaing, J.; Chevallier, J.; Henoc, P.

    1992-12-01

    Cathodoluminescence (CL) has been performed to study the influence of hydrogen on electronic properties of GaAs with and without a GaAlAs layer. Recombination at sub-boundaries has been examined. These extended defects have been introduced by high temperature plastic deformation. The results show that they are passivated by hydrogen. The penetration of hydrogen is slowed down by the GaAlAs layer. La cathodoluminescence (CL) a été utilisée pour étudier l'influence de l'hydrogène sur les propriétés électroniques de GaAs nu et recouvert d'une couche de GaAlAs. Le caractère recombinant des sous-joints de grains a été examiné. Ces défauts étendus ont été introduits par déformation plastique à chaud. Les résultats montrent que l'hydrogène passive ces défauts. La pénétration de l'hydrogène à l'intérieur de GaAs est retardée par la présence de la couche de GaAlAs.

  2. Semi-insulating GaAs detectors of fast neutrons

    International Nuclear Information System (INIS)

    Sagatova, A.; Sedlackova, K.; Necas, V.; Zatko, B.; Dubecky, F.; Bohacek, P.

    2012-01-01

    The present work deals with the technology of HDPE neutron conversion layer application on the surface of semi-insulating (SI) GaAs detectors via developed polypropylene (PP) based glue. The influence of glue deposition on the electric properties of the detectors was studied as well as the ability of the detectors to register the fast neutrons from "2"3"9Pu-Be neutron source. (authors)

  3. Some Aspects of the RHEED Behavior of Low-Temperature GaAs Growth

    International Nuclear Information System (INIS)

    Nemcsics, A.

    2005-01-01

    The reflection high-energy electron diffraction (RHEED) behavior manifested during MBE growth on a GaAs(001) surface under low-temperature (LT) growth conditions is examined in this study. RHEED and its intensity oscillations during LT GaAs growth exhibit some particular behavior. The intensity, phase, and decay of the oscillations depend on the beam equivalent pressure (BEP) ratio and substrate temperature, etc. Here, the intensity dependence of RHEED behavior on the BEP ratio, substrate temperature, and excess of As content in the layer are examined. The change in the decay constant of the RHEED oscillations is also discussed

  4. Nano-scale patterning on sulfur terminated GaAs (0 0 1) surface by scanning tunneling microscope

    International Nuclear Information System (INIS)

    Yagishita, Yuki; Toda, Yusuke; Hirai, Masakazu; Fujishiro, Hiroki Inomata

    2004-01-01

    We perform nano-scale patterning on a sulfur (S) terminated GaAs (0 0 1) surface by a scanning tunneling microscope (STM) in ultra-high vacuum (UHV). A multi-layer of S deposited by using (NH 4 ) 2 S x solution is changed to a mono-layer after annealing at 560 deg. C for 15 h, which terminates the GaAs (0 0 1) surface. Groove structures with about 0.23 nm in depth and about 5 nm in width are patterned successfully on the S-terminated surface. We investigate dependences of both depth and width of the patterned groove on the tunneling current and the scanning speed of tip. It is observed that topmost S atoms are extracted together with first-layer Ga atoms, because of the larger binding energy of S-Ga bond

  5. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kuznetsov, P. I.; Nikolaev, S. N.; Onistchenko, E. E.; Pruchkina, A. A.; Temiryazev, A. G. [Russian Academy of Sciences, Kotel’nikov Institute of Radio-Engineering and Electronics (Russian Federation)

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing does not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.

  6. Surface study of organopalladium molecules on S-terminated GaAs

    International Nuclear Information System (INIS)

    Konishi, Tomoya; Toujyou, Takashi; Ishikawa, Takuma; Teraoka, Teruki; Ueta, Yukiko; Kihara, Yoshifumi; Moritoki, Hideji; Tono, Tatsuo; Musashi, Mio; Tada, Takashi; Tsukamoto, Shiro; Nishiwaki, Nagatoshi; Fujikawa, Seiji; Takahasi, Masamitu; Bell, Gavin; Shimoda, Masahiko

    2011-01-01

    Organopalladium species ({Pd}) immobilized on an S-terminated GaAs substrate (S/GaAs) effectively catalyzes C-C bond formation in the Mizoroki-Heck reaction with cycle durability. However, the immobilizing mechanism of {Pd} is unknown. In this study, we deposited Pd(OCOCH 3 ) 2 on S/GaAs in two different methods, namely dry-physical vapor-deposition and wetchemical deposition, and compared the catalytic activities in the Mizoroki-Heck reaction. Also, S-termination and {Pd}-immobilization on GaAs grains were performed by the wet-chemical method to monitor the change in the surface chemical structure during the preparation process with diffuse reflectance Fourier transform infrared spectroscopy (FT-IR). FT-IR measurements implied that the immobilization of catalytic active {Pd} was related to the OH groups on the S-terminated surface. {Pd}-S/GaAs prepared dryphysically showed poor catalytic activity, because {Pd} was not immobilized under absence of OH groups. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Epitaxial growth of matched metallic ErP0.6As0.4 layers on GaAs

    International Nuclear Information System (INIS)

    Guivarc'h, A.; Le Corre, A.; Gaulet, J.; Guenais, B.; Minier, M.; Ropars, G.; Badoz, P.A.; Duboz, J.Y.

    1990-01-01

    Successful growth of (001)ErP 0.6 As 0.4 single crystal film on (001) GaAs has been demonstrated. The epitaxial metallic layers reproducibly showed lattice mismatch below 5 10 -4 . This is, to the authors' knowledge, the first report of a stable, epitaxial and lattice-matched metal/compound semiconductor heterostructure. The ErP 0.6 As 0.4 /n-GaAs diodes yielded excellent I-V characteristics with an ideality factor of 1.1 and barrier height of 0.88 eV. For a 240 Angstrom- thick film, metallic behavior was observed with resistivities of 25 and 86 μΩcm at 1.5 K and room temperature, respectively. As the other Er compounds ErP, ErAs, ErSb and ErSi 2 , ErP 0.6 As 0.4 presents an abrupt drop in resistivity in the vicinity of the liquid helium temperature, due to a paramagnetic to antiferromagnetic phase transition

  8. Freestanding films of crosslinked gold nanoparticles prepared via layer-by-layer spin-coating

    Science.gov (United States)

    Schlicke, Hendrik; Schröder, Jan H.; Trebbin, Martin; Petrov, Alexey; Ijeh, Michael; Weller, Horst; Vossmeyer, Tobias

    2011-07-01

    A new, extremely efficient method for the fabrication of films comprised of gold nanoparticles (GNPs) crosslinked by organic dithiols is presented in this paper. The method is based on layer-by-layer spin-coating of both components, GNPs and crosslinker, and enables the deposition of films several tens of nanometers in thickness within a few minutes. X-ray diffraction and conductance measurements reveal the proper adjustment concentration of the crosslinker solution of the critical is in order to prevent the destabilization and coalescence of particles. UV/vis spectroscopy, atomic force microscopy, and conductivity measurements indicate that films prepared via layer-by-layer spin-coating are of comparable quality to coatings prepared via laborious layer-by-layer self-assembly using immersion baths. Because spin-coated films are not bound chemically to the substrate, they can be lifted-off by alkaline underetching and transferred onto 3d-electrodes to produce electrically addressable, freely suspended films. Comparative measurements of the sheet resistances indicate that the transfer process does not compromise the film quality.

  9. Freestanding films of crosslinked gold nanoparticles prepared via layer-by-layer spin-coating

    International Nuclear Information System (INIS)

    Schlicke, Hendrik; Schroeder, Jan H; Trebbin, Martin; Petrov, Alexey; Ijeh, Michael; Weller, Horst; Vossmeyer, Tobias

    2011-01-01

    A new, extremely efficient method for the fabrication of films comprised of gold nanoparticles (GNPs) crosslinked by organic dithiols is presented in this paper. The method is based on layer-by-layer spin-coating of both components, GNPs and crosslinker, and enables the deposition of films several tens of nanometers in thickness within a few minutes. X-ray diffraction and conductance measurements reveal the proper adjustment concentration of the crosslinker solution of the critical is in order to prevent the destabilization and coalescence of particles. UV/vis spectroscopy, atomic force microscopy, and conductivity measurements indicate that films prepared via layer-by-layer spin-coating are of comparable quality to coatings prepared via laborious layer-by-layer self-assembly using immersion baths. Because spin-coated films are not bound chemically to the substrate, they can be lifted-off by alkaline underetching and transferred onto 3d-electrodes to produce electrically addressable, freely suspended films. Comparative measurements of the sheet resistances indicate that the transfer process does not compromise the film quality.

  10. Effect of thermal annealing on optical properties of implanted GaAs

    NARCIS (Netherlands)

    Kulik, M; Komarov, FF; Maczka, D

    GaAs samples doped with indium atoms by ion implantation and thermal annealed were studied using a channelling method, Rutherford backscattering, and an ellipsometry. From these measurements it was observed that the layer implanted with 3 x 10(16) cm(-2) indium dose was totally damaged and its

  11. The effect of the In concentration on the surface morphology of InGaAs-GaAs heterostructures grown by MBE on GaAs substrate

    International Nuclear Information System (INIS)

    Gómez-Barojas, E; Serrano-Rojas, R M; Rodríguez-Moreno, M A; Santamaría-Juárez, G; Silva-González, R; a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" data-affiliation=" (Instituto de Investigación en Comunicación Óptica. Universidad Autónoma de San Luis Potosí, Av. Karakorum 1470, Col. Lomas Altas 4a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" >Vidal-Borbolla, M A

    2014-01-01

    A set of 3 heterostructures were formed by 10 periods of InGaAs-GaAs epitaxially grown on GaAs substrate by means of a molecular beam epitaxial system. Scanning electron microscopy (SEM) cross section images at high magnification show that the heterostructures present good periodicity. SEM micrographs of the surface morphology chemically etched show the coalescence effect of In due to an unequal etching rate of In and GaAs. Auger electron spectroscopy (AES) depth profiles show that the first GaAs layers in the 3 samples are off-stoichiometric and that the alloy layers present In square and triangular depth profiles

  12. Inter-Layer Energy Transfer through Wetting-Layer States in Bi-layer InGaAs/GaAs Quantum-Dot Structures with Thick Barriers

    DEFF Research Database (Denmark)

    Xu, Zhang-Cheng; Zhang, Ya-Ting; Hvam, Jørn Märcher

    2009-01-01

    The inter-layer energy transfer in a bi-layer InGaAs/GaAs quantum dot structure with a thick GaAs barrier is studied using temperature-dependent photoluminescence. The abnormal enhancement of the photoluminescence of the QDs in the layer with a larger amount of coverage at 110K is observed, which...

  13. Preparation and immobilization of noble metal nanoparticles for plasmonic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Ruoli; Pitzer, Martin; Hu, DongZhi; Schaadt, Daniel M. [Institut fuer Angewandte Physik, Karlsruher Institut fuer Technologie (KIT), Karlsruhe (Germany); DFG Centrum fuer Funktionelle Nanostrukturen (CFN), KIT (Germany); Fruk, Ljiljana [DFG Centrum fuer Funktionelle Nanostrukturen (CFN), KIT (Germany)

    2011-07-01

    Thin-film solar cells are of high interest due to good electrical properties and low material consumption. Traditional thin-film cells, however, have considerable transmission losses because of the reduced absorption volume. A promising way to enhance absorption in the active layer is the light-trapping by plasmonic nanostructures. Metallic nanoparticles have in particular shown large enhancement of the photocurrent in thin-film devices. In this poster, we present preparation of Au,Ag and Pt nanoparticles by polyol method and seed mediated methods for use in plasmonic solar cells. Polyol method typically uses ethylene glycol as the solvent and reducing agent,and in seed-mediated synthesis small nanoparticle seeds are first prepared and then used to promote the growth of different shapes of nanoparticles. We particularly focus on the use of nanocubes and nanospheres for solar cell design. Following the nanoparticle preparation, a new method to immobilize particles on GaAs surfaces via covalent chemical bonds has been developed which prevents agglomerations and allows control of the surface density. Photocurrent spectra of GaAs pin solar cells with and without particles have been recorded. These measurements show the dependence of the photocurrent enhancement on particle material, shape and density.

  14. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    International Nuclear Information System (INIS)

    Auden, E.C.; Vizkelethy, G.; Serkland, D.K.; Bossert, D.J.; Doyle, B.L.

    2017-01-01

    The Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al_0_._3Ga_0_._7As/GaAs/Al_0_._2_5Ga_0_._7_5As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation as photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.

  15. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    Energy Technology Data Exchange (ETDEWEB)

    Auden, E.C., E-mail: eauden@sandia.gov; Vizkelethy, G.; Serkland, D.K.; Bossert, D.J.; Doyle, B.L.

    2017-05-15

    The Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al{sub 0.3}Ga{sub 0.7}As/GaAs/Al{sub 0.25}Ga{sub 0.75}As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation as photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.

  16. Biexciton emission from single isoelectronic traps formed by nitrogen-nitrogen pairs in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Takamiya, Kengo; Fukushima, Toshiyuki; Yagi, Shuhei; Hijikata, Yasuto; Yaguchi, Hiroyuki [Graduate School of Science and Engineering, Saitama University, 255 Shimo-Okubo, Sakura-ku , Saitama 338-8570 (Japan); Mochizuki, Toshimitsu; Yoshita, Masahiro; Akiyama, Hidefumi [Institute for Solid State Physics, The University of Tokyo, 5-1-5 Kashiwanoha, Kashiwa, Chiba 277-8581 (Japan); Kuboya, Shigeyuki; Onabe, Kentaro [Department of Advanced Materials Science, The University of Tokyo, 5-1-5 Kashiwanoha, Kashiwa, Chiba 277-8581 (Japan); Katayama, Ryuji [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan)

    2013-12-04

    We have studied photoluminescence (PL) from individual isoelectronic traps formed by nitrogen-nitrogen (NN) pairs in GaAs. Sharp emission lines due to exciton and biexciton were observed from individual isoelectronic traps in nitrogen atomic-layer doped (ALD) GaAs. The binding energy of biexciton bound to individual isoelectronic traps was approximately 8 meV. Both the exciton and biexciton luminescence lines show completely random polarization and no fine-structure splitting. These results are desirable to the application to the quantum cryptography used in the field of quantum information technology.

  17. Ion induced charge collection in GaAs MESFETs

    International Nuclear Information System (INIS)

    Campbell, A.; Knudson, A.; McMorrow, D.; Anderson, W.; Roussos, J.; Espy, S.; Buchner, S.; Kang, K.; Kerns, D.; Kerns, S.

    1989-01-01

    Charge collection measurements on GaAs MESFET test structures demonstrate that more charge can be collected at the gate than is deposited in the active layer and more charge can be collected at the drain than the total amount of charge produced by the ion. Enhanced charge collection at the gate edge is also observed. The current transients produced by the energetic ions have been measured directly with about 20 picosecond resolution

  18. Structural and electronic properties of isovalent boron atoms in GaAs

    Science.gov (United States)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  19. Preparation of metastable bcc permalloy epitaxial thin films on GaAs(011)B3 single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Higuchi, Jumpei; Yabuhara, Osamu; Kirino, Fumiyoshi; Futamoto, Masaaki

    2011-01-01

    Permalloy (Py) single-crystal films with bcc structure were obtained on GaAs(011) B3 single-crystal substrates by ultra high vacuum rf magnetron sputtering. The film growth and the detailed film structures were investigated by refection high energy electron diffraction and pole figure X-ray diffraction. bcc-Py films epitaxially grow on the substrates in the orientation relationship of Py(011)[011-bar] bcc || GaAs(011)[011-bar] B3 . The lattice constant of bcc-Py film is determined to be a = 0.291 nm. With increasing the film thickness, parts of the bcc crystal transform into more stable fcc structure by atomic displacement parallel to the bcc{011} close-packed planes. The resulting film thus consists of a mixture of bcc and fcc crystals. The phase transformation mechanism is discussed based on the experimental results. The in-plane magnetization properties reflecting the magnetocrystalline anisotropy of bcc-Py crystal are observed for the Py films grown on GaAs(011) B3 substrates.

  20. Lithium compensation of GaAs

    International Nuclear Information System (INIS)

    Alexiev, D.; Tavendale, A.J.

    1988-08-01

    Defects generated following Li diffusion into GaAs were studied by optical deep level transient spectroscopy (ODLTS) and deep level transient spectroscopy (DLTS). In an exploratory series of experiments, the effect of Li diffusion on existing trap spectra, defect generation and as a means for the compensation of GaAs was studied. The variables included diffusion temperature, initial trap spectra of GaAs and annealing periods. Detailed measurements of trap energies were made

  1. GaAs circuit restructuring by multi-level laser-direct-written tungsten process

    International Nuclear Information System (INIS)

    Black, J.G.; Doran, S.P.; Rothschild, M.; Sedlacek, J.H.C.; Ehrlich, D.J.

    1987-01-01

    Laser-direct-writing processes are employed to fabricate a GaAs digital integrated circuit. The lithography-free techniques deposit and etch conductors and resistors, and remove insulating layers, thus enabling multilevel interconnections. These combined direct-write processes provide the flexibility of clip-lead prototyping on a micrometer scale

  2. Optimization of the GaAs et GaAs/Si annealing using halogen lamp flashes

    International Nuclear Information System (INIS)

    Blanck, H.

    1989-01-01

    The aim of the work is to check whether the flash annealing of GaAs and GaAs/Si, using halogen lamps, allows an improvement in the results obtained by usual methods. The electrical activation, defects behavior and results uniformity are studied. The results on the activation and diffusion of implanted impurities are shown to be equivalent to those obtained with classical annealing methods. However, residual impurities (or defects) diffusion phenomena are restrained by the flash annealing technique. The Hall effect cartographic measurements showed an improvement of the uniformity of the implanted coating surface resistance. Flash annealing is a suitable method for the Si activation in GaAs. It allows an improvement of the GaAs results obtained with standard techniques, as well as the formation, by means of ion implantation, of active zones in the GaAs/Si layers [fr

  3. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2010-01-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. (author)

  4. Sulfur passivation and contact methods for GaAs nanowire solar cells

    International Nuclear Information System (INIS)

    Tajik, N; Peng, Z; Kuyanov, P; LaPierre, R R

    2011-01-01

    The effect of sulfur passivation on core-shell p-n junction GaAs nanowire (NW) solar cells has been investigated. Devices of two types were investigated, consisting of indium tin oxide contact dots or opaque Au finger electrodes. Lateral carrier transport from the NWs to the contact fingers was achieved via a p-doped GaAs surface conduction layer. NWs between the opaque contact fingers had sidewall surfaces exposed for passivation by sulfur. The relative cell efficiency increased by 19% upon passivation. The contribution of the thin film grown between the NWs to the total cell efficiency was estimated by removing the NWs using a sonication procedure. Mechanisms of carrier transport and photovoltaic effects are discussed on the basis of spatially resolved laser scanning measurements.

  5. Structural characterization of zincblende Ga1-xMnxN epilayers grown by molecular beam epitaxy on (001) GaAs substrates

    International Nuclear Information System (INIS)

    Fay, M.W.; Han, Y.; Brown, P.D.; Novikov, S.V.; Edmonds, K.W.; Campion, R.P.; Gallagher, B.L.; Foxon, C.T.

    2005-01-01

    Zincblende p-type Ga 1-x Mn x N epilayers, grown with and without AlN/GaN buffer layers using plasma-assisted molecular beam epitaxy on (001) oriented GaAs substrates, have been investigated using a variety of complementary transmission electron microscopy techniques. The epilayers were found to contain a high anisotropic density of stacking faults and microtwins. MnAs inclusions were identified at the Ga 1-x Mn x N/(001)GaAs interface extending into the substrate. The use of AlN/GaN buffer layers was found to inhibit the formation of these inclusions

  6. Optical and electrical properties of disordered layers in GaAs crystals produced by Si+ ion implantation

    International Nuclear Information System (INIS)

    Shigetomi, S.; Matsumori, T.

    1981-01-01

    The ion dose dependence and the annealing behavior of GaAs after implantation with 200 keV 2 x 10 12 -2 x 10 16 Si + ions/cm 2 as studied by using optical absorption, reflection, photoluminescence, sheet-resistivity, Hall effect and field effect methods. The experimental results of the optical absorption and reflection indicate that, when annealed at about 400 0 C, the disordered layers produced by high-dose implantation (2 x 10 15 -2 x 10 16 ions/cm 2 ), reorder epitaxially on the underlying crystal leaving relatively little residual disorder in the near-surface. However, from the experimental results of optical reflection, 800 0 C annealing is required for perfect recrystallization. The temperature dependence of the sheet-resistivity, with annealing temperature as a parameter, indicates that, next to the variable-range hopping and phonon-assisted hopping regions, a new region is observed. Holes, thermally-activated from localized states near the Fermi level Esub(F) to the mobility edge Esub(V) near the valence band, are responsible for electrical conduction in this region. (orig.)

  7. Preferential adsorption of gallium on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires

    International Nuclear Information System (INIS)

    Shu Haibo; Chen Xiaoshuang; Ding Zongling; Dong Ruibin; Lu Wei

    2010-01-01

    The mechanism of the preferential adsorption of Ga on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires is studied by using first-principles calculations within density functional theory. The calculated results show that Au preadsorption on GaAs(111)B surface significantly enhances the stability of the Ga adatom in comparison with the adsorption of Ga on clean GaAs(111)B surface. The stabilization of the Ga adatom is due to charge transfers from the Ga 4p and 4s states to the Au 6s and As 4p states. The number of Ga adatoms stabilized on GaAs(111)B surfaces depends on the size of surface Au cluster. The reason is that Au acted as an electron acceptor on GaAs(111)B surface assists the charge transfer of Ga adatoms for filling the partial unoccupied bands of GaAs(111)B surface. Our results are helpful to understand the growth of Au-assisted GaAs nanowires.

  8. Effect of GaAs interlayer thickness variations on the optical properties of multiple InAs QD structure

    International Nuclear Information System (INIS)

    Park, C.Y.; Park, K.W.; Kim, J.M.; Lee, Y.T.

    2009-01-01

    Multiple InAs/GaAs self-assembled quantum dots (QDs) with vertically stacked structure are grown by molecular beam epitaxy and the effects of GaAs interlayer thickness variation on optical properties are studied. The growth conditions are optimized by in-situ RHEED, AFM, and PL measurement. The five InAs QD layers are embedded in GaAs and Al0.3Ga0.7As layer. The PL intensity is increased with increasing GaAs interlayer thickness. The thin GaAs interlayer has strain field, the strain-induced intermixing of indium atoms in the InAs QDs (blue-shift) can overcompensate for the effect on the increased QD size (red-shift) (H. Heidemeyer et al. Appl. Phys. Lett. 80, 1544 (2002); T. Nakaoka et al. J. Appl. Phys. Lett. 96, 150 (2004)[1, 2], respectively). For the interlayer thickness larger than about 7 nm, the blue-shifts are correlated to the dominant high-energy excited state transitions due to the successive state filling of the ground and higher excited states in the QDs. The energy separation of double PL peaks, originated from two different excited states, was kept at around 50 meV at room temperature. A possible mechanism concerning this phenomenon is also discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Ni-Cr thin film resistor fabrication for GaAs monolithic microwave integrated circuits

    International Nuclear Information System (INIS)

    Vinayak, Seema; Vyas, H.P.; Muraleedharan, K.; Vankar, V.D.

    2006-01-01

    Different Ni-Cr alloys were sputter-deposited on silicon nitride-coated GaAs substrates and covered with a spin-coated polyimide layer to develop thin film metal resistors for GaAs monolithic microwave integrated circuits (MMICs). The contact to the resistors was made through vias in the polyimide layer by sputter-deposited Ti/Au interconnect metal. The variation of contact resistance, sheet resistance (R S ) and temperature coefficient of resistance (TCR) of the Ni-Cr resistors with fabrication process parameters such as polyimide curing thermal cycles and surface treatment given to the wafer prior to interconnect metal deposition has been studied. The Ni-Cr thin film resistors exhibited lower R S and higher TCR compared to the as-deposited Ni-Cr film that was not subjected to thermal cycles involved in the MMIC fabrication process. The change in resistivity and TCR values of Ni-Cr films during the MMIC fabrication process was found to be dependent on the Ni-Cr alloy composition

  10. Effect of e-beam dose on the fractional density of Au-catalyzed GaAs nanowire growth

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jeung Hun, E-mail: jeunghunpark@gmail.com [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States); Gambin, Vincent [Northrop Grumman Aerospace Systems, Redondo Beach, CA 90278 (United States); Kodambaka, Suneel, E-mail: kodambaka@ucla.edu [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2016-05-31

    Using Au/GaAs as a model system, the effect of initial catalyst patterning conditions on the growth of nanowire was studied. Resulting morphologies and fractional surface densities are determined as a function of e-beam dose, dot size, and inter-dot spacing using scanning and transmission electron microscopies. The majority of resulting nanowires grow randomly oriented with respect to the substrate. The nanowires are tapered with narrow tops, wider bases, and catalysts at the wire tips — characteristics of vapor–liquid–solid process. The base diameters of the wires are larger than the dot size, which is likely due to the non-catalyzed vapor–solid deposition along the sidewalls. The higher dose rate used in pattering leads to the formation of higher aspect ratio nanowires with narrower bases. The fractional surface density is found to increase linearly with the clearing dose and the critical dose for nanowire growth increases with decreasing catalyst pattern size and spacing. At a given dose, the fractional density increases with increasing Au dot size and with decreasing inter-dot spacing. Our results may provide new insights into the role of catalyst preparing conditions on the high density, wafer-scale growth of nanowires. - Highlights: • Initial Au catalyst layers are prepared using electron beam lithography. • GaAs nanowires are grown on GaAs(111)B using molecular beam epitaxy. • Effect of dose, size and spacing of Au dots on morphology and density is studied. • Density of nanowires is controlled by changing exposed dose on Au catalyst.

  11. Quantum Dots obtained by LPE from under-saturated In-As liquid phases on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz F E; Mishurnyi V; Gorbatchev A; De Anda F [Universidad Autonoma de San Luis Potosi, Instituto de Investigacion en Comunicacion Optica, Av. Karacorum 1470, Col. Lomas 4a Sec., CP 78210San Luis PotosI (Mexico); Prutskij T, E-mail: fcoe_ov@prodigy.net.mx, E-mail: andre@cactus.iico.uaslp.mx [BUAP, Instituto de Ciencias, Apartado Postal 207, 72000, Puebla (Mexico)

    2011-01-01

    In this work we inform about quantum dots (QD) obtained by Liquid Phase Epitaxy (LPE) on GaAs substrates from under-saturated In-As liquid phases. In our processes, we have prepared saturated In-rich liquid phases by dissolving an InAs wafer at one of the temperatures interval from 450 to 414 C for 60 minutes. The contact between In-As liquid phase and the GaAs substrate was always done at a constant temperature of 444 C for 5 seconds. Thus, the growth temperature for most of the samples was higher than the liquidus temperature. We think that the growth driving force is related to a transient process that occurs when the system is trying to reach equilibrium. Under the atom force microscope (AFM) we have observed nano-islands on the surfaces of the samples obtained from under-saturated liquid phases prepared at 438, 432 and 426 C. The 25 K photoluminescence spectrum shows a peak at a 1.33 eV, in addition to the GaAs related line.

  12. Rapid capless annealing of28Si,64Zn, and9Be implants in GaAs

    Science.gov (United States)

    Liu, S. G.; Narayan, S. Y.

    1984-11-01

    We report the use of tungsten-halogen lamps for rapid (-10 s) thermal annealing of ion-implanted (100) GaAs under AsH3/Ar and N2 atmospheres. Annealing under flowing AsH3/Ar was carried out without wafer encapsulation. Rapid capless annealing activated implants in GaAs with good mobility and surface morphology. Typical mobilities were 3700 4500 cm2/V-s for n-layers with about 2×1017cm-3 carrier concentration and 50 150 cm2/v-s for 0.1 5xl019 cm-3 doped p-layers. Rapid thermal annealing was performed in a vertical quartz tube where different gases (N2, AsH3/H2, AsH3/Ar) can be introduced. Samples were encapsulated with SiO when N2 was used. Tungsten-halogen lamps of 600 or 1000 W were utilized for annealing GaAs wafers ranging from 1 to 10 cm2 in area and 0.025 to 0.040 cm in thickness. The transient temperature at the wafer position was monitored using a fine thermocouple. We carried out experiments for energies of 30 to 200 keV, doses of 2×1012 to 1×1015 cm-2, and peak temperatures ranging from 600 to 1000‡C. Most results quoted are in the 700 to 870‡C temperature range. Data on implant conditions, optimum anneal conditions, electrical characteristics, carrier concentration profiles, and atomic profiles of the implanted layers are described.

  13. Formation process and superparamagnetic properties of (Mn,Ga)As nanocrystals in GaAs fabricated by annealing of (Ga,Mn)As layers with low Mn content

    DEFF Research Database (Denmark)

    Sadowski, Janusz; Domagala, Jaroslaw Z.; Mathieu, Roland

    2011-01-01

    °C) annealing of (Ga,Mn)As layers with Mn concentrations between 0.1% and 2%, grown by molecular beam epitaxy at 270°C. Decomposition of (Ga,Mn)As is already observed at the lowest annealing temperature of 400°C for layers with initial Mn content of 1% and 2%. Both cubic and hexagonal (Mn......,Ga)As nanocrystals, with similar diameters of 7-10 nm, are observed to coexist in layers with an initial Mn content of 0.5% and 2% after higher-temperature annealing. Measurements of magnetization relaxation in the time span 0.1-10 000 s provide evidence for superparamagnetic properties of the (Mn,Ga)As nanocrystals......X-ray diffraction, transmission electron microscopy, and magnetization measurements are employed to study the structural and magnetic properties of Mn-rich (Mn,Ga)As nanocrystals embedded in GaAs. These nanocomposites are obtained by moderate-temperature (400°C) and high-temperature (560°C and 630...

  14. Effect of post-growth annealing on secondary phase formation in low-temperature-grown Mn-doped GaAs

    DEFF Research Database (Denmark)

    Kovács, A.; Sadowski, J.; Kasama, Takeshi

    2013-01-01

    The microstructures of annealed GaAs layers containing 0.1%, 0.5% and 2% Mn are studied using aberration-corrected transmission electron microscopy (TEM). The layers were grown by molecular beam epitaxy at 270 °C. After heat treatment at 400, 560 and 630 °C, they are found to contain precipitate...... in annealed GaMnAs layers doped with low Mn concentrations is proposed....

  15. Growth and characterization of InAs columnar quantum dots on GaAs substrate

    International Nuclear Information System (INIS)

    Li, L. H.; Patriarche, G.; Rossetti, M.; Fiore, A.

    2007-01-01

    The growth of InAs columnar quantum dots (CQDs) on GaAs substrates by molecular beam epitaxy was investigated. The CQDs were formed by depositing a 1.8 monolayer (ML) InAs seed dot layer and a short period GaAs/InAs superlattice (SL). It was found that the growth of the CQDs is very sensitive to growth interruption (GI) and growth temperature. Both longer GI and higher growth temperature impact the size dispersion of the CQDs, which causes the broadening of photoluminescence (PL) spectrum and the presence of the additional PL peak tails. By properly choosing the GI and the growth temperature, CQDs including GaAs (3 ML)/InAs (0.62 ML) SL with period number up to 35 without plastic relaxation were grown. The corresponding equivalent thickness of the SL is 41 nm which is two times higher than the theoretical critical thickness of the strained InGaAs layer with the same average In composition of 16%. The increase of the critical thickness is partially associated with the formation of the CQDs. Based on a five-stack CQD active region, laser diodes emitting around 1120 nm at room temperature were demonstrated, indicating a high material quality. CQDs with nearly isotropic cross section (20 nmx20 nm dimensions) were formed by depositing a 16-period GaAs (3 ML)/InAs (0.62 ML) SL on an InAs seed dot layer, indicating the feasibility of artificial shape engineering of QDs. Such a structure is expected to be very promising for polarization insensitive device applications, such as semiconductor optical amplifiers

  16. Magnetic Properties of Fe(001) Thin Films on GaAs(001) Deposited by RF Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ikeya, Hirokazu; Takahashi, Yutaka; Inaba, Nobuyuki; Kirino, Fumiyoshi; Ohtake, Mitsuru; Futamoto, Masaaki

    2011-01-01

    Fe thin films, down to 6 nm thick, were prepared on GaAs(001) substrates by RF magnetron sputtering. The x-ray diffraction (XRD) analyses show that the epitaxial thin films of Fe(001) were grown with cube-on-cube orientation on GaAs(001). Magnetic properties were investigated by vibrating sample magnetometry (VSM) and ferromagnetic resonance (FMR) spectroscopy. The magnetization curves obtained by applying in-plane magnetic fields indicate that easy (hard) direction is along [100] ([110]) and the saturation magnetization is close to the bulk values. The in-plane magnetic anisotropy measured by FMR shows four-fold symmetry, as expected for bcc Fe. We did not observe the in-plane uniaxial magnetic anisotropy reported on the MBE-grown Fe films on GaAs substrates.

  17. SXPS study of model GaAs(100)/electrolyte interface

    Energy Technology Data Exchange (ETDEWEB)

    Lebedev, Mikhail V. [A.F. Ioffe Physico-Technical Institute, Russian Academy of Sciences, St. Petersburg (Russian Federation); Mankel, Eric; Mayer, Thomas; Jaegermann, Wolfram [Institute of Material Sciences, Darmstadt University of Technology, Darmstadt (Germany)

    2010-02-15

    Model GaAs(100)/electrolyte interfaces are prepared in vacuum by co-adsorption of Cl{sub 2} and 2-propanol molecules at LN{sub 2} temperature. On adsorption of Cl{sub 2} molecules gallium chlorides, elemental arsenic and arsenic chlorides are formed. Co-adsorption of 2-propanol causes formation of additional GaCl{sub 3} and AsCl, as well as soluble/volatile As-based complexes, which are released from the surface depleting the sur- face by arsenic. Comparison of the As 3d and Ga 3d spectra obtained after heating the model interface to room temperature with the corresponding spectra obtained after emersion of the GaAs(100) surface from HCl/2-propanol solution allows to conclude that in HCl solution Cl{sup -} ions attack gallium sites and H{sup +} ions mostly attack arsenic sites. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Magnetic Properties of Ni-Zn Ferrite Prepared with the Layered Precursor Method

    International Nuclear Information System (INIS)

    Zhou Xin; Hou Zhi-Ling; Li Feng; Qi Xin

    2010-01-01

    We prepare NiZnFe 2 O 4 soft magnetic ferrites with different molar ratios with the layered precursor method and investigate their magnetic properties. In the layered precursor, metal ions are scattered on the layer plate in a certain way on account of the effect of lowest lattice energy and lattice orientation. After high temperature calcinations, spinel ferrites with uniform structural component and single magnetic domain can be obtained, and the magnetic property is improved greatly. NiZnFe 2 O 4 ferrites prepared have the best specific saturation magnetization of 79.15 emu·g −1 , higher than that of 68 emu·g −1 prepared by the chemical co-precipitation method and that of 59 emu·g −1 prepared by the emulsion-gel method. Meanwhile the coercivity of NiZnFe 2 O 4 ferrites prepared by layered precursor method is 14 kA·m −1 , lower than that of 50 emu·g −1 prepared by the co-precipitation method and that of 59 emu·g −1 prepared by the emulsion-gel method. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  19. Electrical and Optical Characterization of Sputtered Silicon Dioxide, Indium Tin Oxide, and Silicon Dioxide/Indium Tin Oxide Antireflection Coating on Single-Junction GaAs Solar Cells

    Directory of Open Access Journals (Sweden)

    Wen-Jeng Ho

    2017-06-01

    Full Text Available This study characterized the electrical and optical properties of single-junction GaAs solar cells coated with antireflective layers of silicon dioxide (SiO2, indium tin oxide (ITO, and a hybrid layer of SiO2/ITO applied using Radio frequency (RF sputtering. The conductivity and transparency of the ITO film were characterized prior to application on GaAs cells. Reverse saturation-current and ideality factor were used to evaluate the passivation performance of the various coatings on GaAs solar cells. Optical reflectance and external quantum efficiency response were used to evaluate the antireflective performance of the coatings. Photovoltaic current-voltage measurements were used to confirm the efficiency enhancement obtained by the presence of the anti-reflective coatings. The conversion efficiency of the GaAs cells with an ITO antireflective coating (23.52% exceeded that of cells with a SiO2 antireflective coating (21.92%. Due to lower series resistance and higher short-circuit current-density, the carrier collection of the GaAs cell with ITO coating exceeded that of the cell with a SiO2/ITO coating.

  20. Effect of AlSb quantum dots on efficiency of GaAs solar cell (Conference Presentation)

    Science.gov (United States)

    Mansoori, Ahmad; Addamane, Sadhvikas J.; Renteria, Emma J.; Shima, Darryl M.; Hains, Christopher P.; Balakrishnan, Ganesh

    2016-09-01

    Quantum Dots (QDs) have a broad applications in science and specifically in solar cell. Many research groups show that by adding QDs with lower bandgap respect to host material, the overall absorption of sun spectrum coverage will increase. Here, we propose using QDs with higher band gap respect to host material to improve efficiency of solar cell by improving quantum efficiency. GaAs solar cells have the highest efficiency in single junction solar cells. However, the absorption of GaAs is not good enough in wavelength lower than 550nm. AlSb can absorb shorter wavelength with higher absorption coefficient and also recombination rate should be lower because of higher bandgap of AlSb respect to GaAs. We embed AlSb QDs in GaAs solar cells and results show slight improvement in quantum efficiency and also in overall efficiency. Coverage of AlSb QDs has a direct impact on quality of AlSb QDs and efficiency of cell. In the higher coverage, intermixing between GaAs and AlSb causes to shift bandgap to lower value (having AlGaSb QDs instead of pure AlSb QDs). This intermixing decrease the Voc and overall efficiency of cell. In lower coverage, AlSb can survive from intermixing and overall performance of cell improves. Optimizing growth condition of AlSb QDs is a key point for this work. By using AlSb QDs, we can decrease the thickness of active layer of GaAs solar cells and have a thinner solar cell.

  1. X-ray diffraction from single GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas

    2012-11-12

    In recent years, developments in X-ray focussing optics have allowed to produce highly intense, coherent X-ray beams with spot sizes in the range of 100 nm and below. Together with the development of new experimental stations, X-ray diffraction techniques can now be applied to study single nanometer-sized objects. In the present work, X-ray diffraction is applied to study different aspects of the epitaxial growth of GaAs nanowires. Besides conventional diffraction methods, which employ X-ray beams with dimensions of several tens of {mu}m, special emphasis lies on the use of nanodiffraction methods which allow to study single nanowires in their as-grown state without further preparation. In particular, coherent X-ray diffraction is applied to measure simultaneously the 3-dimensional shape and lattice parameters of GaAs nanowires grown by metal-organic vapor phase epitaxy. It is observed that due to a high density of zinc-blende rotational twins within the nanowires, their lattice parameter deviates systematically from the bulk zinc-blende phase. In a second step, the initial stage in the growth of GaAs nanowires on Si (1 1 1) surfaces is studied. This nanowires, obtained by Ga-assisted growth in molecular beam epitaxy, grow predominantly in the cubic zinc-blende structure, but contain inclusions of the hexagonal wurtzite phase close to their bottom interface. Using nanodiffraction methods, the position of the different structural units along the growth axis is determined. Because the GaAs lattice is 4% larger than silicon, these nanowires release their lattice mismatch by the inclusion of dislocations at the interface. Whereas NWs with diameters below 50 nm are free of strain, a rough interface structure in nanowires with diameters above 100 nm prevents a complete plastic relaxation, leading to a residual strain at the interface that decays elastically along the growth direction. Finally, measurements on GaAs-core/InAs-shell nanowire heterostructures are presented

  2. Fabrication of GaAs nanowire devices with self-aligning W-gate electrodes using selective-area MOVPE

    International Nuclear Information System (INIS)

    Ooike, N.; Motohisa, J.; Fukui, T.

    2004-01-01

    We propose and demonstrate a novel self-aligning process for fabricating the tungsten (W) gate electrode of GaAs nanowire FETs by using selective-area metalorganic vapor phase epitaxy (SA-MOVPE) where SiO 2 /W composite films are used to mask the substrates. First, to study the growth process and its dependence on mask materials, GaAs wire structures were grown on masked substrates partially covered with a single W layer or SiO 2 /W composite films. We found that lateral growth over the masked regions could be suppressed when a wire along the [110] direction and a SiO 2 /W composite mask were used. Using this composite mask, we fabricated GaAs narrow channel FETs using W as a Schottky gate electrode, and we were able to observe FET characteristics at room temperature

  3. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    Science.gov (United States)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  4. Preparation of metastable bcc permalloy epitaxial thin films on GaAs(011){sub B3} single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru, E-mail: ohtake@futamoto.elect.chuo-u.ac.jp [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Higuchi, Jumpei; Yabuhara, Osamu [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan); Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2011-09-30

    Permalloy (Py) single-crystal films with bcc structure were obtained on GaAs(011){sub B3} single-crystal substrates by ultra high vacuum rf magnetron sputtering. The film growth and the detailed film structures were investigated by refection high energy electron diffraction and pole figure X-ray diffraction. bcc-Py films epitaxially grow on the substrates in the orientation relationship of Py(011)[011-bar]{sub bcc} || GaAs(011)[011-bar]{sub B3}. The lattice constant of bcc-Py film is determined to be a = 0.291 nm. With increasing the film thickness, parts of the bcc crystal transform into more stable fcc structure by atomic displacement parallel to the bcc{l_brace}011{r_brace} close-packed planes. The resulting film thus consists of a mixture of bcc and fcc crystals. The phase transformation mechanism is discussed based on the experimental results. The in-plane magnetization properties reflecting the magnetocrystalline anisotropy of bcc-Py crystal are observed for the Py films grown on GaAs(011){sub B3} substrates.

  5. Self-assembly of alkanethiolates directs sulfur bonding with GaAs(100)

    Energy Technology Data Exchange (ETDEWEB)

    Mancheno-Posso, Pablo; Muscat, Anthony J., E-mail: muscat@email.arizona.edu

    2017-03-01

    Highlights: • Alkanethiolate monolayers were formed on GaAs(100) using a 20 min liquid immersion. • The longest chain containing 20 CH{sub 2} groups protected the surface for 30 min from reoxidation. • A reaction-diffusion model shows that oxygen diffusion through the carbon chains is fast. • Alkanethiolates protect the surface by reducing the reaction rate of oxygen with the surface. • Assembly of the alkane chains directs sulfur atoms to bond to the surface. - Abstract: Molecules that contain linear alkane chains self-assemble on a variety of surfaces changing the degree of wetting, lubricity, and reactivity. We report on the reoxidation of GaAs(100) in air after adsorbing five alkanethiols (C{sub n}H{sub 2n+1}-SH where n = 3, 6, 12, 18, 20) and one alkanedithiol (HS-(CH{sub 2}){sub 8}-SH) deposited from the liquid phase. The alignment of the alkane chains forms a self-assembled layer, however, air diffuses readily through the carbon layer and reaches the surface. The impact of alignment is to improve the bonding of sulfur with the surface atoms which reduces the oxidation rate based on fitting the data to a reaction-diffusion model. The layer thickness and molecular density scale linearly with the number of carbon atoms in the alkane chain. The thickness of the alkanethiolate (RS{sup −}) layer grows by 0.87 ± 0.06 Å for each C atom in the chain and the surface density by 0.13 ± 0.03 molecule per nm{sup 2} per C atom up to a coverage of 5.0 molecules/nm{sup 2} for n = 20 or 0.8 monolayer. The surface coverage increases with length because interactions between methylene (CH{sub 2}) groups in neighboring chains reduce the tilt angle of the molecules with the surface normal. The tight packing yields areas per alkanethiolate as low as 20 Å{sup 2} for n = 20. The amount of C in the layer divided by the chain length is approximately constant up to n = 12 but increases sharply by a factor of 2–4× for n = 18 and 20 based on the C 1s X

  6. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    International Nuclear Information System (INIS)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-01-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  7. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-04-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  8. Annealing of low-temperature GaAs studied using a variable energy positron beam

    International Nuclear Information System (INIS)

    Keeble, D.J.; Umlor, M.T.; Asoka-Kumar, P.; Lynn, K.G.; Cooke, P.W.

    1993-01-01

    The annihilation characteristics of monoenergetic positrons implanted in a molecular beam epitaxy layer of low-temperature (LT) GaAs annealed at temperatures from 300 to 600 degree C were measured. A gallium vacancy concentration of approximately 3x10 17 cm -3 is inferred for the as-grown material. The S parameter increased significantly upon anneal to 500 degree C. The dominant positron traps in samples annealed at and below 400 degree C are distinct from those acting for samples annealed to 500 or 600 degree C. The change in S parameter for the 600 degree C annealed sample compared to the GaAs substrate, S LT,600 =1.047S sub , is consistent with divacancies or larger open volume defects

  9. Effects of spatial confinement and layer disorder in photoluminescence of GaAs1-xBix/GaAs heterostructures

    International Nuclear Information System (INIS)

    Mazur, Yu I; Dorogan, V G; Benamara, M; Ware, M E; Salamo, G J; Schmidbauer, M; Tarasov, G G; Johnson, S R; Lu, X; Yu, S-Q; Tiedje, T

    2013-01-01

    The structural and optical properties of a set of high-quality GaAs 1-x Bi x /GaAs quantum well (QW) heterostructures with Bi concentrations ranging from 3.5% to 6.7% are studied. The energies of the excitonic ground state transitions are determined as a function of Bi concentration and spatial confinement. The influence of material disorder on the optical properties of QWs is investigated. It is determined that trap-related luminescence responds differently to temperature changes depending on whether the Bi concentration is more or less than 5%. Below 5% it contributes significantly to the overall photoluminescence line shape whereas above 5%, it is insignificant.

  10. Spin dynamics in GaAs and (110)-GaAs heterostructures; Spindynamik in GaAs und (110)-GaAs-Heterostrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Oertel, Stefan

    2012-07-01

    This thesis investigates the spin dynamics in both bulk GaAs and (llO)GaAs heterostructures using time- and polarization-resolved photoluminescence spectroscopy. In bulk GaAs the spin relaxation t ime is measured for the first time in the high temperature regime from 280 K to 400 K and is compared to numerical calculations. The numerical calculations are based on the spin relaxation theory of the Dyakonov-Perel mechanism effected by momentum scattering with polar optical phonons and electron-electron scattering and are in good agreement with the experimental results. Measurements of the dependence on the electron density serve to determine the energy dependent proportional factor between the electron density and the effective electron-electron scattering time. Also in bulk GaAs the interaction between the electron spin system and the nuclear spin system is investigated. The measured electron Lande g-factor under the influence of the nuclear magnetic field is used as an indicator to monitor the temporal evolution of the nuclear magnetic field under sustained dynamic nuclear polarization. Measurements with polarization modulated excitation enable the determination of the relevant time scale at which dynamic nuclear polarization takes place. Furthermore, the temporal evolution of the measured electron Lande g-factor shows the complex interplay of the dynamic nuclear polarization, the nuclear spin diffusion and the nuclear spin relaxation. In symmetric (110)-GaAs quantum wells the dependence of the inplane anisotropy of the electron Lande g-factor on the quantum well thickness is determined experimentally. The measurements are in very good agreement with calculations based upon k . p-theory and reveal a maximum of the anisotropy at maximum carrier localization in the quantum well. The origin of the anisotropy that is not present in symmetric (001) quantum wells is qualitatively described by means of a simplified model based on fourth-order perturbation theory. A

  11. A graphene/single GaAs nanowire Schottky junction photovoltaic device.

    Science.gov (United States)

    Luo, Yanbin; Yan, Xin; Zhang, Jinnan; Li, Bang; Wu, Yao; Lu, Qichao; Jin, Chenxiaoshuai; Zhang, Xia; Ren, Xiaomin

    2018-05-04

    A graphene/nanowire Schottky junction is a promising structure for low-cost high-performance optoelectronic devices. Here we demonstrate a graphene/single GaAs nanowire Schottky junction photovoltaic device. The Schottky junction is fabricated by covering a single layer graphene onto an n-doped GaAs nanowire. Under 532 nm laser excitation, the device exhibits a high responsivity of 231 mA W-1 and a short response/recover time of 85/118 μs at zero bias. Under AM 1.5 G solar illumination, the device has an open-circuit voltage of 75.0 mV and a short-circuit current density of 425 mA cm-2, yielding a remarkable conversion efficiency of 8.8%. The excellent photovoltaic performance of the device is attributed to the strong built-in electric field in the Schottky junction as well as the transparent property of graphene. The device is promising for self-powered high-speed photodetectors and low-cost high-efficiency solar cells.

  12. Arsenic sulfide layers for dielectric reflection mirrors prepared from solution

    Science.gov (United States)

    Matějec, Vlastimil; Pedlikova, Jitka; BartoÅ, Ivo; Podrazký, Ondřej

    2017-12-01

    Chalcogenide materials due to high refractive indices, transparency in the mid-IR spectral region, nonlinear refractive indices, etc, have been employed as fibers and films in different photonic devices such as light amplifiers, optical regenerators, broadband radiation sources. Chalcogenide films can be prepared by physical methods as well as by solution-based techniques in which solutions of chalcogenides in amines are used. This paper presents results on the solution-based fabrication and optical characterization of single arsenic sulfide layers and multilayer stacks containing As2S3 layers together with porous silica layers coated on planar and fiber-optic substrates. Input As2S3 solutions for the layer fabrications were prepared by dissolving As2S3 powder in n-propylamine in a concentration of 0.50 mol/l. These solutions were applied on glass slides by dip-coating method and obtained layers were thermally treated in vacuum at temperatures up to 180 °C. Similar procedure was used for As2S3 layers in multilayer stacks. Such stacks were fabricated by repeating the application of one porous silica layer prepared by the sol-gel method and one As2S3 layer onto glass slides or silica fibers (a diameter of 0.3 mm) by using the dip-coating method. It has been found that the curing process of the applied layers has to be carefully controlled in order to obtain stacks with three pairs of such layers. Single arsenic and porous silica layers were characterized by optical microscopy, and by measuring their transmission spectra in a range of 200-2500 nm. Thicknesses and refractive indices were estimated from the spectra. Transmission spectra of planar multilayer stacks were measured, too. Interference bands have been determined from optical measurements on the multilayer stacks with a minimum transmittance of about 50% which indicates the possibility of using such stacks as reflecting mirrors.

  13. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  14. Pinning-free GaAs MIS structures with Si interface control layers formed on (4 x 6) reconstructed (0 0 1) surface

    Energy Technology Data Exchange (ETDEWEB)

    Anantathanasarn, Sanguan; Hasegawa, Hideki

    2003-06-30

    (0 0 1)-Oriented GaAs metal-insulator-semiconductor (MIS) structures having a silicon interface control layer (Si ICL) were fabricated on surfaces having Ga-rich (4x6) reconstructions. Si ICL was grown by molecular beam epitaxy. MIS structures were fabricated by partially converting Si ICL to SiN{sub x} by direct nitridation, and further depositing a thick SiO{sub 2} layer on top as the main passivation dielectric by plasma-assisted chemical vapor deposition. Reflection high-energy electron diffraction, in situ X-ray photoelectron spectroscopy and MIS capacitance-voltage (C-V) techniques were used for characterization. The initial surface reconstruction was found to have a surprisingly strong effect on the degree of Fermi level pinning at the MIS interface. In contrast to the standard As-rich (2x4) surface, which results in strongly pinned MIS interfaces, the novel SiO{sub 2}/SiN{sub x}/Si ICL/GaAs MIS structures formed on ''genuine'' (4x6) surface realized complete unpinning of Fermi level over the entire band gap with a minimum interface state density of 4x10{sup 10} cm{sup -2} eV{sup -1} range.

  15. Direct observation of dopant distribution in GaAs compound semiconductors using phase-shifting electron holography and Lorentz microscopy.

    Science.gov (United States)

    Sasaki, Hirokazu; Otomo, Shinya; Minato, Ryuichiro; Yamamoto, Kazuo; Hirayama, Tsukasa

    2014-06-01

    Phase-shifting electron holography and Lorentz microscopy were used to map dopant distributions in GaAs compound semiconductors with step-like dopant concentration. Transmission electron microscope specimens were prepared using a triple beam focused ion beam (FIB) system, which combines a Ga ion beam, a scanning electron microscope, and an Ar ion beam to remove the FIB damaged layers. The p-n junctions were clearly observed in both under-focused and over-focused Lorentz microscopy images. A phase image was obtained by using a phase-shifting reconstruction method to simultaneously achieve high sensitivity and high spatial resolution. Differences in dopant concentrations between 1 × 10(19) cm(-3) and 1 × 10(18) cm(-3) regions were clearly observed by using phase-shifting electron holography. We also interpreted phase profiles quantitatively by considering inactive layers induced by ion implantation during the FIB process. The thickness of an inactive layer at different dopant concentration area can be measured from the phase image. © The Author 2014. Published by Oxford University Press on behalf of The Japanese Society of Microscopy. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  16. Excitation power dependence of photoluminescence spectra of GaSb type-II quantum dots in GaAs grown by droplet epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawazu, T., E-mail: KAWAZU.Takuya@nims.go.jp; Noda, T.; Sakuma, Y. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Sakaki, H. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Toyota Technological Institute, 2-12-1 Hisakata, Tempaku-ku, Nagoya 468-8511 (Japan)

    2016-04-15

    We investigated the excitation power P dependence of photoluminescence (PL) spectra of GaSb type-II quantum dots (QDs) in GaAs grown by droplet epitaxy. We prepared two QD samples annealed at slightly different temperatures (380 {sup o}C and 400 {sup o}C) and carried out PL measurements. The 20 {sup o}C increase of the annealing temperature leads to (1) about 140 and 60 times stronger wetting layer (WL) luminescence at low and high P, (2) about 45% large energy shift of QD luminescence with P, and (3) the different P dependence of the PL intensity ratio between the QD and the WL. These differences of the PL characteristics are explained by the effects of the WL.

  17. Atomic-scale structure of self-assembled In(Ga)As quantum rings in GaAs

    NARCIS (Netherlands)

    Offermans, P.; Koenraad, P.M.; Wolter, J.H.; Granados, D.; Garcia, J.M.; Fomin, V.; Gladilin, V.N.; Devreese, J.T.

    2005-01-01

    We present an atomic-scale analysis of the indium distribution of self-assembled In(Ga)As quantum rings (QRs) which are formed from InAs quantum dots by capping with a thin layer of GaAs and subsequent annealing. We find that the size and shape of QRs as observed by cross-sectional scanning

  18. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    Science.gov (United States)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.

  19. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    Science.gov (United States)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be -oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  20. MIM capacitors with various Al2O3 thicknesses for GaAs RFIC application

    International Nuclear Information System (INIS)

    Zhou Jiahui; Xu Wenjun; Li Qi; Li Simin; He Zhiyi; Li Haiou; Chang Hudong; Liu Honggang; Liu Guiming

    2015-01-01

    The impact of various thicknesses of Al 2 O 3 metal—insulator—metal (MIM) capacitors on direct current and radio frequency (RF) characteristics is investigated. For 20 nm Al 2 O 3 , the fabricated capacitor exhibits a high capacitance density of 3850 pF/mm 2 and acceptable voltage coefficients of capacitance of 681 ppm/V 2 at 1 MHz. An outstanding VCC-α of 74 ppm/V 2 at 1 MHz, resonance frequency of 8.2 GHz and Q factor of 41 at 2 GHz are obtained by 100 nm Al 2 O 3 MIM capacitors. High-performance MIM capacitors using GaAs process and atomic layer deposition Al 2 O 3 could be very promising candidates for GaAs RFIC applications. (paper)

  1. Nucleation of point defects in low-fluence ion-implanted GaAs and GaP

    International Nuclear Information System (INIS)

    Wesch, W.; Wendler, E.; Gaertner, K.

    1992-01-01

    The defect production due to low-fluence medium-mass ion implantation into GaAs and GaP at room temperature is investigated. In the parameter region analysed weakly damaged layers are created containing point defects and point defects complexes. Temperature dependent channeling measurements show different structures of the damage produced in the two materials. The depth profiles of the near-edge optical absorption coefficient K sufficiently correspond to the profiles of the primarily produced vacancy concentration N vac . The absorption coefficient K(N vac ) determined from the depth profiles of the two magnitudes shows a square root dependence for GaAs, whereas for GaP a linear dependence is found. The differences observed are discussed in the frame of different nucleation mechanisms. (orig.)

  2. A comparative study of Co thin film deposited on GaAs (1 0 0) and glass substrates

    International Nuclear Information System (INIS)

    Sharma, A.; Brajpuriya, R.; Tripathi, S.; Jain, D.; Dubey, R.; Shripathi, T.; Chaudhari, S.M.

    2006-01-01

    The structural, magnetic and transport properties of Co/GaAs (1 0 0) and Co/glass thin films have been investigated. The structural measurements reveal the crystalline nature of Co thin film grown on GaAs, while microcrystalline nature in case of glass substrate. The film grown on GaAs shows higher coercivity (49.0 G), lower saturation magnetization (3.65 x 10 -4 ) and resistivity (8 μΩ cm) values as compared to that on glass substrate (22 G, 4.77 x 10 -4 and 18 μΩ cm). The grazing incidence X-ray reflectivity and photoemission spectroscopy results show the interaction between Co and GaAs at the interface, while the Co layer grown on glass remains unaffected. These observed results are discussed and interpreted in terms of different growth morphologies and structures of as grown Co thin film on both substrates

  3. Structure, magnetism, and interface properties of epitactical thin Fe and FePt films on GaAs(001) substrates; Struktur, Magnetismus und Grenzflaecheneigenschaften epitaktischer duenner Fe- und FePt-Filme auf GaAs(001)-Substraten

    Energy Technology Data Exchange (ETDEWEB)

    Schuster, Ellen Ursula

    2007-12-17

    The research in this thesis is focused on the study of the Fe spin structure and interface magnetism of thin epitaxial Fe layers or epitaxial FePt alloy films with chemical L1{sub 0} order on GaAs(001) surfaces. The main method of investigation was isotope-specific conversion electron Moessbauer spectroscopy (CEMS) combined with the {sup 57}Fe probe-layer technique in the temperature range of 4.2-300 K. The film structure was studied using electron diffraction (RHEED) and X-ray diffraction (XRD). The chemical order parameter S determined by XRD was found to increase with rising growth temperature, T{sub S}, to a maximum value of 0.71, until long range order is destroyed at T{sub S}>350 C by alloying with the substrate. As an important result a linear correlation between short-range order (revealed by the relative spectral area of the L1{sub 0} phase) and long-range order S was observed. The observed perpendicular Fe spin texture, characterized by the mean tilting angle left angle {theta} right angle of the Fe spins (relative to the film normal direction), was found to correlate with the L1{sub 0} phase content and with S. Furthermore, epitaxial Fe(001) films on GaAs(001)-(4 x 6) and on GaAs(001)-LED surfaces were grown successfully. In the initial stage of Fe film growth non-monotonous behavior of the in-plane lattice parameter was observed by RHEED. The magnetic hyperfine field distributions P(B{sub hf}) at the Fe/GaAs interface extracted from CEMS spectra for T{sub S}=-140 C or room temperature (RT) were found to be very similar. The observed large mean hyperfine fields of left angle B{sub hf} right angle {approx}25-27 T at the interface indicate the presence of high average Fe moments of 1.7-1.8 {mu}{sub B}. Nonmagnetic interface layers either can be excluded (Fe/GaAs) or are very thin (0.5 ML,Fe/GaAs-LED). Owing to its island structure an ultrathin (1.9 ML thick) uncoated Fe(001) film on GaAs(001)-(4 x 6) shows superparamagnetism with a blocking temperature of

  4. Optical characterization of composite layers prepared by plasma polymerization

    International Nuclear Information System (INIS)

    Radeva, E; Hikov, T; Mitev, D; Pramatarova, L; Stroescu, H; Nicolescu, M; Gartner, M; Presker, R

    2016-01-01

    Thin composite layers from polymer/nanoparticles (Ag-nanoparticles and detonation nanodiamonds) were prepared by plasma polymerization process on the base of hexamethyldisiloxane. The variation of the layer composition was achieved by changing the type of nanoparticles. The optical measurement techniques used were UV-VIS-NIR ellipsometry (SE), Fourier-transformed infrared spectroscopy (FTIR) and Raman spectroscopy. The values of the refractive index determined are in the range 1.30 to 1.42. All samples are transparent with transmission between 85-95% and very smooth. The change in Raman and FTIR spectra of the composites verify the expected bonding between polymer and diamond nanoparticles due to the penetration of the fillers in the polymer matrix. The comparison of the spectra of the corresponding NH3 plasma treated composites revealed that the composite surface becomes more hydrophilic. The obtained results indicate that preparation of layers with desired compositions is possible at a precise control of the detonation nanodiamond materials. (paper)

  5. Anomalous strain relaxation and light-hole character enhancement in GaAs capped InAs/In0.53Ga0.47As quantum ring

    International Nuclear Information System (INIS)

    Moon, Pilkyung; Park, Kwangmin; Yoon, Euijoon; Leburton, Jean-Pierre

    2009-01-01

    We theoretically investigated the strain profiles and the electronic structures of InAs/In 0.53 Ga 0.47 As quantum dot and GaAs capped quantum ring. In contrast to the intuitive expectation that the GaAs layer applies a strong compressive strain along the lateral directions of InAs, the GaAs embedded in the In 0.53 Ga 0.47 As matrix provides enough space for the InAs relaxation. The GaAs embedded in In 0.53 Ga 0.47 As acts as potential barrier for both electrons and heavy-holes, and as potential well for light-holes. Each hole state of the quantum ring exhibits two to eight times larger light-hole character than that of a quantum dot. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Chitosan Derivatives/Calcium Carbonate Composite Capsules Prepared by the Layer-by-Layer Deposition Method

    Directory of Open Access Journals (Sweden)

    Takashi Sasaki

    2008-01-01

    Full Text Available Core/shell capsules composed of calcium carbonate whisker core (rod-like shape and chitosan/chitosansulfate shell were prepared by the layer-by-layer deposition technique. Two chitosan samples of different molecular weights (Mw=9.7×104 and 1.09×106g·mol-1 were used as original materials. Hollow capsules were also obtained by dissolution of the core in hydrochloric acid. Electron microscopy revealed that the surface of the shell is rather ragged associated with some agglomerates. The shell thickness l obeys a linear relation with respect to the number of deposited layers m as l=md+a(a>0. The values of d (thickness per layer were 4.0 and 1.0 nm for the higher and lower Mw chitosan materials, respectively, both of which are greater than the thickness of the monolayer. The results suggest that the feature of the deposition does not obey an ideal homogeneous monolayer-by-monolayer deposition mechanism. Shell crosslinked capsules were also prepared via photodimerization reaction of cinnamoyl groups after a deposition of cinnamoyl chitosan to the calcium carbonate whisker core. The degree of crosslink was not enough to stabilize the shell structure, and hollow capsule was not obtained.

  7. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  8. Non-Stoichiometric Layers of III/IV Semiconductors

    National Research Council Canada - National Science Library

    Weber, Eicke

    1998-01-01

    .... As rich GaAs offers unique device applications in layer isolation and optoelectronics because of its insulating capabilities after a thermal annealing and ultrafast time response in the THz range...

  9. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    Science.gov (United States)

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  10. Subnanosecond linear GaAs photoconductive switching, revision 1

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.; Hofer, W. W.

    Research was conducted in photoconductive switching for the purpose of generating subnanosecond pulses in the 25 to 50kV range. The very fast recombination rates of Gallium Arsenide (GaAs) was exploited to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is (approx. 10(-14) sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. Switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm was observed. The illumination source was a Nd:YAG laser operating at 1.06 microns.

  11. Many-electron effect in the Si K-LL resonant Auger-electron spectroscopy spectra of the Si delta layer in GaAs

    International Nuclear Information System (INIS)

    Ohno, Masahide

    2006-01-01

    The Si K-LL resonant Auger-electron spectroscopy (RAES) spectra of silicon delta dopped layers in GaAs with very thin capping layers show both normal Auger decay and resonant Auger decay, when the core-level electron is excited to the conduction band. The resonant Auger peak kinetic energy (KE) shows no dispersion with photon energy, except when excited by the highest energy photons [M.D. Jackson, J.M.C. Thornton, D. Lewis, A. Robinson, M. Fahy, A. Aviary, P. Weightman, Phys. Rev. B71 (2005) 075313]. The RAES spectra are analyzed using a many-body theory. The presence of resonant Auger decay and no dispersion of resonant Auger peak KE with photon energy is explained in terms of the relaxation of a metastable excited core-hole state to a stable one on the time scale of core-hole decay. The excited electron in the conduction band either delocalizes rapidly leaving the ionized Si to decay by a normal Auger decay or drops to a state localized in the Si delta layer before the core-hole decays so that the RAES spectrum has both normal Auger decay and resonant Auger decay. As a result of the relaxation, the resonant Auger peak KE does not show any dispersion with photon energy. The variations with photon energy of the normal or resonant Auger peak intensity, KE, and width are explained in a consistent manner by a many-body theory

  12. Singularities of 28Si electrical activation in a single crystal and epitaxial GaAs under radiation annealing

    International Nuclear Information System (INIS)

    Ardyshev, V.M.; Ardyshev, M.V.; Khludkov, S.S.

    2000-01-01

    Using the voltage-capacitance characteristics method, the concentration profiles of 28 Si that is implanted in monocrystal and epitaxial GaAs after fast thermal annealing (FTA) (825, 870, 950 deg C, 12 s) have been studied; using Van-der-Paw method, the electron Hall mobility temperature dependence in the range of 70-400 K has been measured. Unlike thermal annealing (800 deg C, 30 min), the silicon diffusion depth redistribution into GaAs is shown to occur for both types of material. The coefficient of diffusion of Si in the single crystal is 2 times greater, but the electrical activation efficiency is somewhat less than in the epitaxial GaAs for each of the temperatures of FTA. The analysis of the temperature dependence of the electron mobility in ion-implanted layers after FTA gives the evidence about the significantly lower concentration of defects restricting the mobility in comparison with results obtained at thermal annealing during 30 min [ru

  13. Synchrotron photoemission spectroscopy study of ammonium hydroxide etching to prepare well-ordered GaAs(1 0 0) surfaces

    International Nuclear Information System (INIS)

    Lebedev, Mikhail V.; Ensling, David; Hunger, Ralf; Mayer, Thomas; Jaegermann, Wolfram

    2004-01-01

    Synchrotron-induced photoelectron spectroscopy was used to investigate the native-oxide-covered GaAs(1 0 0) surface and changes induced by etching with aqueous ammonia solution and by annealing in vacuum. The etching step removes arsenic and gallium oxides from the surface and the surface gets covered by elemental arsenic and tiny amounts of gallium suboxide. The surface oxygen content is reduced by an order of magnitude after etching, whereas the surface carbon content is somewhat increased. Annealing of this surface at 450 deg. C results in the disappearance of elemental arsenic and a considerable decrease in surface carbon and oxygen contents. The valence band spectra exhibit clear features typical for As-terminated GaAs(1 0 0) surfaces, as also obtained after As decapping

  14. Electrodeposition of Metal on GaAs Nanowires

    Science.gov (United States)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  15. Diffusion of $^{52}$Mn in GaAs

    CERN Multimedia

    2002-01-01

    Following our previous diffusion studies performed with the modified radiotracer technique, we propose to determine the diffusion of Mn in GaAs under intrinsic conditions in a previously un-investigated temperature region. The aim of the presently proposed experiments is twofold. \\begin{itemize} \\item A quantitative study of Mn diffusion in GaAs at low Mn concentrations would be decisive in providing new information on the diffusion mechanism involved. \\item As Ga vacancies are expected to be involved in the Mn diffusion process it can be predicted that also the GaAs material growth technique most likely plays a role. To clarify this assumption diffusion experiments will be conducted for GaAs material grown by two different techniques. \\end{itemize} For such experiments we ask for two runs of 3 shifts (total of 6 shifts) with $^{52}$Mn$^{+}$ ion beam.

  16. Observation of linear I-V curves on vertical GaAs nanowires with atomic force microscope

    Science.gov (United States)

    Geydt, P.; Alekseev, P. A.; Dunaevskiy, M.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2015-12-01

    In this work we demonstrate the possibility of studying the current-voltage characteristics for single vertically standing semiconductor nanowires on standard AFM equipped by current measuring module in PeakForce Tapping mode. On the basis of research of eight different samples of p-doped GaAs nanowires grown on different GaAs substrates, peculiar electrical effects were revealed. It was found how covering of substrate surface by SiOx layer increases the current, as well as phosphorous passivation of the grown nanowires. Elimination of the Schottky barrier between golden cap and the top parts of nanowires was observed. It was additionally studied that charge accumulation on the shell of single nanowires affects its resistivity and causes the hysteresis loops on I-V curves.

  17. Photoluminescence characterization of GaAs/GaAs0.64P0.19Sb0.17/GaAs heterostructure

    International Nuclear Information System (INIS)

    Chen, J.Y.; Chen, B.H.; Huang, Y.S.; Chin, Y.C.; Tsai, H.S.; Lin, H.H.; Tiong, K.K.

    2013-01-01

    Interfacial characteristics of GaAs/GaAs 0.64 P 0.19 Sb 0.17 GaAs heterostructures and emission properties of a quaternary GaAs 0.64 P 0.19 Sb 0.17 layer were studied by excitation-power- and temperature-dependent photoluminescence (PL) measurements. The GaAs-to-GaAsPSb upper interface related emission feature and signals from GaAsPSb and GaAs were observed and characterized. The upper interface related emission peak was attributed to the radiative recombination of spatially separated electron–hole pairs and suggesting the type-II alignment at the GaAs/GaAsPSb interface. The localized excitonic emission feature of GaAsPSb revealed a blueshift due to the saturation effect of localized states and showed a fast thermal-quench with the increase of temperature. The temperature variation of the band edge emission signal of GaAsPSb was found to follow that of GaAs closely. -- Highlights: ► PL characterization of GaAs/GaAsPSb/GaAs heterostructure. ► Type-II alignment at the GaAs/GaAsPSb interface. ► Near-band-edge emission lines of GaAsPSb

  18. Performances of epitaxial GaAs p/i/n structures for X-ray imaging

    CERN Document Server

    Sun, G C; Haguet, V; Pesant, J C; Montagne, J P; Lenoir, M; Bourgoin, J C

    2002-01-01

    We have realized 150 mu mx150 mu m pixels using ion implantation followed by photolithography, metallic contact evaporation and chemical etching on about 200 mu m thick GaAs epitaxial layers. These layers were grown on n sup + and p sup + substrates by an already described Chemical Reaction technique, which is economical, non-polluting and can attain growth rates of several microns per minute. The mesa p sup + /i/n sup + pixel were characterized using current-voltage and capacitance-voltage measurements. The charge collection efficiency was evaluated by photoconductivity measurements under typical conditions of standard radiological examinations.

  19. GaAs structures with InAs and As quantum dots produced in a single molecular beam epitaxy process

    International Nuclear Information System (INIS)

    Nevedomskii, V. N.; Bert, N. A.; Chaldyshev, V. V.; Preobrazhenskii, V. V.; Putyato, M. A.; Semyagin, B. R.

    2009-01-01

    Epitaxial GaAs layers containing InAs semiconductor quantum dots and As metal quantum dots are grown by molecular beam epitaxy. The InAs quantum dots are formed by the Stranskii-Krastanow mechanism, whereas the As quantum dots are self-assembled in the GaAs layer grown at low temperature with a large As excess. The microstructure of the samples is studied by transmission electron microscopy. It is established that the As metal quantum dots formed in the immediate vicinity of the InAs semiconductor quantum dots are larger in size than the As quantum dots formed far from the InAs quantum dots. This is apparently due to the effect of strain fields of the InAs quantum dots upon the self-assembling of As quantum dots. Another phenomenon apparently associated with local strains around the InAs quantum dots is the formation of V-like defects (stacking faults) during the overgrowth of the InAs quantum dots with the GaAs layer by low-temperature molecular beam epitaxy. Such defects have a profound effect on the self-assembling of As quantum dots. Specifically, on high-temperature annealing needed for the formation of large-sized As quantum dots by Ostwald ripening, the V-like defects bring about the dissolution of the As quantum dots in the vicinity of the defects. In this case, excess arsenic most probably diffuses towards the open surface of the sample via the channels of accelerated diffusion in the planes of stacking faults.

  20. Subnanosecond linear GaAs photoconductive switching: Revision 1

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.; Hofer, W.W.

    1989-01-01

    We are conducting research in photoconductive switching for the purpose of generating subnanosecond pulses in the 25--50kV range. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is /approximately/10/sup /minus/14/ sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. We have observed switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm. The illumination source was a Nd:YAG laser operating at 1.06 /mu/m. 4 refs., 11 figs.

  1. Mobility-lifetime product in epitaxial GaAs X-ray detectors

    Energy Technology Data Exchange (ETDEWEB)

    Sun, G.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)]. E-mail: guocsun@ccr.jussieu.fr; Zazoui, M. [LPMC, Faculte des Sciences et Techniques-Mohammedia, B.P. 146 Bd Hassan II, Mohammedia, Maroc (Morocco); Talbi, N. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Khirouni, K. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Bourgoin, J.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)

    2007-04-01

    Self-supported thick (200-500 {mu}m), non-intentionally doped, epitaxial GaAs layers are good candidates for X-ray imaging for the following reasons. Their electronic properties are homogeneous over large areas, they can be grown at low cost, the technology to realize pixel detectors of various size is standard, the defect concentration is low and the fluorescence yield is small. Here, we characterize the defects present in the material and evaluate the mobility-lifetime product, using Deep Level Transient Spectroscopy combined with current-voltage and charge collection measurements.

  2. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    International Nuclear Information System (INIS)

    Belay, K.B.; Ridgway, M.C.; Llewellyn, D.J.

    1996-01-01

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs

  3. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Belay, K.B.; Ridgway, M.C.; Llewellyn, D.J. [Australian National Univ., Canberra, ACT (Australia). Dept. of Physics

    1996-12-31

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs.

  4. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Belay, K B; Ridgway, M C; Llewellyn, D J [Australian National Univ., Canberra, ACT (Australia). Dept. of Physics

    1997-12-31

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs.

  5. Annealing-induced Fe oxide nanostructures on GaAs

    OpenAIRE

    Lu, Y X; Ahmad, E; Xu, Y B; Thompson, S M

    2005-01-01

    We report the evolution of Fe oxide nanostructures on GaAs(100) upon pre- and post-growth annealing conditions. GaAs nanoscale pyramids were formed on the GaAs surface due to wet etching and thermal annealing. An 8.0-nm epitaxial Fe film was grown, oxidized, and annealed using a gradient temperature method. During the process the nanostripes were formed, and the evolution has been demonstrated using transmission and reflection high energy electron diffraction, and scanning electron microscopy...

  6. Analysis of the modified optical properties and band structure of GaAs1-xSbx-capped InAs/GaAs quantum dots

    NARCIS (Netherlands)

    Ulloa, J.M.; Llorens, J.M.; Moral, del M.; Bozkurt, M.; Koenraad, P.M.; Hierro, A.

    2012-01-01

    The origin of the modified optical properties of InAs/GaAs quantum dots (QD) capped with a thin GaAs1-xSbx layer is analyzed in terms of the band structure. To do so, the size, shape, and composition of the QDs and capping layer are determined through cross-sectional scanning tunnelling microscopy

  7. Preparation of insulin-containing microcapsules by a layer-by-layer deposition of concanavalin A and glycogen.

    Science.gov (United States)

    Sato, Katsuhiko; Kodama, Daisuke; Endo, Yoshihiro; Anzai, Jun-ichi

    2009-01-01

    The sugar sensitive microcapsules were prepared by a layer-by-layer deposition of concanavalin A (Con A) and glycogen on a calcium carbonate particle containing fluorescein-labeled insulin (F-insulin). The Con A/glycogen multilayer capsules were formed through sugar-lectin interactions by using inner and outer poly(ethyleneimine)/poly(vinyl sulfate) multilayers as supports, while without the supports the microcapsules could not be formed. Fluorescent microscope observations revealed that the capsules thus prepared are spherical in shape with 3-10 microm diameter. The microcapsules released encapsulated F-insulin upon addition of sugars. This is because the added sugars replace glycogen in the binding site of Con A, resulting in the enhanced permeability of the microcapsules to insulin.

  8. Properties of InSbN grown on GaAs by radio frequency nitrogen plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lim, K P; Yoon, S F; Pham, H T

    2009-01-01

    We report the growth of InSbN on a lattice-mismatched GaAs substrate using radio frequency nitrogen plasma-assisted molecular beam epitaxy. The effects of a two-step thin InSb buffer layer grown at 330 and 380 deg. C and substrate temperature (270-380 deg. C) on the properties of the InSbN are studied. The crystalline quality of the InSbN is significantly improved by the two-step buffer layer due to defect suppression. The shifting in the absorption edge of the InSbN from ∼5 to 8 μm following an increase in the substrate temperature is correlated with the reduction in free carrier concentration from ∼10 18 to 10 16 cm -3 and increase in concentration of N substituting Sb from ∼0.2 to 1%. These results will be beneficial to those working on the pseudo-monolithic integration of InSbN detectors on a GaAs platform.

  9. Optoelectronic devices, low temperature preparation methods, and improved electron transport layers

    KAUST Repository

    Eita, Mohamed S.

    2016-08-04

    An optoelectronic device such as a photovoltaic device which has at least one layer, such as an electron transport layer, which comprises a plurality of alternating, oppositely charged layers including metal oxide layers. The metal oxide can be zinc oxide. The plurality of layers can be prepared by layer-by-layer processing in which alternating layers are built up step-by-step due to electrostatic attraction. The efficiency of the device can be increased by this processing method compared to a comparable method like sputtering. The number of layers can be controlled to improve device efficiency. Aqueous solutions can be used which is environmentally friendly. Annealing can be avoided. A quantum dot layer can be used next to the metal oxide layer to form a quantum dot heterojunction solar device.

  10. Charge collection efficiency of GaAs detectors studied with low-energy heavy charged particles

    CERN Document Server

    Bates, R; Linhart, V; O'Shea, V; Pospísil, S; Raine, C; Smith, K; Sinor, M; Wilhelm, I

    1999-01-01

    Epitaxially grown GaAs layers have recently been produced with sufficient thickness and low enough free carrier concentration to permit their use as radiation detectors. Initial tests have shown that the epi-material behaves as a classical semiconductor as the depletion behaviour follows the square root dependency on the applied bias. This article presents the results of measurements of the growth of the active depletion depth with increasing bias using low-energy protons and alpha particles as probes for various depths and their comparison to values extrapolated from capacitance measurements. From the proton and alpha particle spectroscopic measurements, an active depth of detector material that collects 100% of the charge generated inside it was determined. The consistency of these results with independent capacitance measurements supports the idea that the GaAs epi-material behaves as a classical semiconductor. (author)

  11. Transient photoluminescence decay investigations of LPE GaAs heteroface solar cells

    International Nuclear Information System (INIS)

    Wettling, W.; Ehrhardt, A.; Brett, A.; Lutz, F.

    1990-01-01

    The transient photoluminescence decay (PLD) is investigated as a technique for the quality control of GaAs solar cells. An analytic expression for the PL intensity is derived from the time dependent continuity equation for minority carrier concentration in the emitter by the Fourier transform method. On both sides of the emitter, i.e. at the interface to the window layer and to the space charge region, surface recombination velocities that can vary between 0 and ∞ are allowed as boundary conditions. Experiments were performed using a mode-locked and cavity dumped laser as excitation source and an optical sampling oscilloscope as detector for the transient PL. PLD from GaAs wafers and solar cells was measured with time resolution of down to 20 ps for various intensities of laser excitation and (for the cells) under open-circuit and short-circuit condition. The results are discussed in respect to the theory together with a model of local internal boundary conditions at the junction near the exciting laser beam

  12. New process for high optical quality InAs quantum dots grown on patterned GaAs(001) substrates

    NARCIS (Netherlands)

    Alonso-González, Pablo; González, Luisa; González, Yolanda; Fuster, David; Fernández-Martinez, Ivan; Martin-Sánchez, Javier; Abelmann, Leon

    2007-01-01

    This work presents a selective ultraviolet (UV)-ozone oxidation-chemical etching process that has been used, in combination with laser interference lithography (LIL), for the preparation of GaAs patterned substrates. Further molecular beam epitaxy (MBE) growth of InAs results in ordered InAs/GaAs

  13. Interface structure and composition of MoO3/GaAs(0 0 1)

    Science.gov (United States)

    Sarkar, Anirban; Ashraf, Tanveer; Grafeneder, Wolfgang; Koch, Reinhold

    2018-04-01

    We studied growth, structure, stress, oxidation state as well as surface and interface structure and composition of thermally-evaporated thin MoO3 films on the technologically important III/V-semiconductor substrate GaAs(0 0 1). The MoO3 films grow with Mo in the 6+  oxidation state. The electrical resistance is tunable by the oxygen partial pressure during deposition from transparent insulating to semi-transparant halfmetallic. In the investigated growth temperature range (room temperature to 200 °C) no diffraction spots are detected by x-ray diffraction. However, high resolution transmission electron microscopy reveals the formation of MoO3 nanocrystal grains with diameters of 5–8 nm. At the interface a  ≈3 nm-thick intermediate layer has formed, where the single-crystal lattice of GaAs gradually transforms to the nanocrystalline MoO3 structure. This interpretation is corroborated by our in situ and real-time stress measurements evidencing a two-stage growth process as well as by elemental interface analysis revealing coexistance of Ga, As, Mo, and oxygen in a intermediate layer of 3–4 nm.

  14. Electrode pattern design for GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyang; Yin Jianhua; Li Darang

    2011-01-01

    The sensitivities of betavoltaic batteries and photovoltaic batteries to series and parallel resistance are studied. Based on the study, an electrode pattern design principle of GaAs betavoltaic batteries is proposed. GaAs PIN junctions with and without the proposed electrode pattern are fabricated and measured under the illumination of 63 Ni. Results show that the proposed electrode can reduce the backscattering and shadowing for the beta particles from 63 Ni to increase the GaAs betavoltaic battery short circuit currents effectively but has little impact on the fill factors and ideal factors.

  15. Preparation, structures and magnetic properties of Dy/Zr and Ho/Zr two-layers and multi-layers

    International Nuclear Information System (INIS)

    Luche, M.C.

    1993-01-01

    The first part of the report is devoted to the description of the ultra-vacuum evaporation equipment, to the sample preparation conditions and to the characterization of the two-layers and multi-layers through reflection and glancing incidence X diffraction and transmission electron microscopy. In the second part, the magnetic properties of the samples are studied and relations between properties and structures are examined. 37 fig., 35 ref

  16. Preparation and Investigation of the Microtribological Properties of Graphene Oxide and Graphene Films via Electrostatic Layer-by-Layer Self-Assembly

    Directory of Open Access Journals (Sweden)

    Yongshou Hu

    2015-01-01

    Full Text Available Graphene oxide (GO films with controlled layers, deposited on single-crystal silicon substrates, were prepared by electrostatic self-assembly of negatively charged GO sheets. Afterward, graphene films were prepared by liquid-phase reduction of as-prepared GO films using hydrazine hydrate. The microstructures and microtribological properties of the samples were studied using X-ray photoelectron spectroscopy, Raman spectroscopy, X-ray diffraction, UV-vis absorption spectroscopy, water contact angle measurement, and atomic force microscopy. It is found that, whether GO films or graphene films, the adhesion force and the coefficients of friction both show strong dependence on the number of self-assembled layers, which both allow a downward trend as the number of self-assembled layers increases due to the interlayer sliding and the puckering effect when the tip slipped across the top surface of the films. Moreover, in comparison with the GO films with the same self-assembled layers, the graphene films possess lower adhesion force and coefficient of friction attributed to the difference of surface functional groups.

  17. Electron microscopic and optical investigations of the indium distribution GaAs capped InxGa1-xAs islands

    DEFF Research Database (Denmark)

    Woggon, U.; Langbein, Wolfgang Werner; Hvam, Jørn Märcher

    1997-01-01

    Results from a structural and optical analysis of buried InxGa1-xAs islands carried out after the process of GaAs overgrowth are presented. It is found that during the growth process, the indium concentration profile changes and the thickness of the wetting layer emanating from a Stranski-Krastan...

  18. Comparison of different pathways in metamorphic graded buffers on GaAs substrate: Indium incorporation with surface roughness

    International Nuclear Information System (INIS)

    Kumar, Rahul; Mukhopadhyay, P.; Bag, A.; Jana, S. Kr.; Chakraborty, A.; Das, S.; Mahata, M. Kr.; Biswas, D.

    2015-01-01

    Highlights: • In(Al,Ga)As metamorphic buffers on GaAs have been grown. • Surface morphology, strain relaxation and compositional variation have been studied. • Al containing buffers shows inferior surface roughness. • Surface roughness modulates the indium incorporation rate. - Abstract: In this work, compositionally graded In(Al,Ga)As metamorphic buffers (MBs) on GaAs substrate have been grown by MBE through three different paths. A comparative study has been done to comprehend the effect of underlying MB on the constant composition InAlAs healing layer by analyzing the relaxation behaviour, composition and surface morphology of the grown structures. The compositional variation between the constant composition healing layers on top of graded MB has been observed in all three samples although the growth conditions have been kept same. Indium incorporation rate has been found to be dependent on underlying MB. By combining the result of atomic force microscopy, photo-luminescence and X-ray reciprocal space mapping, varying surface roughness has been proposed as the probable driving force behind different Indium incorporation rate

  19. Comparison of different pathways in metamorphic graded buffers on GaAs substrate: Indium incorporation with surface roughness

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Rahul, E-mail: rkp203@gmail.com [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Mukhopadhyay, P. [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur 721302 (India); Bag, A.; Jana, S. Kr. [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Chakraborty, A. [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India); Das, S.; Mahata, M. Kr. [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Biswas, D. [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India)

    2015-01-01

    Highlights: • In(Al,Ga)As metamorphic buffers on GaAs have been grown. • Surface morphology, strain relaxation and compositional variation have been studied. • Al containing buffers shows inferior surface roughness. • Surface roughness modulates the indium incorporation rate. - Abstract: In this work, compositionally graded In(Al,Ga)As metamorphic buffers (MBs) on GaAs substrate have been grown by MBE through three different paths. A comparative study has been done to comprehend the effect of underlying MB on the constant composition InAlAs healing layer by analyzing the relaxation behaviour, composition and surface morphology of the grown structures. The compositional variation between the constant composition healing layers on top of graded MB has been observed in all three samples although the growth conditions have been kept same. Indium incorporation rate has been found to be dependent on underlying MB. By combining the result of atomic force microscopy, photo-luminescence and X-ray reciprocal space mapping, varying surface roughness has been proposed as the probable driving force behind different Indium incorporation rate.

  20. TiO{sub 2} nanofiber solid-state dye sensitized solar cells with thin TiO{sub 2} hole blocking layer prepared by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jinwei; Chen, Xi; Xu, Weihe [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States); Nam, Chang-Yong, E-mail: cynam@bnl.gov [Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, NY 11973 (United States); Shi, Yong, E-mail: Yong.Shi@stevens.edu [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States)

    2013-06-01

    We incorporated a thin but structurally dense TiO{sub 2} layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO{sub 2} nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO{sub 2} layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO{sub 2} precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO{sub 2} layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO{sub 2} blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO{sub 2} layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime.

  1. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    Energy Technology Data Exchange (ETDEWEB)

    Lavrova, Olga [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials; Balakrishnan, Ganesh [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials

    2017-02-24

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).

  2. The growth of various buffer layer structures and their influence on the quality of (CdHg)Te epilayers

    CSIR Research Space (South Africa)

    Gouws, GJ

    1993-05-01

    Full Text Available The suitability of various buffer layer structures on (100) GaAs for (CdHg)Te growth by organometallic vapour phase epitaxy (OMVPE) was investigated. The preferred epitaxial orientation of (100) GaAs/ (lll) CdTe was found to be unsuitable due...

  3. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in ``avalanche`` mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into ``avalanche`` mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  4. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  5. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1990-01-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential of GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into an avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large are (1 sq cm) and small area (<1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs., 11 figs.

  6. Subnanosecond photoconductive switching in GaAs

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in 'avalanche' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into 'avalanche' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (less than 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300-1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on, and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation.

  7. Panel fabrication utilizing GaAs solar cells

    Science.gov (United States)

    Mardesich, N.

    1984-01-01

    The development of the GaAs solar cells for space applications is described. The activities in the fabrication of GaAs solar panels are outlined. Panels were fabricated while introducing improved quality control, soldering laydown and testing procedures. These panels include LIPS II, San Marco Satellite, and a low concentration panel for Rockwells' evaluation. The panels and their present status are discussed.

  8. Superconductivity and its pressure variation in GaAs

    International Nuclear Information System (INIS)

    Nirmala Louis, C.; Jayam, Sr. Gerardin; Amalraj, A.

    2005-01-01

    The electronic band structure, metallization, phase transition and superconducting transition of gallium arsenide under pressure are studied using TB-LMTO method. Metallization occurs via indirect closing of band gap between Γ and X points. GaAs becomes superconductor under high pressure but before that it undergoes structural phase transition from ZnS phase to NaCl phase. The ground state properties are analyzed by fitting the calculated total energies to the Birch-Murnaghan's equation of state. The superconducting transition temperatures (T c ) obtained as a function of pressure for both the ZnS and NaCl structures and GaAs comes under the class of pressure induced superconductor. When pressure is increased T c increases in both the normal and high pressure structures. The dependence of T c on electron-phonon mass enhancement factor λ shows that GaAs is an electron-phonon-mediated superconductor. Also it is found that GaAs retained in their normal structure under high pressure give appreciably high T c . (author)

  9. Near-field scanning optical microscopy cross-sectional measurements of crystalline GaAs solar cells

    International Nuclear Information System (INIS)

    Herndon, M. K.; Bradford, W. C.; Collins, R. T.; Hawkins, B. E.; Kuech, T. F.; Friedman, D. J.; Kurtz, S. R.

    2000-01-01

    Near-field scanning optical microscopy (NSOM) was used to study cleaved edges of GaAs solar cell devices. Using visible light for excitation, the NSOM acquired spatially resolved traces of the photocurrent response across the various layers in the device. For excitation energies well above the band gap, carrier recombination at the cleaved surface had a strong influence on the photocurrent signal. Decreasing the excitation energy, which increased the optical penetration depth, allowed the effects of surface recombination to be separated from collection by the pn junction. Using this approach, the NSOM measurements directly observed the effects of a buried minority carrier reflector/passivation layer. (c) 2000 American Institute of Physics

  10. Enhanced mixing characteristics of GaAs/3,4,9,10-perylenetetracarboxylic dianhydride Schottky diodes

    International Nuclear Information System (INIS)

    Ginev, G; Riedl, T; Parashkov, R; Johannes, H-H; Kowalsky, W

    2003-01-01

    The influences on the mixing properties of GaAs Schottky diodes containing an organic 3,4,9,10-perylenetetracarboxylic dianhydride layer were investigated. The frequency conversion ability of the devices was determined by considering the I-V characteristics and high frequency reflection parameters by using a mixing technique operated in the microwave range. The results show that an organic layer with 20 nm thickness enhances the diode conversion gain for mixing applications by 3 dB and lowers the device operating bias voltage by 0.1 V. This process is related to the specific properties of the organic semiconductor and resulting organic-inorganic interface

  11. Possibility of a quasi-liquid layer of As on GaAs substrate grown by MBE as observed by enhancement of Ga desorption at high As pressure

    Science.gov (United States)

    Asai, K.; Feng, J. M.; Vaccaro, P. O.; Fujita, K.; Ohachi, T.

    2000-06-01

    The As vapor pressure dependence of the Ga desorption rate during molecular beam epitaxy (MBE) growth on GaAs( n11)A ( n=1-4 hereafter) substrates was studied by photoluminescence (PL) measurements at 12 K for undoped AlGaAs/GaAs asymmetric double quantum wells (ADQWs). Reflection high energy electron diffraction (RHEED) oscillation measurements on a GaAs(100) surface were also used. Two K-cells of As solid sources (corresponding to beam equivalent pressures (BEPs) of 9.0×10 -6 and 4.5×10 -5 Torr) were used to change the As pressure rapidly. The Ga flux and substrate temperature were kept constant at 0.76 ML/s and 12 K, respectively, while the As flux changed from 7.6 (BEP 9.0×10 -6 Torr) to 32 ML/s (4.5×10 -5 Torr). With increasing As pressure, two separated PL peaks for the wide well (WW) of high index substrates were observed. This peak separation is attributed to a reduced well depth from an increasing Ga desorption rate. The energy differences of the PL peak depending on the off-angle from (111)A to (100) plane indicates an orientation-dependent Ga desorption rate. Moreover, amongst all ( n11)A and (100) planes, the Ga desorption rate was smallest from the (111)A surface. The increase of Ga desorption from the surface at high As pressures probably arose from an increasing coverage with a quasi-liquid layer (QLL).

  12. Growth-interruption-induced low-density InAs quantum dots on GaAs

    International Nuclear Information System (INIS)

    Li, L. H.; Alloing, B.; Chauvin, N.; Fiore, A.; Patriarche, G.

    2008-01-01

    We investigate the use of growth interruption to obtain low-density InAs quantum dots (QDs) on GaAs. The process was realized by Ostwald-type ripening of a thin InAs layer. It was found that the optical properties of the QDs as a function of growth interruption strongly depend on InAs growth rate. By using this approach, a low density of QDs (4 dots/μm 2 ) with uniform size distribution was achieved. As compared to QDs grown without growth interruption, a larger energy separation between the QD confined levels was observed, suggesting a situation closer to the ideal zero-dimensional system. Combining with an InGaAs capping layer such as In-rich QDs enable 1.3 μm emission at 4 K

  13. Radioluminescent nuclear batteries with different phosphor layers

    International Nuclear Information System (INIS)

    Hong, Liang; Tang, Xiao-Bin; Xu, Zhi-Heng; Liu, Yun-Peng; Chen, Da

    2014-01-01

    Highlights: • We present and test the electrical properties of the nuclear battery. • The best thickness range for ZnS:Cu phosphor layer is 12–14 mg cm −2 for 147 Pm radioisotope. • The best thickness range for Y 2 O 2 S:Eu phosphor layer is 17–21 mg cm −2147 Pm radioisotope. • The battery with ZnS:Cu phosphor layer can provide higher energy conversion efficiency. • The mechanism affecting the nuclear battery output performance is revealed. - Abstract: A radioluminescent nuclear battery based on the beta radioluminescence of phosphors is presented, and which consists of 147 Pm radioisotope, phosphor layers, and GaAs photovoltaic cell. ZnS:Cu and Y 2 O 2 S:Eu phosphor layers for various thickness were fabricated. To investigate the effect of phosphor layer parameters on the battery, the electrical properties were measured. Results indicate that the optimal thickness ranges for the ZnS:Cu and Y 2 O 2 S:Eu phosphor layers are 12 mg cm −2 to 14 mg cm −2 and 17 mg cm −2 to 21 mg cm −2 , respectively. ZnS:Cu phosphor layer exhibits higher fluorescence efficiency compared with the Y 2 O 2 S:Eu phosphor layer. Its spectrum properly matches the spectral response of GaAs photovoltaic cell. As a result, the battery with ZnS:Cu phosphor layer indicates higher energy conversion efficiency than that with Y 2 O 2 S:Eu phosphor layer. Additionally, the mechanism of the phosphor layer parameters that influence the output performance of the battery is discussed through the Monte Carlo method and transmissivity test

  14. Comparisons of single event vulnerability of GaAs SRAMS

    Science.gov (United States)

    Weatherford, T. R.; Hauser, J. R.; Diehl, S. E.

    1986-12-01

    A GaAs MESFET/JFET model incorporated into SPICE has been used to accurately describe C-EJFET, E/D MESFET and D MESFET/resistor GaAs memory technologies. These cells have been evaluated for critical charges due to gate-to-drain and drain-to-source charge collection. Low gate-to-drain critical charges limit conventional GaAs SRAM soft error rates to approximately 1E-6 errors/bit-day. SEU hardening approaches including decoupling resistors, diodes, and FETs have been investigated. Results predict GaAs RAM cell critical charges can be increased to over 0.1 pC. Soft error rates in such hardened memories may approach 1E-7 errors/bit-day without significantly reducing memory speed. Tradeoffs between hardening level, performance and fabrication complexity are discussed.

  15. Emission of circularly polarized recombination radiation from p-doped GaAs and GaAs0.62P0.38 under the impact of polarized electrons

    International Nuclear Information System (INIS)

    Fromme, B.; Baum, G.; Goeckel, D.; Raith, W.

    1989-01-01

    Circularly polarized light is emitted in radiative transitions of polarized electrons from the conduction to the valence band in GaAs or GaAs 1-x P x crystals. The degree of light polarization is directly related to the polarization of the conduction-band electrons at the instant of recombination and allows conclusions about the depolarization of electrons in the conduction band. The depolarization is caused by spin-relaxation processes. The efficiency of these processes depends on crystal type, crystal temperature, degree of doping, and kinetic energy of the electrons. Highly p-doped GaAs and GaAs 0.62 P 0.38 crystals (N A >1x10 19 atoms/cm 3 ) were bombarded with polarized electrons (initial polarization 38%), and the spectral distribution and the circular polarization of the emitted recombination radiation were measured. The initial kinetic energy of the electrons in the conduction band was varied between 5 and 1000 eV. The measurements of the spectral distribution show that the electrons are thermalized before recombination occurs, independent of their initial energy. An important thermalization process in this energy range is the excitation of crystal electrons by electron-hole pair creation. The circular polarization of the recombination radiation lies below 1% in the whole energy range. It decreases with increasing electron energy but is still of measurable magnitude at 100 eV in the case of GaAs 0.62 P 0.38 . The circular polarization is smaller for GaAs than for GaAs 0.62 P 0.38 , which we attribute to more efficient spin relaxation in GaAs

  16. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko; Haggren, Tuomas; Lipsanen, Harri [Department of Micro- and Nanosciences, Micronova, Aalto University, P.O. Box 13500, FI-00076 (Finland); Naureen, Shagufta; Shahid, Naeem [Research School of Physics & Engineering, Department of Electronic Materials Engineering, Australian National University, Canberra ACT 2601 (Australia); Jiang, Hua; Kauppinen, Esko [Department of Applied Physics and Nanomicroscopy Center, Aalto University, P.O. Box 15100, FI-00076 (Finland); Srinivasan, Anand [School of Information and Communication Technology, KTH Royal Institute of Technology, Electrum 229, S-164 40 Kista (Sweden)

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  17. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Veer Dhaka

    2016-01-01

    Full Text Available Low temperature (∼200 °C grown atomic layer deposition (ALD films of AlN, TiN, Al2O3, GaN, and TiO2 were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP nanowires (NWs, and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL at low temperatures (15K, and the best passivation was achieved with a few monolayer thick (2Å film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL was achieved with a capping of 2nm thick Al2O3. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al2O3 layer increased the carrier decay time from 251 ps (as-etched nanopillars to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al2O3 provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  18. Femtosecond pulsed laser ablation of GaAs

    International Nuclear Information System (INIS)

    Trelenberg, T.W.; Dinh, L.N.; Saw, C.K.; Stuart, B.C.; Balooch, M.

    2004-01-01

    The properties of femtosecond-pulsed laser deposited GaAs nanoclusters were investigated. Nanoclusters of GaAs were produced by laser ablating a single crystal GaAs target in vacuum or in a buffer gas using a Ti-sapphire laser with a 150 fs minimum pulse length. For in-vacuum deposition, X-ray diffraction (XRD), scanning electron microscopy (SEM), and atomic force microscopy (AFM) revealed that the average cluster size was approximately 7 nm for laser pulse lengths between 150 fs and 25 ps. The average cluster size dropped to approximately 1.5 nm at a pulse length of 500 ps. It was also observed that film thickness decreased with increasing laser pulse length. A reflective coating, which accumulated on the laser admission window during ablation, reduced the amount of laser energy reaching the target for subsequent laser shots and developed more rapidly at longer pulse lengths. This observation indicates that non-stoichiometric (metallic) ablatants were produced more readily at longer pulse lengths. The angular distribution of ejected material about the target normal was well fitted to a bi-cosine distribution of cos 47 θ+ cos 4 θ for ablation in vacuum using 150 fs pulses. XPS and AES revealed that the vacuum-deposited films contained excess amorphous Ga or As in addition to the stoichiometric GaAs nanocrystals seen with XRD. However, films containing only the GaAs nanocrystals were produced when ablation was carried out in the presence of a buffer gas with a pressure in excess of 6.67 Pa. At buffer gas pressure on the order of 1 Torr, it was found that the stoichiometry of the ablated target was also preserved. These experiments indicate that both laser pulse length and buffer gas pressure play important roles in the formation of multi-element nanocrystals by laser ablation. The effects of gas pressure on the target's morphology and the size of the GaAs nanocrystals formed will also be discussed

  19. Development of GaAs Detectors for Physics at the LHC

    CERN Multimedia

    Chu, Zhonghua; Krais, R; Rente, C; Syben, O; Tenbusch, F; Toporowsky, M; Xiao, Wenjiang; Cavallini, A; Fiori, F; Edwards, M; Geppert, R; Goppert, R; Haberla, C; Hornung, M F; Irsigler, R; Rogalla, M; Beaumont, S; Raine, C; Skillicorn, I; Margelevicius, J; Meshkinis, S; Smetana, S; Jones, B; Santana, J; Sloan, T; Zdansky, K; Alexiev, D; Donnelly, I J; Canali, C; Chiossi, C; Nava, F; Pavan, P; Kubasta, J; Tomiak, Z; Tchmil, V; Tchountonov, A; Tsioupa, I; Dogru, M; Gray, R; Hou, Yuqian; Manolopoulos, S; Walsh, S; Aizenshtadt, G; Budnitsky, D L; Gossen, A; Khludkov, S; Koretskaya, O B; Okaevitch, L; Potapov, A; Stepanov, V E; Tolbanov, O; Tyagev, A; Matulionis, A; Pozela, J; Kavaliauskiene, G; Kazukauskas, V; Kiliulis, R; Rinkevicius, V; Slenys, S; Storasta, J V

    2002-01-01

    % RD-8 Development of GaAs Detectors for Physics at the LHC \\\\ \\\\The aims of the collaboration are to investigate the available material options, performance and limitations of simple pad, pixel and microstrip GaAs detectors for minimum ionising particles with radiation hardness and speed which are competitive with silicon detectors. This new technology was originally developed within our university laboratories but now benefits from increasing industrial interest and collaboration in detector fabrication. Initial steps have also been taken towards the fabrication of GaAs preamplifiers to match the detectors in radiation hardness. The programme of work aims to construct a demonstration detector module for an LHC forward tracker based on GaAs.

  20. Electrochemically formed passive layers on titanium - preparation and biocompatibility assessment in Hank's balanced salt solution

    International Nuclear Information System (INIS)

    Zhao, B.; Jerkiewicz, G.

    2006-01-01

    Uniform and crack-free passive layers on Ti are prepared using AC voltage in 7.5 wt.% aq. NH 4 ·BF 4 at 25 o C. The passive layers possess coloration (wide spectrum of colors) that depends on the experimental conditions. The biocompatibility of such prepared passive layers is evaluated using corrosion science and analytical techniques. Their corrosion behavior, Ti-ion release, surface roughness, and wettability in Hank's Balanced Salt Solution (HBSS) at 37 o C are the main focus of this work. Open-circuit potential and polarization measurements demonstrate that the corrosion potential (E corr ) of the passive layers becomes more positive than that of the untreated Ti. The value of E corr increases as we increase the AC voltage (VAC). Their corrosion rate (CR) is lower than that of the untreated Ti, and they reduced the Ti-ion release level from 230 to 15 ppb. An increase in the AC voltage frequency (f) leads to a slightly higher level of the Ti-ion release (∼50 ppb). Surface profilometry, optical microscopy, and scanning electron microscopy (SEM) analyses show that prolonged exposure of the passive layers to HBSS results in changes to their surface topography. The passive layers prepared by the application of AC voltage are rougher and more hydrophilic than the untreated Ti. Our methodology of preparing biocompatible passive layers on Ti might be applied as a new surface treatment procedure for Ti implants. (author)

  1. Growth of high purity semiconductor epitaxial layers by liquid phase ...

    Indian Academy of Sciences (India)

    Unknown

    semiconductor materials in high purity form by liquid phase epitaxy (LPE) technique. Various possible sources of impurities in such ... reference to the growth of GaAs layers. The technique of growing very high purity layers ... the inner walls of the gas lines and (e) the containers for storing, handling and cleaning of the mate-.

  2. Photoelectric characteristics of metal-Ga{sub 2}O{sub 3}-GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Kalygina, V. M., E-mail: Kalygina@ngs.ru; Vishnikina, V. V.; Petrova, Yu. S.; Prudaev, I. A.; Yaskevich, T. M. [National Research Tomsk State University (Russian Federation)

    2015-03-15

    We investigate the effect of thermal annealing in argon and of oxygen plasma processing on the photoelectric properties of GaAs-Ga{sub 2}O{sub 3}-Me structures. Gallium-oxide films are fabricated by photostimulated electrochemical oxidation of epitaxial gallium-arsenide layers with n-type conductivity. The as-deposited films were amorphous, but their processing in oxygen plasma led to the nucleation of β-Ga{sub 2}O{sub 3} crystallites. The unannealed films are nontransparent in the visible and ultraviolet (UV) ranges and there is no photocurrent in structures based on them. After annealing at 900°C for 30 min, the gallium-oxide films contain only β-Ga{sub 2}O{sub 3} crystallites and become transparent. Under illumination of the Ga{sub 2}O{sub 3}-GaAs structures with visible light, the photocurrent appears. This effect can be attributed to radiation absorption in GaAs. The photocurrent and its voltage dependence are determined by the time of exposure to the oxygen plasma. In the UV range, the sensitivity of the structures increases with decreasing radiation wavelength, starting at λ ≤ 230 nm. This is due to absorption in the Ga{sub 2}O{sub 3} film. Reduction in the structure sensitivity with an increase in the time of exposure to oxygen plasma can be caused by the incorporation of defects both at the Ga{sub 2}O{sub 3}-GaAs interface and in the Ga{sub 2}O{sub 3} film.

  3. 35-kV GaAs subnanosecond photoconductive switches

    Science.gov (United States)

    Pocha, Michael D.; Druce, Robert L.

    1990-12-01

    High-voltage, fast-pulse generation using GaAs photoconductive switches is investigated. It is possible to to generate 35-kV pulses with risetimes as short as 135 ps using 5-mm gap switches, and electric field hold-off of greater than 100 kV/cm is achieved. An approximately 500-ps FWHM on/off electrical pulse is generated with an amplitude of approximately 3 kV using neutron-irradiated GaAs having short carrier lifetimes. Experimental results are described, and fabrication of switches and the diagnostics used to measure these fast signals are discussed. Experience with the nonlinear lock-on and avalanche modes of operation observed in GaAs is also described.

  4. Atomic hydrogen cleaning of GaAs photocathodes

    International Nuclear Information System (INIS)

    Poelker, M.; Price, J.; Sinclair, C.

    1997-01-01

    It is well known that surface contaminants on semiconductors can be removed when samples are exposed to atomic hydrogen. Atomic H reacts with oxides and carbides on the surface, forming compounds that are liberated and subsequently pumped away. Experiments at Jefferson lab with bulk GaAs in a low-voltage ultra-high vacuum H cleaning chamber have resulted in the production of photocathodes with high photoelectron yield (i.e., quantum efficiency) and long lifetime. A small, portable H cleaning apparatus also has been constructed to successfully clean GaAs samples that are later removed from the vacuum apparatus, transported through air and installed in a high-voltage laser-driven spin-polarized electron source. These results indicate that this method is a versatile and robust alternative to conventional wet chemical etching procedures usually employed to clean bulk GaAs

  5. Buffer layer between a planar optical concentrator and a solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Solano, Manuel E. [Departamento de Ingeniería Matemática and CI" 2 MA, Universidad de Concepción, Concepción, Casilla 160-C (Chile); Barber, Greg D. [Penn State Institute of Energy and the Environment, Pennsylvania State University, University Park, PA 16802 (United States); Department of Chemistry, Pennsylvania State University, University Park, PA 16802 (United States); Lakhtakia, Akhlesh [Department of Engineering Science and Mechanics, Pennsylvania State University, University Park, PA 16802 (United States); Faryad, Muhammad [Department of Physics, Lahore University of Management Sciences, Lahore 54792 (Pakistan); Monk, Peter B. [Department of Mathematical Sciences, University of Delaware, Newark, DE 19716 (United States); Mallouk, Thomas E. [Department of Chemistry, Pennsylvania State University, University Park, PA 16802 (United States)

    2015-09-15

    The effect of inserting a buffer layer between a periodically multilayered isotropic dielectric (PMLID) material acting as a planar optical concentrator and a photovoltaic solar cell was theoretically investigated. The substitution of the photovoltaic material by a cheaper dielectric material in a large area of the structure could reduce the fabrication costs without significantly reducing the efficiency of the solar cell. Both crystalline silicon (c-Si) and gallium arsenide (GaAs) were considered as the photovoltaic material. We found that the buffer layer can act as an antireflection coating at the interface of the PMLID and the photovoltaic materials, and the structure increases the spectrally averaged electron-hole pair density by 36% for c-Si and 38% for GaAs compared to the structure without buffer layer. Numerical evidence indicates that the optimal structure is robust with respect to small changes in the grating profile.

  6. Photoelectric properties of GaAs materials studied by pulsed laser techniques

    International Nuclear Information System (INIS)

    Aguir, Khalifa

    1981-01-01

    This research thesis addressed the photoelectric properties of single-crystal or epitaxial GaAs (N doped or P doped) materials. The objective is to characterize and to improve the electric quality of these materials and associated components, notably for the production of high performance solar cells for ground-based or space-based applications. More particularly, this research aimed at using an excitation by a pulsed laser to analyse recombination and trapping properties of carriers created by photo-excitation, and also at studying the effect of low doses of particle irradiation on the carrier properties. Thus, the author describes conduction characteristics of two different N-type epitaxial layers, discusses carrier excitation and recombination processes which may occur in semiconductors, and proposes an overview of trapping phenomena. Photoelectric properties of the considered epitaxial layers are then studied and discussed

  7. Optical pumping of hot phonons in GaAs

    International Nuclear Information System (INIS)

    Collins, C.L.; Yu, P.Y.

    1982-01-01

    Optical pumping of hot LO phonons in GaAs has been studied as a function of the excitation photon frequency. The experimental results are in good agreement with a model calculation which includes both inter- and intra-valley electron-phonon scatterings. The GAMMA-L and GAMMA-X intervalley electron-phonon interactions in GaAs have been estimated

  8. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  9. Surface-related reduction of photoluminescence in GaAs quantum wires and its recovery by new passivation

    International Nuclear Information System (INIS)

    Shiozaki, Nanako; Anantathanasarn, Sanguan; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    Etched GaAs quantum wires (QWRs) and selectively grown (SG) QWRs were fabricated, and dependence of their photoluminescence (PL) properties on QWR width (W) and QWR distance to surface (d) were investigated. PL intensity greatly reduced with reduction of W and d, due to non-radiative recombination through surface states. Surface passivation by growing a Si interface control layer (Si-ICL) on group III-terminated surfaces greatly improved PL properties

  10. Photoresponsive layer-by-layer ultrathin films prepared from a hyperbranched azobenzene-containing polymeric diazonium salt

    International Nuclear Information System (INIS)

    Li Xinyang; Fan Pengwei; Tuo Xinlin; He Yaning; Wang Xiaogong

    2009-01-01

    In this work, a hyperbranched diazonium salt (HB-DAS), prepared through azo-coupling reaction of an AB 2 monomer (N, N-bis[2-(4-aminobenzoyloxy)ethyl]aniline), was used to prepare self-assembled multilayers and ultrathin films. Multilayer films were fabricated by dipping substrates in HB-DAS and other polyelectrolyte solutions alternately in a layer-by-layer (LBL) manner. It was somewhat surprising to observe that HB-DAS forms multilayer films with either a polyanion (poly(styrenesulfonate sodium salt), PSS) or a polycation (poly(diallyldimethylammonium chloride), PDAC) through alternate deposition in the solutions. Ultrathin films were formed in a sequential growth manner by dipping the substrates in the HB-DAS solution, washing with deionized water and drying repeatedly. In all the processes, the absorbance and thickness of the thin films linearly increase as the number of the dipping cycle increases. HB-DAS/PSS multilayer possesses an obviously larger bilayer thickness and lower density compared with the other two counterparts. The drying step after each deposition is necessary for the HB-DAS ultrathin film growth through the repeated dip-coating of HB-DAS. The multilayer and ultrathin films prepared by the above methods all show high resistance to erosion by organic solvents. The multilayers and ultrathin films exhibit photoinduced dichroism upon the irradiation of a polarized Ar + laser beam

  11. Photoresponsive layer-by-layer ultrathin films prepared from a hyperbranched azobenzene-containing polymeric diazonium salt

    Energy Technology Data Exchange (ETDEWEB)

    Li Xinyang; Fan Pengwei; Tuo Xinlin; He Yaning [Department of Chemical Engineering, Laboratory for Advanced Materials, Tsinghua University, Beijing, 100084 (China); Wang Xiaogong [Department of Chemical Engineering, Laboratory for Advanced Materials, Tsinghua University, Beijing, 100084 (China)], E-mail: wxg-dce@mail.tsinghua.edu.cn

    2009-01-30

    In this work, a hyperbranched diazonium salt (HB-DAS), prepared through azo-coupling reaction of an AB{sub 2} monomer (N, N-bis[2-(4-aminobenzoyloxy)ethyl]aniline), was used to prepare self-assembled multilayers and ultrathin films. Multilayer films were fabricated by dipping substrates in HB-DAS and other polyelectrolyte solutions alternately in a layer-by-layer (LBL) manner. It was somewhat surprising to observe that HB-DAS forms multilayer films with either a polyanion (poly(styrenesulfonate sodium salt), PSS) or a polycation (poly(diallyldimethylammonium chloride), PDAC) through alternate deposition in the solutions. Ultrathin films were formed in a sequential growth manner by dipping the substrates in the HB-DAS solution, washing with deionized water and drying repeatedly. In all the processes, the absorbance and thickness of the thin films linearly increase as the number of the dipping cycle increases. HB-DAS/PSS multilayer possesses an obviously larger bilayer thickness and lower density compared with the other two counterparts. The drying step after each deposition is necessary for the HB-DAS ultrathin film growth through the repeated dip-coating of HB-DAS. The multilayer and ultrathin films prepared by the above methods all show high resistance to erosion by organic solvents. The multilayers and ultrathin films exhibit photoinduced dichroism upon the irradiation of a polarized Ar{sup +} laser beam.

  12. Growth and characteristics of p-type doped GaAs nanowire

    Science.gov (United States)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  13. Resonant metallic nanostructure for enhanced two-photon absorption in a thin GaAs p-i-n diode

    Energy Technology Data Exchange (ETDEWEB)

    Portier, Benjamin; Pardo, Fabrice; Péré-Laperne, Nicolas; Steveler, Emilie; Dupuis, Christophe; Bardou, Nathalie; Lemaître, Aristide; Pelouard, Jean-Luc, E-mail: jean-luc.pelouard@lpn.cnrs.fr [Laboratoire de Photonique et de Nanostructures (LPN-CNRS), Route de Nozay, 91460 Marcoussis (France); Vest, Benjamin; Jaeck, Julien; Rosencher, Emmanuel [ONERA The French Aerospace Lab, Chemin de la Hunière, F-91760 Palaiseau (France); Haïdar, Riad [ONERA The French Aerospace Lab, Chemin de la Hunière, F-91760 Palaiseau (France); École Polytechnique, Département de Physique, F-91128 Palaiseau (France)

    2014-07-07

    Degenerate two-photon absorption (TPA) is investigated in a 186 nm thick gallium arsenide (GaAs) p-i-n diode embedded in a resonant metallic nanostructure. The full device consists in the GaAs layer, a gold subwavelength grating on the illuminated side, and a gold mirror on the opposite side. For TM-polarized light, the structure exhibits a resonance close to 1.47 μm, with a confined electric field in the intrinsic region, far from the metallic interfaces. A 109 times increase in photocurrent compared to a non-resonant device is obtained experimentally, while numerical simulations suggest that both gain in TPA-photocurrent and angular dependence can be further improved. For optimized grating parameters, a maximum gain of 241 is demonstrated numerically and over incidence angle range of (−30°; +30°).

  14. Resonant metallic nanostructure for enhanced two-photon absorption in a thin GaAs p-i-n diode

    International Nuclear Information System (INIS)

    Portier, Benjamin; Pardo, Fabrice; Péré-Laperne, Nicolas; Steveler, Emilie; Dupuis, Christophe; Bardou, Nathalie; Lemaître, Aristide; Pelouard, Jean-Luc; Vest, Benjamin; Jaeck, Julien; Rosencher, Emmanuel; Haïdar, Riad

    2014-01-01

    Degenerate two-photon absorption (TPA) is investigated in a 186 nm thick gallium arsenide (GaAs) p-i-n diode embedded in a resonant metallic nanostructure. The full device consists in the GaAs layer, a gold subwavelength grating on the illuminated side, and a gold mirror on the opposite side. For TM-polarized light, the structure exhibits a resonance close to 1.47 μm, with a confined electric field in the intrinsic region, far from the metallic interfaces. A 109 times increase in photocurrent compared to a non-resonant device is obtained experimentally, while numerical simulations suggest that both gain in TPA-photocurrent and angular dependence can be further improved. For optimized grating parameters, a maximum gain of 241 is demonstrated numerically and over incidence angle range of (−30°; +30°).

  15. Effect of the V{sub As}V{sub Ga} complex defect doping on properties of the semi-insulating GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Deming, E-mail: xautmdm@163.com; Qiao, Hongbo; Shi, Wei; Li, Enling [Department of Applied Physics, Xi' an University of Technology, Xi' an 710054 (China)

    2014-04-21

    The different position V{sub As}V{sub Ga} cluster defect doping in semi-insulating (SI) GaAs has been studied by first-principles calculation based on hybrid density functional theory. Our calculated results show that EL6 level is formed due to the V{sub As}V{sub Ga} complex defect, which is very close to the experimental result. It provides the explanation of the absorption of laser with the wavelength beyond in semi-insulating GaAs. The formation energy of V{sub As}V{sub Ga} complex defect is found to decrease from surface to interior gradually. The conduction band minima and valence band maxima of GaAs (001) surface with the V{sub As}V{sub Ga} complex defect are all located at Γ point, and some defect levels are produced in the forbidden band. In contrast, the conduction band minima and valence band maxima of GaAs with the interior V{sub As}V{sub Ga} complex defect are not located at the same k-point, so it might involve the change of momentum in the electron transition process. The research will help strengthen the understanding of photoelectronic properties and effectively guide the preparation of the SI-GaAs materials.

  16. Preparation and optical characteristics of layered perovskite-type lead-bromide-incorporated azobenzene chromophores

    International Nuclear Information System (INIS)

    Sasai, Ryo; Shinomura, Hisashi

    2013-01-01

    Lead bromide-based layered perovskite powders with azobenzene derivatives were prepared by a homogeneous precipitation method. From the diffuse reflectance (DR) and photoluminescence (PL) spectra of the hybrid powder materials, the present hybrids exhibited sharp absorption and PL peaks originating from excitons produced in the PbBr 4 2− layer. When the present hybrid powder was irradiated with UV light at 350 nm, the absorption band from the trans-azobenzene chromophore, observed around 350 nm, decreased, while the absorption band from the cis-azobenzene chromophore, observed around 450 nm, increased. These results indicate that azobenzene chromophores in the present hybrid materials exhibit reversible photoisomerization. Moreover, it was found that the PL intensity from the exciton also varied due to photoisomerization of the azobenzene chromophores in the present hybrid. Thus, for the first time we succeeded in preparing the azobenzene derivative lead-bromide-based layered perovskite with photochromism before and after UV light irradiation. - Graphical abstract: For the first time, we succeeded in preparing the azobenzene derivative lead-bromide-based layered perovskite with photochromism before and after UV light irradiation. Highlights: ► PbBr-based layered perovskite with azobenezene derivatives could be synthesized by a homogeneous precipitation method. ► Azobenzene derivatives incorporated the present hybrid that exhibited reversible photoisomerization under UV and/or visible light irradiation. ► PL property of the present hybrid could also be varied by photoisomerization.

  17. Dynamic grazing incidence fast atom diffraction during molecular beam epitaxial growth of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Atkinson, P., E-mail: atkinson@insp.jussieu.fr; Eddrief, M. [Sorbonne Universités, UPMC Univ. Paris 06, UMR 7588, INSP, F-75005 Paris (France); CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); Etgens, V. H. [CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); VeDeCom-Université Versailles Saint-Quentin en Yvelines, Versailles (France); Khemliche, H., E-mail: hocine.khemliche@u-psud.fr; Debiossac, M.; Mulier, M.; Lalmi, B.; Roncin, P. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Momeni, A. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Univ. Cergy Pontoise, F-95031 Cergy (France)

    2014-07-14

    A Grazing Incidence Fast Atom Diffraction (GIFAD) system has been mounted on a commercial molecular beam epitaxy chamber and used to monitor GaAs growth in real-time. In contrast to the conventionally used Reflection High Energy Electron Diffraction, all the GIFAD diffraction orders oscillate in phase, with the change in intensity related to diffuse scattering at step edges. We show that the scattered intensity integrated over the Laue circle is a robust method to monitor the periodic change in surface roughness during layer-by-layer growth, with oscillation phase and amplitude independent of incidence angle and crystal orientation. When there is a change in surface reconstruction at the start of growth, GIFAD intensity oscillations show that there is a corresponding delay in the onset of layer-by-layer growth. In addition, changes in the relative intensity of different diffraction orders have been observed during growth showing that GIFAD has the potential to provide insight into the preferential adatom attachment sites on the surface reconstruction during growth.

  18. Model experiments on growth modes and interface electronics of CuInS{sub 2}: Ultrathin epitaxial films on GaAs(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Calvet, Wolfram [Institute for Heterogeneous Materials Systems, Helmholtz-Zentrum Berlin, Hahn-Meitner-Platz 1, 14109, Berlin (Germany); Lewerenz, Hans-Joachim [Joint Center for Artificial Photosynthesis, California Institute of Technology, 1200 E. California Blvd., Pasadena, CA, 91101 (United States); Pettenkofer, Christian [Institute Silicon Photovoltaics, Helmholtz-Zentrum Berlin, Kekulestrasse 5, 12489, Berlin (Germany)

    2014-09-15

    The heterojunction formation between GaAs(100) and CuInS{sub 2} is investigated using ultraviolet photoelectron spectroscopy (UPS), X-ray photoelectron spectroscopy (XPS), and low energy electron diffraction (LEED). Thin layers of CuInS{sub 2} films were deposited in a step-by-step process on wet chemically pre-treated GaAs(100) surfaces by molecular beam epitaxy (MBE) with a total upper thickness limit of the films of 60 nm. The film growth starts from a sulfur-rich GaAs(100) surface. XPS core level analysis of the substrate and film reveals initially a transitory growth regime with the formation of a Ga containing chalcopyrite phase. With increasing film thickness, a change in stoichiometry from Cu-poor to Cu-rich composition is observed. The evaluation of the LEED data shows the occurrence of a recrystallization process where the film orientation follows that of the substrate with the epitaxial relation GaAs{100} parallel CuInS{sub 2}{001}. On the completed junction with a CuInS{sub 2} film thickness of 60 nm, the band discontinuities of the GaAs(100)/CuInS{sub 2} structure measured with XPS and UPS were determined as ΔE{sub V} = 0.1 ± 0.1 eV and ΔE{sub C} = 0.0 ± 0.1 eV, thus showing a type II band alignment. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Terahertz emission from semi-insulating GaAs with octadecanthiol-passivated surface

    International Nuclear Information System (INIS)

    Wu, Xiaojun; Xu, Xinlong; Lu, Xinchao; Wang, Li

    2013-01-01

    Terahertz (THz) emission from octadecanthiol (ODT) passivated (1 0 0) surface of the semi-insulating GaAs was measured, and compared with those from the native oxidized and the fresh surfaces. It was shown that the self-assembled ODT monolayer can stabilize the GaAs (1 0 0) surface, and maintain a THz surface emission 1.4 times as efficient as the native oxidized surface under equal conditions. Surface passivation can reduce the built-in electric field in the depletion region of the GaAs (1 0 0), resulting in the suppression of the THz radiation to a different extent. Oxidation of GaAs surface reduces the THz amplitude mainly in the low-frequency region. These results indicate that GaAs can be made a more effective THz source by choosing molecular passivation technique. Conversely, the THz emission features such as polarity, amplitude, and phase from molecule-passivated surfaces may be used to characterize the attached molecules.

  20. Optical and structural properties of protein/gold hybrid bio-nanofilms prepared by layer-by-layer method.

    Science.gov (United States)

    Pál, Edit; Hornok, Viktória; Sebok, Dániel; Majzik, Andrea; Dékány, Imre

    2010-08-01

    Lysozyme/gold thin layers were prepared by layer-by-layer (LbL) self-assembly method. The build-up of the films was followed by UV-vis-absorbance spectra, quartz crystal microbalance (QCM) and surface plasmon resonance (SPR) techniques. The structural property of films was examined by X-ray diffraction (XRD) measurements, while their morphology was studied by scanning electron microscopy (SEM) and atomic force microscopy (AFM). It was found that gold nanoparticles (NPs) had cubic crystalline structure, the primary particles form aggregates in the thin layer due to the presence of lysozyme molecules. The UV-vis measurements prove change in particle size while the colour of the film changes from wine-red to blue. The layer thickness of films was determined using the above methods and the loose, porous structure of the films explains the difference in the results. The vapour adsorption property of hybrid layers was also studied by QCM using different saturated vapours and ammonia gas. The lysozyme/Au films were most sensitive for ammonia gas among the tested gases/vapours due to the strongest interaction between the functional groups of the protein. Copyright 2010 Elsevier B.V. All rights reserved.

  1. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    Science.gov (United States)

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  2. Two-Photon Pumped Synchronously Mode-Locked Bulk GaAs Laser

    Science.gov (United States)

    Cao, W. L.; Vaucher, A. M.; Ling, J. D.; Lee, C. H.

    1982-04-01

    Pulses 7 picoseconds or less in duration have been generated from a bulk GaAs crystal by a synchronous mode-locking technique. The GaAs crystal was optically pumped by two-photon absorption of the emission from a mode-locked Nd:glass laser. Two-photon absorption as the means of excitation increases the volume of the gain medium by increasing the pene-tration depth of the pump intensity, enabling generation of intra-cavity pulses with peak power in the megawatt range. Tuning of the wavelength of the GaAs emission is achieved by varying the temperature. A tuning range covering 840 nm to 885 nm has been observed over a temperature range from 97°K to 260°K. The intensity of the GaAs emission has also been observed to decrease as the temperature of the crystal is increased.

  3. Preparation and characterization of composite membrane via layer by layer assembly for desalination

    Energy Technology Data Exchange (ETDEWEB)

    Wasim, Maria, E-mail: maria-be24@hotmail.co.uk; Sabir, Aneela; Shafiq, Muhammad; Islam, Atif; Jamil, Tahir

    2017-02-28

    Highlights: • Cellulose acetate based polymer composite membranes were formed via layer by layer assembly for nanofiltration. • Modified membranes shown improved MgSO{sub 4} salt rejection property up to 98.9%. • Surface roughness and antibacterial property of fabricated membrane were successfully studied. - Abstract: Cellulose acetate (CA) incorporated with sepiolite and Polyvinylpyrrolidone (PVP) multilayer composite on Polysulfone (PSf) substrate have been prepared by layer by layer (LbL) assembly method. Fourier TransformInfrared Spectroscopy (FTIR) results verified the hydrogen bonding among the components of composite membrane. Atomic force microscopy (AFM), scanning electron microscope (SEM) was carried out for the determination and elucidation of roughness and morphology of the fabricated membranes on PSf substrate. The AFM and SEM results showed the increased surface roughness with the porous and spongy structure. The performance results verified that the successful incorporation of sepiolite in membranes showed maximum MgSO{sub 4} rejection (98.9%) and flux of 38.7 L/m{sup 2} h. Whereas, in case of NaCl the rejection is 98.3% and flux is 34.9L/m{sup 2} h. The modification was evidenced to be effective in increasing the surface hydrophilicity that led to increase in surface roughness. The chlorine resistivity is improved by dropping the active sites for chlorine attack and protecting the underlying PSf substrate.

  4. Temperature dependent magnetic properties of the GaAs substrate of spin-LEDs

    International Nuclear Information System (INIS)

    Ney, A; Harris, J S Jr; Parkin, S S P

    2006-01-01

    The temperature dependence of the magnetization of a light emitting diode having a ferromagnetic contact (spin-LED) is measured from 2 to 300 K in magnetic fields from 30 to 70 kOe and it is found that it originates from the GaAs substrate. The magnetization of GaAs comprises a van Vleck-type paramagnetic contribution to the susceptibility which scales inversely with the band gap of the semiconductor. Thus, the temperature dependence of the band gap of GaAs accounts for the non-linear temperature dependent magnetic susceptibility of GaAs and thus, at large magnetic fields, for the spin-LED

  5. High-efficiency, thin-film- and concentrator solar cells from GaAs. Final report; High-efficiency, Duennschicht- und Konzentrator-Solarzellen aus Galliumarsenid. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Wettling, W [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Bett, A W [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Pilkuhn, M [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Scholz, F [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Baldus, A [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Blieske, U [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Blug, A [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Duong, T [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Schetter, C [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Stollwerck, G [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Sulima, O [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Wegener, A [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Doernen, A [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Frankowsky, G [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Haase, D [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Hahn, G [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Hangleiter, A [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Stauss, P [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Tsai, C Y [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Zieger, K [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4

    1996-10-01

    Main topic of the project was the manufacturing of highly efficient GaAs-solar cells and the fabrication of concentrator cells. During this process significant progress was made with the material preparation, the solar cell technology and the material and process characterisation. This succeeded in the following efficiencies: - GaAs solar cell made by MOVPE technology: 22.9% on 4 cm{sup 2} (AM1.5g) - GaAs solar cell made by LPE-ER process: 22.8% on 4 cm{sup 2} (AM1.5g) - GaAs concentrator solar cell made by LPE-ER process: 24.9% at C=100xAM1.5d - GaAs concentrator module with fresnel lenses: Module efficiency 20.1% (under irradiation of 793 W/m{sup 2}). Another main focus was the epitaxy of GaAs on Si substrate. Two different approaches were investigated. Together with the cooperation partner ASE, Heilbronn a selective growth technology was developed that led to a decreased crack formation. By a simultanous optimization of the other epitaxy and process parameters, the efficiency was increased up to 16.6% AM0 on 1 cm{sup 2} solar cells. Furthermore a hybrid epitaxy was investigated. A GaAs layer was deposited onto a Si substrate using MOVPE. The solar cell structure was grown with a low temperature LPE. Unexpected difficulties appeared with this process, so that fundamental experiments needed to be done with the LPE technology. So far, no solar cells could be manufactured with this method. In addition, work was performed on GaInP solar cells on GaAs substrate. An efficiency of 15.7% (AM0) was acchieved. (orig.) [Deutsch] Gegenstand des Projekts war die Herstellung hocheffizienter GaAs-Solarzellen und die Fertigung von Konzentratorsolarzellen. Dazu wurden wesentliche Fortschritte bei der Materialpraeparation, der Solarzellentechnologie und der Material- and Prozesscharakterisierung erzielt. Diese Erfolge druecken sich in den erzielten Wirkungsgraden aus: - GaAs-Solarzelle hergestellt mit MOVPE-Technologie: 22.9% auf 4 cm{sup 2} (AM1.5g) - GaAs-Solarzelle hergestellt

  6. Comparison of the reactivity of alkyl and alkyl amine precursors with native oxide GaAs(100) and InAs(100) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Henegar, A.J., E-mail: henegar1@umbc.edu; Gougousi, T., E-mail: gougousi@umbc.edu

    2016-12-30

    Graphical abstract: The interaction of the native oxides of GaAs(100) and InAs(100) with alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition (ALD) of Al{sub 2}O{sub 3} and TiO{sub 2} is compared. Al{sub 2}O{sub 3} if found to be a significantly better barrier against the transport of the surface native oxide during the film deposition as well as after post-deposition heat treatment. This superior blocking ability also limits the removal of the native oxides during the Al{sub 2}O{sub 3} ALD process. - Highlights: • Native oxide diffusion is required for continuous native oxide removal. • The diffusion barrier capabilities of Al{sub 2}O{sub 3} limits native oxide removal during ALD. • Arsenic oxide exhibits higher mobility from InAs compared to GaAs substrates. • Oxygen scavenging from the surface by trimethyl aluminum is confirmed. - Abstract: In this manuscript we compare the interaction of alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition with III-V native oxides. For that purpose we deposit Al{sub 2}O{sub 3} and TiO{sub 2}, using H{sub 2}O as the oxidizer, on GaAs(100) and InAs(100) native oxide surfaces. We find that there are distinct differences in the behavior of the two films. For the Al{sub 2}O{sub 3} ALD very little native oxide removal happens after the first few ALD cycles while the interaction of the alkyl amine precursor for TiO{sub 2} and the native oxides continues well after the surface has been covered with 2 nm of TiO{sub 2}. This difference is traced to the superior properties of Al{sub 2}O{sub 3} as a diffusion barrier. Differences are also found in the behavior of the arsenic oxides of the InAs and GaAs substrates. The arsenic oxides from the InAs surface are found to mix more efficiently in the growing dielectric film than those from the GaAs surface. This difference is attributed to

  7. Comparison of the reactivity of alkyl and alkyl amine precursors with native oxide GaAs(100) and InAs(100) surfaces

    International Nuclear Information System (INIS)

    Henegar, A.J.; Gougousi, T.

    2016-01-01

    Graphical abstract: The interaction of the native oxides of GaAs(100) and InAs(100) with alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition (ALD) of Al_2O_3 and TiO_2 is compared. Al_2O_3 if found to be a significantly better barrier against the transport of the surface native oxide during the film deposition as well as after post-deposition heat treatment. This superior blocking ability also limits the removal of the native oxides during the Al_2O_3 ALD process. - Highlights: • Native oxide diffusion is required for continuous native oxide removal. • The diffusion barrier capabilities of Al_2O_3 limits native oxide removal during ALD. • Arsenic oxide exhibits higher mobility from InAs compared to GaAs substrates. • Oxygen scavenging from the surface by trimethyl aluminum is confirmed. - Abstract: In this manuscript we compare the interaction of alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition with III-V native oxides. For that purpose we deposit Al_2O_3 and TiO_2, using H_2O as the oxidizer, on GaAs(100) and InAs(100) native oxide surfaces. We find that there are distinct differences in the behavior of the two films. For the Al_2O_3 ALD very little native oxide removal happens after the first few ALD cycles while the interaction of the alkyl amine precursor for TiO_2 and the native oxides continues well after the surface has been covered with 2 nm of TiO_2. This difference is traced to the superior properties of Al_2O_3 as a diffusion barrier. Differences are also found in the behavior of the arsenic oxides of the InAs and GaAs substrates. The arsenic oxides from the InAs surface are found to mix more efficiently in the growing dielectric film than those from the GaAs surface. This difference is attributed to lower native oxide stability as well as an initial diffusion path formation by the indium oxides.

  8. Preparation of FeS2 nanotube arrays based on layer-by-layer assembly and their photoelectrochemical properties

    International Nuclear Information System (INIS)

    Wang, Mudan; Xue, Dongpeng; Qin, Haiying; Zhang, Lei; Ling, Guoping; Liu, Jiabin; Fang, Youtong; Meng, Liang

    2016-01-01

    Graphical abstract: - Highlights: • Amorphous Fe 2 O 3 nanotube arrays are prepared via layer-by-layer assembly. • Pyrite FeS 2 nanotube arrays are obtained by sulfurizing Fe 2 O 3 nanotube arrays. • Various electrochemical properties are characterized. • A comparison between FeS 2 nanotube and nanoparticle films is conducted. • Nanotube arrays show enhanced corrosion resistance and photoresponse. - Abstract: Well-aligned one-dimensional iron pyrite FeS 2 nanotube arrays have been fabricated via layer-by-layer assembly technique on ZnO nanorod arrays in combination with subsequent sulfurization. The as-prepared products were confirmed to be pure phase pyrite FeS 2 with Fe/S ratio approaching 1/2. Typical nanotube structure was observed for the FeS 2 with average outer diameter of 150 ± 20 nm and wall thickness of 50 ± 5 nm. Comparisons of photoelectrochemical properties between FeS 2 nanotubes and FeS 2 nanoparticles were conducted. Tafel polarization curves and electrochemical impedance spectroscopy indicate that FeS 2 nanotubes possess high corrosion resistance and electrochemical stability. The J–V curves show that the photocurrent at 1.0 V for FeS 2 nanotubes is more than five times larger than that of FeS 2 nanoparticles, indicating enhanced photoresponse and rapid charge transfer performances of 1-D nanotube structure. The enhanced photoelectrochemical properties mainly benefit from the unique architecture features of nanotube array structure.

  9. Supported Zeolite Beta Layers via an Organic Template-Free Preparation Route

    Directory of Open Access Journals (Sweden)

    Stephanie Reuss

    2018-01-01

    Full Text Available Layers of high silica zeolites, synthesized with an organic structure directing agent (OSDA and grown onto porous support structures, frequently suffer from the thermal stress during the removal of OSDA via the calcination process. The different thermal expansion coefficients of the zeolite and the support material, especially when stainless steel is used as a support, causes enormous tension resulting in defect formation in the zeolite layer. However, the calcination is an easy procedure to decompose the OSDA in the pore system of the zeolite. Recently, methods to synthesize zeolite beta without the use of an organic structure directing agent have been described. In the present study, a seed-directed synthesis is used to prepare OSDA-free zeolite beta layers on stainless steel supports via an in situ preparation route. For the application as membrane, a porous stainless steel support has been chosen. The beta/stainless steel composites are characterized by X-ray diffraction (XRD and scanning electron microscopy (SEM. To prove its possible application as a membrane, the beta/stainless steel composites were also tested by single gas permeances of H2, He, CO2, N2, and CH4.

  10. Supported Zeolite Beta Layers via an Organic Template-Free Preparation Route.

    Science.gov (United States)

    Reuss, Stephanie; Sanwald, Dirk; Schülein, Marion; Schwieger, Wilhelm; Al-Thabaiti, Shaeel A; Mokhtar, Mohamed; Basahel, Sulaiman N

    2018-01-21

    Layers of high silica zeolites, synthesized with an organic structure directing agent (OSDA) and grown onto porous support structures, frequently suffer from the thermal stress during the removal of OSDA via the calcination process. The different thermal expansion coefficients of the zeolite and the support material, especially when stainless steel is used as a support, causes enormous tension resulting in defect formation in the zeolite layer. However, the calcination is an easy procedure to decompose the OSDA in the pore system of the zeolite. Recently, methods to synthesize zeolite beta without the use of an organic structure directing agent have been described. In the present study, a seed-directed synthesis is used to prepare OSDA-free zeolite beta layers on stainless steel supports via an in situ preparation route. For the application as membrane, a porous stainless steel support has been chosen. The beta/stainless steel composites are characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM). To prove its possible application as a membrane, the beta/stainless steel composites were also tested by single gas permeances of H₂, He, CO₂, N₂, and CH₄.

  11. Photon counting microstrip X-ray detectors with GaAs sensors

    Science.gov (United States)

    Ruat, M.; Andrä, M.; Bergamaschi, A.; Barten, R.; Brückner, M.; Dinapoli, R.; Fröjdh, E.; Greiffenberg, D.; Lopez-Cuenca, C.; Lozinskaya, A. D.; Mezza, D.; Mozzanica, A.; Novikov, V. A.; Ramilli, M.; Redford, S.; Ruder, C.; Schmitt, B.; Shi, X.; Thattil, D.; Tinti, G.; Tolbanov, O. P.; Tyazhev, A.; Vetter, S.; Zarubin, A. N.; Zhang, J.

    2018-01-01

    High-Z sensors are increasingly used to overcome the poor efficiency of Si sensors above 15 keV, and further extend the energy range of synchrotron and FEL experiments. Detector-grade GaAs sensors of 500 μm thickness offer 98% absorption efficiency at 30 keV and 50% at 50 keV . In this work we assess the usability of GaAs sensors in combination with the MYTHEN photon-counting microstrip readout chip developed at PSI. Different strip length and pitch are compared, and the detector performance is evaluated in regard of the sensor material properties. Despite increased leakage current and noise, photon-counting strips mounted with GaAs sensors can be used with photons of energy as low as 5 keV, and exhibit excellent linearity with energy. The charge sharing is doubled as compared to silicon strips, due to the high diffusion coefficient of electrons in GaAs.

  12. Substrate Misorientation Effects On (A1,Ga)As And (Al,Ga)As/GaAs Structures Grown By Molecular Beam Epitaxy

    Science.gov (United States)

    Tsui, Raymond K.; Kramer, Gary D.; Curless, J. A.; Peffley, Marilyn S.

    1987-04-01

    (Al,Ga)As layers have rough surface morphologies when deposited under certain growth conditions in molecular beam epitaxy (MBE). This leads to poor interfaces between (A1,Ga)- As and GaAs and degraded performance in heterojunction devices. We have observed that by misorienting the substrate slightly from (100), in a manner specific to the growth conditions, smooth (Al,Ga)As layers 3-4 μm thick can be grown at a rate of ≍ 1 μm/h for various AlAs mole fractions, x. Similar conditions for nominal (100) result in a rough, textured morphology. Experiments were carried out using flat substrates of specific misorientations as well as lens-shaped substrates. The lenticular substrates allowed all orientations within 14° of (100) [i.e., out to (511)] to be evaluated in one growth run. Deposition conditions that were varied included x, substrate temperature, and V/III beam flux ratio. Smooth layers obtained using optimal misorientations showed superior optical characteris-tics as determined from low-temperature photoluminescence (PL) measurements. The 4.2K PL spectra of smooth layers exhibit well-resolved exciton-related peaks, and do not have the deeper-level defect-related peaks observed in the spectra of rough layers. Single quantum well structures with A10.3Ga0.7As barriers and a 100 A-wide GaAs well deposited on mis-oriented substrates also have superior optical properties compared to a structure grown on nominal (100). Such findings may have significant implications for the performance of heterojunction device structures grown by MBE.

  13. Electrochemical performance of Sn-Sb-Cu film anodes prepared by layer-by-layer electrodeposition

    International Nuclear Information System (INIS)

    Jiang Qianlei; Xue Ruisheng; Jia Mengqiu

    2012-01-01

    A novel layer-by-layer electrodeposition and heat-treatment approach was attempted to obtain Sn-Sb-Cu film anode for lithium ion batteries. The preparation of Sn-Sb-Cu anodes started with galvanostatic electrochemically depositing antimony and tin sequentially on the substrate of copper foil collector. Sn-Sb and Cu-Sb alloys were formed when heated. The SEM analysis showed that the crystalline grains become bigger and the surface of the Sn-Sb-Cu anode becomes more denser after annealing. The energy dispersive spectroscopy (EDS) and X-ray diffraction (XRD) analysis showed the antimony, tin and copper were alloyed to form SnSb and Cu 2 Sb after heat treatment. The X-ray photoelectron spectroscopy (XPS) analysis showed the surface of the Sn-Sb-Cu electrode was covered by a thin oxide layer. Electrochemical measurements showed that the annealed Sn-Sb-Cu anode has high reversible capacity and good capacity retention. It exhibited a reversible capacity of about 962 mAh/g in the initial cycle, which still remained 715 mAh/g after 30 cycles.

  14. Linearity of photoconductive GaAs detectors to pulsed electrons

    International Nuclear Information System (INIS)

    Ziegler, L.H.

    1995-01-01

    The response of neutron damaged GaAs photoconductor detectors to intense, fast (50 psec fwhm) pulses of 16 MeV electrons has been measured. Detectors made from neutron damaged GaAs are known to have reduced gain, but significantly improved bandwidth. An empirical relationship between the observed signal and the incident electron fluence has been determined

  15. Intense electron beams from GaAs photocathodes as a tool for molecular and atomic physics

    International Nuclear Information System (INIS)

    Krantz, Claude

    2009-01-01

    We present cesium-coated GaAs photocathodes as reliable sources of intense, quasi-monoenergetic electron beams in atomic and molecular physics experiments. In long-time operation of the Electron Target of the ion storage ring TSR in Heidelberg, cold electron beams could be realised at steadily improving intensity and reliability. Minimisation of processes degrading the quantum efficiency allowed to increase the extractable current to more than 1mA at usable cathode lifetimes of 24 h or more. The benefits of the cold electron beam with respect to its application to electron cooling and electron-ion recombination experiments are discussed. Benchmark experiments demonstrate the superior cooling force and energy resolution of the photoelectron beam compared to its thermionic counterparts. The long period of operation allowed to study the long-time behaviour of the GaAs samples during multiple usage cycles at the Electron Target and repeated in-vacuum surface cleaning by atomic hydrogen exposure. An electron emission spectroscopy setup has been implemented at the photocathode preparation chamber of the Electron Target. Among others, this new facility opened the way to a novel application of GaAs (Cs) photocathodes as robust, ultraviolet-driven electron emitters. Based on this principle, a prototype of an electron gun, designed for implementation at the HITRAP setup at GSI, has been built and taken into operation successfully. (orig.)

  16. Intense electron beams from GaAs photocathodes as a tool for molecular and atomic physics

    Energy Technology Data Exchange (ETDEWEB)

    Krantz, Claude

    2009-10-28

    We present cesium-coated GaAs photocathodes as reliable sources of intense, quasi-monoenergetic electron beams in atomic and molecular physics experiments. In long-time operation of the Electron Target of the ion storage ring TSR in Heidelberg, cold electron beams could be realised at steadily improving intensity and reliability. Minimisation of processes degrading the quantum efficiency allowed to increase the extractable current to more than 1mA at usable cathode lifetimes of 24 h or more. The benefits of the cold electron beam with respect to its application to electron cooling and electron-ion recombination experiments are discussed. Benchmark experiments demonstrate the superior cooling force and energy resolution of the photoelectron beam compared to its thermionic counterparts. The long period of operation allowed to study the long-time behaviour of the GaAs samples during multiple usage cycles at the Electron Target and repeated in-vacuum surface cleaning by atomic hydrogen exposure. An electron emission spectroscopy setup has been implemented at the photocathode preparation chamber of the Electron Target. Among others, this new facility opened the way to a novel application of GaAs (Cs) photocathodes as robust, ultraviolet-driven electron emitters. Based on this principle, a prototype of an electron gun, designed for implementation at the HITRAP setup at GSI, has been built and taken into operation successfully. (orig.)

  17. Improvements of MCT MBE Growth on GaAs

    Science.gov (United States)

    Ziegler, J.; Wenisch, J.; Breiter, R.; Eich, D.; Figgemeier, H.; Fries, P.; Lutz, H.; Wollrab, R.

    2014-08-01

    In recent years, continuous progress has been published in the development of HgCdTe (MCT) infrared (IR) focal plane arrays (FPAs) fabricated by molecular beam epitaxy on GaAs substrates. In this publication, further characterization of the state-of-the art 1280 × 1024 pixel, 15- μm pitch detector fabricated from this material in both the mid-wavelength (MWIR) and long-wavelength (LWIR) IR region will be presented. For MWIR FPAs, the percentage of defective pixel remains below 0.5% up to an operating temperature ( T OP) of around 100 K. For the LWIR FPA, an operability of 99.25% was achieved for a T OP of 76 K. Additionally, the beneficial effect of the inclusion of MCT layers with a graded composition region was investigated and demonstrated on current-voltage ( IV) characteristics on test diodes in a MWIR FPA.

  18. Submicron resolution X-ray diffraction from periodically patterned GaAs nanorods grown onto Ge[111

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Biermanns, Andreas; Pietsch, Ullrich [Solid State Physics, Siegen University (Germany); Grenzer, Joerg [FZ-Dresden Rossendorf, Dresden (Germany); Paetzelt, Hendrik; Gottschalch, Volker; Bauer, Jens [Solid State Chemistry, University of Leipzig (Germany)

    2009-08-15

    We present high-resolution X-ray diffraction pattern of periodic GaAs nanorods (NRs) ensembles and individual GaAs NRs grown catalyst-free throughout a pre-patterned amorphous SiN{sub x} mask onto Ge[111]B surfaces by selective-area MOVPE method. To the best of our knowledge this is the first report about nano-structure X-ray characterization growth on non-polar substrate. The experiment has been performed at home laboratory and using synchrotron radiation using a micro-sized beam prepared by compound refractive lenses. Due to the non-polar character of the substrate the shapes of NRs appear not uniform and vary between deformed hexagonal and trigonal in symmetry. Because the average diameter of NRs equals the experimental resolution certain cuts through slightly inclined edges or corners of individual NRs with lateral size of about 225 nm could be selected using spatially resolved reciprocal space mapping. (Abstract Copyright [2009], Wiley Periodicals, Inc.)

  19. Performance of a GaAs electron source

    International Nuclear Information System (INIS)

    Calabrese, R.; Ciullo, G.; Della Mea, G.; Egeni, G.P.; Guidi, V.; Lamanna, G.; Lenisa, P.; Maciga, B.; Rigato, V.; Rudello, V.; Tecchio, L.; Yang, B.; Zandolin, S.

    1994-01-01

    We discuss the performance improvement of a GaAs electron source. High quantum yield (14%) and constant current extraction (1 mA for more than four weeks) are achieved after a little initial decay. These parameters meet the requirements for application of the GaAs photocathode as a source for electron cooling devices. We also present the preliminary results of a surface analysis experiment, carried out by means of the RBS technique to check the hypothesis of cesium evaporation from the surface when the photocathode is in operation. (orig.)

  20. Preparation and tribological behavior of Cu-nanoparticle polyelectrolyte multilayers obtained by spin-assisted layer-by-layer assembly

    International Nuclear Information System (INIS)

    Yang Guangbin; Geng Zhengang; Ma Hongxia; Wu Zhishen; Zhang Pingyu

    2009-01-01

    Polyelectrolyte multilayers (PEMs) fabricated by spin-assisted layer-by-layer assembly technique were used as nanoreactors for in-situ synthesis Cu nanoparticles. Chemical reaction within the PEMs was initiated by a reaction cycle in which Cu 2+ was absorbed into the polymer-coated substrate and then reduced in NaBH 4 solutions. Repeating the above process resulted in an increase in density of the nanoparticles and further growth in the dimension of the particles initially formed. So, different Cu-nanoparticle polyelectrolyte multilayers were formed in the process. The friction and wear properties of Cu-nanoparticle PEMs formed by different reaction cycles were investigated on a microtribometer against a stainless steel ball. The PEMs reinforced with Cu nanoparticles, prepared under the best preparation conditions, possess good tribological behavior, because of the weakened adhesion between the PEMs and the substrate and decreased mobility of the polymeric chains in the presence of excessive Cu nanoparticles generated at larger reaction cycles

  1. Sliding wear resistance of metal matrix composite layers prepared by high power laser

    NARCIS (Netherlands)

    Ocelik, Vaclav; Matthews, D; de Hosson, Jeff

    2005-01-01

    Two laser surface engineering techniques, Laser Cladding and Laser Melt Injection (LMI), were used to prepare three different metal matrix composite layers with a thickness of about 1 mm and approximately 25-30% volume fraction of ceramic particles. SiC/Al-8Si, WC/Ti-6Al-4V and TiB2/Ti-6Al-4V layers

  2. Defects introduced by Ar plasma exposure in GaAs probed by monoenergetic positron beam

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Kawano, Takao; Wada, Kazumi; Nakanishi, Hideo

    1994-10-01

    Ar-plasma-induced defects in n-type GaAs were probed by a monoenergetic positron beam. The depth distribution of the defects was obtained from measurements of Doppler broadening profiles of the annihilation radiation as a function of incident positron energy. The damaged layer induced by the exposure was found to extend far beyond the stopping range of Ar ions, and the dominant defects were identified as interstitial-type defects. After 100degC annealing, such defects were annealed. Instead, vacancy-type defects were found to be the dominant defects in the subsurface region. (author).

  3. Molecular beam epitaxy of GaAs nanowires and their sustainability for optoelectronic applications. Comparing Au- and self-assisted growth methods

    Energy Technology Data Exchange (ETDEWEB)

    Breuer, Steffen

    2011-09-28

    In this work the synthesis of GaAs nanowires by molecular beam epitaxy (MBE) using the vapour-liquid-solid (VLS) mechanism is investigated. A comparison between Au- and self-assisted VLS growth is at the centre of this thesis. While the Au-assisted method is established as a versatile tool for nanowire growth, the recently developed self-assisted variation results from the exchange of Au by Ga droplets and thus eliminates any possibility of Au incorporation. By both methods, we achieve nanowires with epitaxial alignment to the Si(111) substrates. Caused by differences during nanowire nucleation, a parasitic planar layer grows between the nanowires by the Au-assisted method, but can be avoided by the self-assisted method. Au-assisted nanowires grow predominantly in the metastable wurtzite crystal structure, while their self-assisted counterparts have the zincblende structure. All GaAs nanowires are fully relaxed and the strain arising from the lattice mismatch between GaAs and Si of 4.1 % is accommodated by misfit dislocations at the interface. Self-assisted GaAs nanowires are generally found to have vertical and non-polar side facets, while tilted and polar nanofacets were described for Au-assisted GaAs nanowires. We employ VLS nucleation theory to understand the effect of the droplet material on the lateral facets. Optoelectronic applications require long minority carrier lifetimes at room temperature. We fabricate GaAs/(Al,Ga)As core-shell nanowires and analyse them by transient photoluminescence (PL) spectroscopy. The results are 2.5 ns for the self-assisted nanowires as well as 9 ps for the Au-assisted nanowires. By temperature-dependent PL measurements we find a characteristic activation energy of 77 meV that is present only in the Au-assisted nanowires. We conclude that most likely Au is incorporated from the droplets into the GaAs nanowires and acts as a deep, non-radiative recombination centre.

  4. Epitaxial grown InP quantum dots on a GaAs buffer realized on GaP/Si(001) templates

    Energy Technology Data Exchange (ETDEWEB)

    Hartwig, Walter; Wiesner, Michael; Koroknay, Elisabeth; Paul, Matthias; Jetter, Michael; Michler, Peter [Institut fuer Halbleiteroptik und Funktionelle Grenzflaechen und Research Center SCoPE, Universitaet Stuttgart, Allmandring 3, 70569 Stuttgart (Germany)

    2013-07-01

    The increasing necessity of higher computational capacity and security in the information technology requires originally technical solutions, which today's standard microelectronics, as their technical limits are close, can't provide anymore. One way out offers the integration of III-V semiconductor photonics with low-dimensional structures in current CMOS technology, enabling on-chip quantum optical applications, like quantum cryptography or quantum computing. Challenges in the heteroepitaxy of III-V semiconductors and silicon are the mismatches in material properties of the both systems. Defects, like dislocations and anti-phase domains (APDs), inhibit the monolithic integration of III-V semiconductor on Si. We present the growth of a thin GaAs buffer on CMOS-compatible oriented Si(001) by metal-organic vapor-phase epitaxy. To circumvent the forming APDs in the GaAs buffer a GaP on Si template (provided by NAsP{sub III/V} GmbH) was used. The dislocation density was then reduced by integrating several layers of InAs quantum dots in the GaAs buffer to bend the threading misfit dislocations. On top of this structure we grew InP quantum dots embedded in a Al{sub x}Ga{sub 1-x}InP composition and investigated the photoluminescence properties.

  5. MAPLE prepared heterostructures with oligoazomethine: Fullerene derivative mixed layer for photovoltaic applications

    Science.gov (United States)

    Stanculescu, A.; Rasoga, O.; Socol, M.; Vacareanu, L.; Grigoras, M.; Socol, G.; Stanculescu, F.; Breazu, C.; Matei, E.; Preda, N.; Girtan, M.

    2017-09-01

    Mixed layers of azomethine oligomers containing 2,5-diamino-3,4-dicyanothiophene as central unit and triphenylamine (LV5) or carbazol (LV4) at both ends as donor and fullerene derivative, [6,6]-phenyl-C61 butyric acid butyl ester ([C60]PCB-C4) as acceptor, have been prepared by Matrix Assisted Pulsed Laser Evaporation (MAPLE) on glass/ITO and Si substrates. The effect of weight ratio between donor and acceptor (1:1; 1:2) and solvent type (chloroform, dimethylsulphoxide) on the optical (UV-vis transmission/absorption, photoluminescence) and morphological properties of LV4 (LV5): [C60]PCB-C4 mixed layers has been evidenced. Dark and under illumination I-V characteristics of the heterostructures realized with these mixed layers sandwiched between ITO and Al electrodes have revealed a solar cell behavior for the heterostructures prepared with both LV4 and LV5 using chloroform as matrix solvent. The solar cell structure realized with oligomer LV5, glass/ITO/LV5: [C60]PCB-C4 (1:1) has shown the best parameters.

  6. Lightweight, Light-Trapped, Thin GaAs Solar Cells for Spacecraft Applications.

    Science.gov (United States)

    1995-10-05

    improve the efficiency of this type of cell. 2 The high efficiency and light weight of the cover glass supported GaAs solar cell can have a significant...is a 3-mil cover glass and 1-mil silicone adhesive on the front surface of the GaAs solar cell. Power Output 3000 400 -{ 2400 { N 300 S18200 W/m2...the ultra-thin, light-trapped GaAs solar ceill 3. Incorporate light trapping. 0 external quantum efficiency at 850 nm increased by 5.2% 4. Develop

  7. The GaAs electron source: simulations and experiments

    International Nuclear Information System (INIS)

    Aleksandrov, A.V.; Ciullo, G.; Guidi, V.; Kudelainen, V.I.; Lamanna, G.; Lenisa, P.; Logachov, P.V.; Maciga, B.; Novokhatsky, A.; Tecchio, L.; Yang, B.

    1994-01-01

    In this paper we calculate electron emission from GaAs photocathodes using the Monte Carlo technique. Typical data of energy spread of the electron beam are presented. For photoenergy ranging from 1.6 to 2.1 eV, the calculated longitudinal and transverse energy spreads are 14.4-78 and 4-14.7 meV respectively. Temporal measurement of GaAs photocathodes has been performed. The preliminary results show that the temporal response is faster than 200 ps. (orig.)

  8. 35-kV GaAs subnanosecond photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Pocha, M.D.; Druce, R.L. (Lawrence Livermore National Lab., CA (United States))

    1990-12-01

    Photoconductive switches are one of the few devices that allow the generation of high-voltage electrical pulses with subnanosecond rise time. The authors are exploring high-voltage, fast-pulse generation using GaAs photoconductive switches. They have been able to generate 35-kV pulses with rise times as short as 135 ps using 5-mm gap switches and have achieved electric field hold-off of greater than 100 kV/cm. They have also been able to generate an approximately 500-ps FWHM on/off electrical pulse with an amplitude of approximately 3 kV using neutron-irradiated GaAs having short carrier life times. This paper describes the experimental results and discusses fabrication of switches and the diagnostics used to measure these fast signals. They also describe the experience with the nonlinear lock-on and avalanche modes of operation observed in GaAs.

  9. Preparation of n- and p-InP films by PH{sub 3} treatment of electrodeposited In layers

    Energy Technology Data Exchange (ETDEWEB)

    Cattarin, S.; Musiani, M. [C.N.R., Padova (Italy). Istituto di Polarografia ed Elettrochimica Preparativa; Casellato, U.; Rossetto, G. [C.N.R., Padova (Italy). Istituto di Chimica e Tecnologie Inorganische e dei Materiali Avanzati; Razzini, G. [Politecnico di Milano (Italy). Dipt. di Chimica Fisica Applicata; Decker, F.; Scrosati, B. [Univ. La Sapienza, Roma (Italy). Dipt. di Chimica

    1995-04-01

    InP is among the few semiconducting materials with the potential for excellence in several applications, including solar energy conversion. Thin InP layers have been prepared by electrodeposition of In films on Ti substrates (ca. 2 mg/cm{sup 2} of In) and their annealing in PH{sub 3} flow. The obtained material, characterized by scanning electron microscopy-energy dispersive X-ray analysis and X-ray diffraction techniques, shows uneven substrate coverage but good crystallinity. Photoelectrochemical investigations in acidic polyiodide medium show significant n-type photoactivity for the samples prepared from a nominally pure In layer. A p-type photoactivity is obtained depositing a small amount of Zn on top of the In layer prior to annealing. Results are compared with those obtained preparing InP layers on Ti by a conventional metallorganic chemical vapor deposition technique.

  10. Intrinsic radiation tolerance of ultra-thin GaAs solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hirst, L. C.; Yakes, M. K.; Warner, J. H.; Schmieder, K. J.; Walters, R. J.; Jenkins, P. P. [U.S. Naval Research Laboratory, 4555 Overlook Ave. SW., Washington, D.C. 20375 (United States); Bennett, M. F. [Sotera Defense Solutions, Inc., Annapolis Junction, Maryland 20701-1067 (United States)

    2016-07-18

    Radiation tolerance is a critical performance criterion of photovoltaic devices for space power applications. In this paper we demonstrate the intrinsic radiation tolerance of an ultra-thin solar cell geometry. Device characteristics of GaAs solar cells with absorber layer thicknesses 80 nm and 800 nm were compared before and after 3 MeV proton irradiation. Both cells showed a similar degradation in V{sub oc} with increasing fluence; however, the 80 nm cell showed no degradation in I{sub sc} for fluences up to 10{sup 14 }p{sup +} cm{sup −2}. For the same exposure, the I{sub sc} of the 800 nm cell had severely degraded leaving a remaining factor of 0.26.

  11. Strong composite films with layered structures prepared by casting silk fibroin-graphene oxide hydrogels

    Science.gov (United States)

    Huang, Liang; Li, Chun; Yuan, Wenjing; Shi, Gaoquan

    2013-04-01

    Composite films of graphene oxide (GO) sheets and silk fibroin (SF) with layered structures have been prepared by facile solution casting of SF-GO hydrogels. The as-prepared composite film containing 15% (by weight, wt%) of SF shows a high tensile strength of 221 +/- 16 MPa and a failure strain of 1.8 +/- 0.4%, which partially surpass those of natural nacre. Particularly, this composite film also has a high modulus of 17.2 +/- 1.9 GPa. The high mechanical properties of this composite film can be attributed to its high content of GO (85 wt%), compact layered structure and the strong hydrogen bonding interaction between SF chains and GO sheets.Composite films of graphene oxide (GO) sheets and silk fibroin (SF) with layered structures have been prepared by facile solution casting of SF-GO hydrogels. The as-prepared composite film containing 15% (by weight, wt%) of SF shows a high tensile strength of 221 +/- 16 MPa and a failure strain of 1.8 +/- 0.4%, which partially surpass those of natural nacre. Particularly, this composite film also has a high modulus of 17.2 +/- 1.9 GPa. The high mechanical properties of this composite film can be attributed to its high content of GO (85 wt%), compact layered structure and the strong hydrogen bonding interaction between SF chains and GO sheets. Electronic supplementary information (ESI) available: XPS spectrum of the SF-GO hybrid film, SEM images of lyophilized GO dispersion and the failure surface of GO film. See DOI: 10.1039/c3nr00196b

  12. Electrical performance of conducting polymer (SPAN) grown on GaAs with different substrate orientations

    Science.gov (United States)

    Jameel, D. A.; Aziz, M.; Felix, J. F.; Al Saqri, N.; Taylor, D.; Albalawi, H.; Alghamdi, H.; Al Mashary, F.; Henini, M.

    2016-11-01

    This article reports the effect of n-type GaAs substrate orientation, namely (100), (311)A and (311)B, on the electrical properties of sulfonated polyaniline (SPAN)/GaAs heterojunction devices. In addition, the inhomogeneity of the interface between various GaAs substrates and SPAN is investigated in terms of barrier height and ideality factor by performing I-V measurements at different temperatures (20-420 K). The I-V results indicate that the value of the rectification ratio (IF/IR) at 0.5 V is higher for SPAN/(311)B GaAs samples than for SPAN/(100) GaAs and SPAN/(311)A GaAs samples. Moreover, the barrier height decreases and the ideality factor increases with decreasing temperature for all three heterostructure devices. The high value of mean barrier Φbarb of SPAN/(311)B (calculated from the plots of Φb 0 as a function of 1/2kT) confirms that the GaAs substrate orientation results in an increase of barrier homogeneities. Furthermore, the C-V characteristics were obtained at room temperature. The C-V measurements showed that the carrier distributions at the interface and away from the interface in high index (311) GaAs orientations are more uniform and have better barrier homogeneity than those grown on the conventional (100) GaAs substrates.

  13. Atomic-scale structure of irradiated GaN compared to amorphised GaP and GaAs

    International Nuclear Information System (INIS)

    Ridgway, M.C.; Everett, S.E.; Glover, C.J.; Kluth, S.M.; Kluth, P.; Johannessen, B.; Hussain, Z.S.; Llewellyn, D.J.; Foran, G.J.; Azevedo, G. de M.

    2006-01-01

    We have compared the atomic-scale structure of ion irradiated GaN to that of amorphised GaP and GaAs. While continuous and homogenous amorphised layers were easily achieved in GaP and GaAs, ion irradiation of GaN yielded both structural and chemical inhomogeneities. Transmission electron microscopy revealed GaN crystallites and N 2 bubbles were interspersed within an amorphous GaN matrix. The crystallite orientation was random relative to the unirradiated epitaxial structure, suggesting their formation was irradiation-induced, while the crystallite fraction was approximately constant for all ion fluences beyond the amorphisation threshold, consistent with a balance between amorphisation and recrystallisation processes. Extended X-ray absorption fine structure measurements at the Ga K-edge showed short-range order was retained in the amorphous phase for all three binary compounds. For ion irradiated GaN, the stoichiometric imbalance due to N 2 bubble formation was not accommodated by Ga-Ga bonding in the amorphous phase or precipitation of metallic Ga but instead by a greater reduction in Ga coordination number

  14. Direct observation of strain in InAs quantum dots and cap layer during molecular beam epitaxial growth using in situ X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Shimomura, Kenichi; Ohshita, Yoshio; Kamiya, Itaru, E-mail: kamiya@toyota-ti.ac.jp [Toyota Technological Institute, 2-12-1 Hisakata, Tempaku, Nagoya 468-8511 (Japan); Suzuki, Hidetoshi [Faculty of Engineering, University of Miyazaki, 1-1 Gakuen Kibanadai-nishi, Miyazaki 889-2192 (Japan); Sasaki, Takuo; Takahasi, Masamitu [Quantum Beam Science Center, Japan Atomic Energy Agency, Koto 1-1-1, Sayo-cho, Hyogo 679-5148 (Japan)

    2015-11-14

    Direct measurements on the growth of InAs quantum dots (QDs) and various cap layers during molecular beam epitaxy are performed by in situ X-ray diffraction (XRD). The evolution of strain induced both in the QDs and cap layers during capping is discussed based on the XRD intensity transients obtained at various lattice constants. Transients with different features are observed from those obtained during InGaAs and GaAs capping. The difference observed is attributed to In-Ga intermixing between the QDs and the cap layer under limited supply of In. Photoluminescence (PL) wavelength can be tuned by controlling the intermixing, which affects both the strain induced in the QDs and the barrier heights. The PL wavelength also varies with the cap layer thickness. A large redshift occurs by reducing the cap thickness. The in situ XRD observation reveals that this is a result of reduced strain. We demonstrate how such information about strain can be applied for designing and preparing novel device structures.

  15. Direct observation of strain in InAs quantum dots and cap layer during molecular beam epitaxial growth using in situ X-ray diffraction

    International Nuclear Information System (INIS)

    Shimomura, Kenichi; Ohshita, Yoshio; Kamiya, Itaru; Suzuki, Hidetoshi; Sasaki, Takuo; Takahasi, Masamitu

    2015-01-01

    Direct measurements on the growth of InAs quantum dots (QDs) and various cap layers during molecular beam epitaxy are performed by in situ X-ray diffraction (XRD). The evolution of strain induced both in the QDs and cap layers during capping is discussed based on the XRD intensity transients obtained at various lattice constants. Transients with different features are observed from those obtained during InGaAs and GaAs capping. The difference observed is attributed to In-Ga intermixing between the QDs and the cap layer under limited supply of In. Photoluminescence (PL) wavelength can be tuned by controlling the intermixing, which affects both the strain induced in the QDs and the barrier heights. The PL wavelength also varies with the cap layer thickness. A large redshift occurs by reducing the cap thickness. The in situ XRD observation reveals that this is a result of reduced strain. We demonstrate how such information about strain can be applied for designing and preparing novel device structures

  16. Growth and electronic properties of two-dimensional systems on (110) oriented GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Fischer, F.

    2005-07-01

    As the only non-polar plane the (110) surface has a unique role in GaAs. Together with Silicon as a dopant it is an important substrate orientation for the growth of n-type or p-type heterostructures. As a consequence, this thesis will concentrate on growth and research on that surface. In the course of this work we were able to realize two-dimensional electron systems with the highest mobilities reported so far on this orientation. Therefore, we review the necessary growth conditions and the accompanying molecular process. The two-dimensional electron systems allowed the study of a new, intriguing transport anisotropy not explained by current theory. Moreover, we were the first growing a two-dimensional hole gas on (110) GaAs with Si as dopant. For this purpose we invented a new growth modulation technique necessary to retrieve high mobility systems. In addition, we discovered and studied the metal-insulator transition in thin bulk p-type layers on (110) GaAs. Besides we investigated the activation process related to the conduction in the valence band and a parallelly conducting hopping band. The new two-dimensional hole gases revealed interesting physics. We studied the zero B-field spin splitting in these systems and compared it with the known theory. Furthermore, we investigated the anisotropy of the mobility. As opposed to the expectations we observed a strong persistent photoconductivity in our samples. Landau levels for two dimensional hole systems are non-linear and can show anticrossings. For the first time we were able to resolve anticrossings in a transport experiment and study the corresponding activation process. Finally, we compared these striking results with theoretical calculations. (orig.)

  17. The performance of double layer structure membrane prepared from flowing coagulant

    Science.gov (United States)

    Mieow Kee, Chan; Xeng, Anthony Leong Chan; Regal, Sasiskala; Singh, Balvinder; Raoo, Preeshaath; Koon Eu, Yap; Sok Choo, Ng

    2017-12-01

    Membrane with double layer structure is favourable as it exhibits smooth surface and macrovoids free structure. However, its’ performance in terms of permeability, porosity and strength has not been studied thoroughly. Additionally, the effect of flowing coagulant on the formation of double layer membrane has not been reported. Thus, the objective of this study is to investigate the performance of double layer membranes, which were prepared using flowing coagulant. Results showed that when the coagulant flow changed from laminar to turbulent, the pure water permeation of the membrane increased. It was due to the higher porosity in the membrane, which prepared by turbulent flow (CA-Turbulent) compared to the membrane which fabricated under laminar condition (CA-Laminar). This can be explained by the rapid solvent-coagulant exchange rate between the polymer solution and the turbulent coagulant. In term of strength, the tensile strength of the CA-Turbulent was ~32 MPa, which was 100% higher compared to CA-Laminar. This may due to the presence of large amount of nodules on its surface, which reduced the surface integrity. In conclusion, flowing coagulant altered the membrane properties and adopting turbulent coagulant flow in membrane fabrication would improve the porosity, surface roughness and the strength of the membrane.

  18. Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition

    Science.gov (United States)

    2017-09-30

    Report: Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer ...Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition Report Term: 0-Other Email: pcappillino... Layer Electroless Deposition (ALED, Figure 1) is the ability to tune growth mechanism, hence growth morphology, by altering conditions. In this

  19. Preparation and optical characteristics of layered perovskite-type lead-bromide-incorporated azobenzene chromophores

    Science.gov (United States)

    Sasai, Ryo; Shinomura, Hisashi

    2013-02-01

    Lead bromide-based layered perovskite powders with azobenzene derivatives were prepared by a homogeneous precipitation method. From the diffuse reflectance (DR) and photoluminescence (PL) spectra of the hybrid powder materials, the present hybrids exhibited sharp absorption and PL peaks originating from excitons produced in the PbBr42- layer. When the present hybrid powder was irradiated with UV light at 350 nm, the absorption band from the trans-azobenzene chromophore, observed around 350 nm, decreased, while the absorption band from the cis-azobenzene chromophore, observed around 450 nm, increased. These results indicate that azobenzene chromophores in the present hybrid materials exhibit reversible photoisomerization. Moreover, it was found that the PL intensity from the exciton also varied due to photoisomerization of the azobenzene chromophores in the present hybrid. Thus, for the first time we succeeded in preparing the azobenzene derivative lead-bromide-based layered perovskite with photochromism before and after UV light irradiation.

  20. Characterization of epitaxial GaAs MOS capacitors using atomic layer-deposited TiO2/Al2O3 gate stack: study of Ge auto-doping and p-type Zn doping.

    Science.gov (United States)

    Dalapati, Goutam Kumar; Shun Wong, Terence Kin; Li, Yang; Chia, Ching Kean; Das, Anindita; Mahata, Chandreswar; Gao, Han; Chattopadhyay, Sanatan; Kumar, Manippady Krishna; Seng, Hwee Leng; Maiti, Chinmay Kumar; Chi, Dong Zhi

    2012-02-02

    Electrical and physical properties of a metal-oxide-semiconductor [MOS] structure using atomic layer-deposited high-k dielectrics (TiO2/Al2O3) and epitaxial GaAs [epi-GaAs] grown on Ge(100) substrates have been investigated. The epi-GaAs, either undoped or Zn-doped, was grown using metal-organic chemical vapor deposition method at 620°C to 650°C. The diffusion of Ge atoms into epi-GaAs resulted in auto-doping, and therefore, an n-MOS behavior was observed for undoped and Zn-doped epi-GaAs with the doping concentration up to approximately 1017 cm-3. This is attributed to the diffusion of a significant amount of Ge atoms from the Ge substrate as confirmed by the simulation using SILVACO software and also from the secondary ion mass spectrometry analyses. The Zn-doped epi-GaAs with a doping concentration of approximately 1018 cm-3 converts the epi-GaAs layer into p-type since the Zn doping is relatively higher than the out-diffused Ge concentration. The capacitance-voltage characteristics show similar frequency dispersion and leakage current for n-type and p-type epi-GaAs layers with very low hysteresis voltage (approximately 10 mV).PACS: 81.15.Gh.

  1. GaAs strip detectors: the Australian production program

    International Nuclear Information System (INIS)

    Butcher, K.S.A.; Alexiev, D.

    1995-01-01

    The Australian High Energy Physics consortium (composed of the University of Melbourne, the University of Sydney and ANSTO) has been investigating the possibility of producing a large area wheel of SI GaAs detectors for the ATLAS detector array. To help assess the extent of Australia's role in this venture a few SI GaAs microstrip detectors are to be manufactured under contract by the CSIRO division of Radiophysics GaAs IC Prototyping Facility. The planned production of the devices is discussed. First, the reasons for producing the detectors here in Australia are examined, then some basic characteristics of the material are considered, and finally details are provided of the design used for the manufacture of the devices. Two sets of detectors will be produced using the standard Glasgow production recipe; SIGaAs and GaN. The Glasgow mask set is being used as a benchmark against which to compare the Australian devices

  2. Molecular-beam epitaxy on shallow mesa gratings patterned on GaAs(311)A and (100) substrates

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Schönherr, H.-P.; Ploog, K.H.

    2002-01-01

    We report on the morphology and properties of the surface formed by molecular-beam epitaxy on shallow mesa gratings on patterned GaAs(311)A and GaAs(100). On GaAs(311)A substrates, the corrugated surface formed after GaAs growth on shallow mesa gratings along [011] is composed of monolayer high

  3. Molecular beam epitaxial growth mechanism of ZnSe epilayers on (100) GaAs as determined by reflection high-energy electron diffraction, transmission electron microscopy and X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Ruppert, P.; Hommel, D.; Behr, T.; Heinke, H.; Waag, A.; Landwehr, G. (Physikalisches Inst., Univ. Wuerzburg (Germany))

    1994-04-14

    The properties of molecular beam epitaxial growth of ZnSe epilayers deposited directly on a GaAs substrate are compared to those grown on a GaAs buffer layer. The superior quality of the latter is confirmed by RHEED, TEM and X-ray diffraction. Based on RHEED oscillation studies, a model explaining the dependence of the ZnSe growth rate on Zn and Se fluxes and the substrate temperature is developed taking into account physisorbed and chemisorbed states. For partially relaxed epilayers, the correlation between the relaxation state and the crystalline mosaicity, as found by high resolution X-ray diffraction, is discussed

  4. Terahertz-radiation generation and detection in low-temperature-grown GaAs epitaxial films on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation); Buriakov, A. M.; Bilyk, V. R.; Mishina, E. D. [Moscow Technological University “MIREA” (Russian Federation); Klimov, E. A. [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation); Vasil’evskii, I. S. [National Research Nuclear University “MEPhI” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation)

    2017-04-15

    The efficiency of the generation and detection of terahertz radiation in the range up to 3 THz by LT-GaAs films containing equidistant Si doping δ layers and grown by molecular beam epitaxy on GaAs (100) and (111)Ga substrates is studied by terahertz spectroscopy. Microstrip photoconductive antennas are fabricated on the film surface. Terahertz radiation is generated by exposure of the antenna gap to femtosecond optical laser pulses. It is shown that the intensity of terahertz radiation from the photoconductive antenna on LT-GaAs/GaAs (111)Ga is twice as large as the intensity of a similar antenna on LT-GaAs/GaAs(100) and the sensitivity of the antenna on LT-GaAs/GaAs (111)Ga as a terahertz-radiation detector exceeds that of the antenna on LT-GaAs/GaAs(100) by a factor of 1.4.

  5. Electronic structure of GaAs with InAs (001) monolayer

    International Nuclear Information System (INIS)

    Tit, N.; Peressi, M.

    1995-04-01

    The effect on the electronic structure of an InAs monomolecular plane inserted in bulk GaAs is investigated theoretically. The (InAs) 1 (GaAs) n (001) strained superlattice is studied via ab-initio self-consistent pseudopotential calculations. Both electrons and holes are localized nearby the inserted InAs monolayer, which therefore acts as a quantum well for all the charge carriers. The small thickness of the inserted InAs slab is responsible of high confinement energies for the charge carriers, and therefore the interband electron-heavy-hole transition energy is close to the energy gap of the bulk GaAs, in agreement with recent experimental data. (author). 18 refs, 4 figs

  6. Preparation of Ferroelectric Thin Films of Bismuth Layer Structured Compounds

    Science.gov (United States)

    Watanabe, Hitoshi; Mihara, Takashi; Yoshimori, Hiroyuki; Araujo, Carlos

    1995-09-01

    Ferroelectric thin films of bismuth layer structured compounds, SrBi2Ta2O9, SrBi2Nb2O9, SrBi4Ti4O15 and their solid solutions, were formed onto a sputtered platinum layer on a silicon substrate using spin-on technique and metal-organic decomposition (MOD) method. X-ray diffraction (XRD) analysis and some electrical measurements were performed on the prepared thin films. XRD results of SrBi2(Ta1- x, Nb x)2O9 films (0≤x≤1) showed that niobium ions substitute for tantalum ions in an arbitrary ratio without any change of the layer structure and lattice constants. Furthermore, XRD results of SrBi2 xTa2O9 films (0≤x≤1.5) indicated that the formation of the bismuth layer structure does not always require an accurate bismuth content. The layer structure was formed above 50% of the stoichiometric bismuth content in the general formula. SrBi2(Ta1- x, Nb x)2O9 films with various Ta/Nb ratios have large enough remanent polarization for nonvolatile memory application and have shown high fatigue resistance against 1011 cycles of full switching of the remanent polarization. Mixture films of the three compounds were also investigated.

  7. Scanning tunnelling microscope imaging of nanoscale electron density gradients on the surface of GaAs

    International Nuclear Information System (INIS)

    Hamilton, B; Jacobs, J; Missous, M

    2003-01-01

    This paper is concerned with the scanning tunnelling microscope tunnelling conditions needed to produce constant current images dominated either by surface topology or by electronic effects. A model experimental structure was produced by cleaving a GaAs multiδ-doped layer in UHV and so projecting a spatially varying electron gas density onto the (110) surface. This cross sectional electron density varies on a nanometre scale in the [100] growth direction. The electronic structure and tunnelling properties of this system were modelled, and the tunnelling conditions favouring sensitivity to the surface electron gas density determined

  8. Distribution of barrier heights in Au/porous GaAs Schottky diodes from current-voltage-temperature measurements

    International Nuclear Information System (INIS)

    Harrabi, Z.; Jomni, S.; Beji, L.; Bouazizi, A.

    2010-01-01

    In this work, we have studied the electrical characteristics of the Au/porous GaAs/p-GaAs diodes as a function of temperature. The (I-V)-T characteristics are analysed on the basis of thermionic emission (TE). The temperature behaviour of the barrier height potential and the ideality factor demonstrate that the current transport is controlled by the thermionic emission mechanism (TE) with Gaussian distribution of the barrier height potential. The Gaussian distribution of barrier height potential is due to barrier inhomogeneity, which is suggested to be caused by the presence of the porous GaAs interfacial layer. The experimental (I-V)-T characteristics of the Au/porous GaAs/p-GaAs heterostructure demonstrate the presence of a two Gaussian distributions having a mean barrier height potential Φ b0 -bar of about 0.67 and 0.54 V and standard deviations σ s 2 of about 8.4x10 -3 and 4.2x10 -3 V, respectively. Using the obtained standard deviation, the obtained Richardson constant value is in accordance with the well documented value (79.2 A cm -2 K -2 ) of p-type GaAs and the mean barrier height Φ b0 -bar is closed to the band gap of GaAs. The obtained values prove that the I-V-T characteristics of Au/porous GaAs/p-GaAs heterostructure are governed by the TE mechanism theory with two Gaussian distributions of barrier heights.

  9. A model for arsenic anti-site incorporation in GaAs grown by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schulte, K. L.; Kuech, T. F. [Department of Chemical and Biological Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2014-12-28

    GaAs growth by hydride vapor phase epitaxy (HVPE) has regained interest as a potential route to low cost, high efficiency thin film photovoltaics. In order to attain the highest efficiencies, deep level defect incorporation in these materials must be understood and controlled. The arsenic anti-site defect, As{sub Ga} or EL2, is the predominant deep level defect in HVPE-grown GaAs. In the present study, the relationships between HVPE growth conditions and incorporation of EL2 in GaAs epilayers were determined. Epitaxial n-GaAs layers were grown under a wide range of deposition temperatures (T{sub D}) and gallium chloride partial pressures (P{sub GaCl}), and the EL2 concentration, [EL2], was determined by deep level transient spectroscopy. [EL2] agreed with equilibrium thermodynamic predictions in layers grown under conditions in which the growth rate, R{sub G}, was controlled by conditions near thermodynamic equilibrium. [EL2] fell below equilibrium levels when R{sub G} was controlled by surface kinetic processes, with the disparity increasing as R{sub G} decreased. The surface chemical composition during growth was determined to have a strong influence on EL2 incorporation. Under thermodynamically limited growth conditions, e.g., high T{sub D} and/or low P{sub GaCl}, the surface vacancy concentration was high and the bulk crystal was close to equilibrium with the vapor phase. Under kinetically limited growth conditions, e.g., low T{sub D} and/or high P{sub GaCl}, the surface attained a high GaCl coverage, blocking As adsorption. This competitive adsorption process reduced the growth rate and also limited the amount of arsenic that incorporated as As{sub Ga}. A defect incorporation model which accounted for the surface concentration of arsenic as a function of the growth conditions, was developed. This model was used to identify optimal growth parameters for the growth of thin films for photovoltaics, conditions in which a high growth rate and low [EL2] could be

  10. Temperature conditions for GaAs nanowire formation by Au-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Tchernycheva, M; Harmand, J C; Patriarche, G; Travers, L; Cirlin, G E

    2006-01-01

    Molecular beam epitaxial growth of GaAs nanowires using Au particles as a catalyst was investigated. Prior to the growth during annealing, Au alloyed with Ga coming from the GaAs substrate, and melted. Phase transitions of the resulting particles were observed in situ by reflection high-energy electron diffraction (RHEED). The temperature domain in which GaAs nanowire growth is possible was determined. The lower limit of this domain (320 deg. C) is close to the observed catalyst solidification temperature. Below this temperature, the catalyst is buried by GaAs growth. Above the higher limit (620 deg. C), the catalyst segregates on the surface with no significant nanowire formation. Inside this domain, the influence of growth temperature on the nanowire morphology and crystalline structure was investigated in detail by scanning electron microscopy and transmission electron microscopy. The correlation of the nanowire morphology with the RHEED patterns observed during the growth was established. Wurtzite GaAs was found to be the dominant crystal structure of the wires

  11. Au-coated 3-D nanoporous titania layer prepared using polystyrene-b-poly(2-vinylpyridine) block copolymer nanoparticles.

    Science.gov (United States)

    Shin, Won-Jeong; Basarir, Fevzihan; Yoon, Tae-Ho; Lee, Jae-Suk

    2009-04-09

    New nanoporous structures of Au-coated titania layers were prepared by using amphiphilic block copolymer nanoparticles as a template. A 3-D template composed of self-assembled quaternized polystyrene-b-poly(2-vinylpyridine) (Q-PS-b-P2VP) block copolymer nanoparticles below 100 nm was prepared. The core-shell-type nanoparticles were well ordered three-dimensionally using the vertical immersion method on the substrate. The polar solvents were added to the polymer solution to prevent particle merging at 40 degrees C when considering the interaction between polymer nanoparticles and solvents. Furthermore, Au-coated PS-b-P2VP nanoparticles were prepared using thiol-capped Au nanoparticles (3 nm). The 3-D arrays with Au-coated PS-b-P2VP nanoparticles as a template contributed to the preparation of the nanoporous Au-coated titania layer. Therefore, the nanoporous Au-coated titania layer was fabricated by removing PS-b-P2VP block copolymer nanoparticles by oxygen plasma etching.

  12. Experimental investigation of limit space charge accumulation mode operation in a semi-insulating GaAs photoconductive semiconductor switch

    International Nuclear Information System (INIS)

    Ma Xiangrong; Shi Wei; Xiang Mei

    2013-01-01

    Experiments with the limited space-charge accumulation (LSA) mode of oscillation in a large gap semi-insulating (SI) GaAs photoconductive semiconductor switch (PCSS) are discussed. It has been observed that growth and drift of a photo-activated charge domain (PACD) are quenched only when the bias voltage is more than twice the threshold voltage. The original negative resistance characteristics are directly utilized in the LSA mode; during LSA operation the spatial average of the electric field varies over a large portion of the negative differential mobility region of the velocity—electric field characteristic. The work efficiency of an SI GaAs PCSS is remarkably enhanced by electric field excursions into the positive resistance region when the total electric field is only below the threshold part of the time. The LSA mode can only operate in the certain conditions that satisfy the quenching of the accumulation layer and the smaller initial domain voltage. (semiconductor devices)

  13. Preparation of SmBiO{sub 3} buffer layer on YSZ substrate by an improved chemical solution deposition route

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Xiaolei [Key Laboratory of Advanced Technologies of Materials (Ministry of Education of China), Superconductivity and New Energy R& D Center, Mail Stop 165#, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Pu, Minghua, E-mail: mhpu@home.swjtu.edu.cn [Key Laboratory of Advanced Technologies of Materials (Ministry of Education of China), Superconductivity and New Energy R& D Center, Mail Stop 165#, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhao, Yong [Key Laboratory of Advanced Technologies of Materials (Ministry of Education of China), Superconductivity and New Energy R& D Center, Mail Stop 165#, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, NSW 2052 (Australia)

    2016-12-15

    Highlights: • The proper conditions for SBO growth are 794 °C for 60 min in flowing Ar gas, the temperature of epitaxial growth is relatively low. • The total time by SSD technique for organic solvent removing, salts decomposition and layer growth is not up to 2 h, which are much less than that needed for traditional CSD of over 10 h. • SBO layer on YSZ prepared by SSD technique are suitable for the growth of YBCO, The results may be the usable reference for continuous preparation of SBO buffer layer on IBAD-YSZ/Ni-based alloy tapes. - Abstract: A quick route for chemical solution deposition (CSD) has been developed to prepare SmBiO{sub 3} (SBO) layers on yttria stabilized zirconia (YSZ) substrates rapidly by using of solid state decomposition (SSD) technique. The proper conditions for volatilization of lactic acid, which as solvent in precursor coated layer, and SBO growth are 115°C for 30 min and 794°C for 60 min in flowing Ar gas. The coated layers are amorphous structure of mixture oxides and quasi-crystal structure of SBO before and after growth, respectively. The total time by this quick CSD route for organic solvent volatilization, salts decomposed and layer growth is not up to 2 h, which are much less than that needed for traditional CSD of over 10 h. SBO layer is directly epitaxial growth on YSZ substrate without any lattice rotation. SBO layer prepared by this quick route as well as that by traditional route are suitable for the growth of YBCO. The superconducting transition temperature and critical current density of the coated YBCO layer on SBO/YSZ obtained by this quick route are up to 90 K and 1.66 MA/cm{sup 2}. These results may be the usable reference for continuous preparation of SBO buffer layer on IBAD-YSZ/Ni-based alloy tapes.

  14. Growth and characterization of metamorphic InAs/GaSb tunnel heterojunction on GaAs by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jheng-Sin; Clavel, Michael B.; Hudait, Mantu K., E-mail: mantu.hudait@vt.edu [Advanced Devices and Sustainable Energy Laboratory (ADSEL), Bradley Department of Electrical and Computer Engineering, Virginia Tech, Blacksburg, Virginia 24061 (United States); Pandey, Rahul [Electrical Engineering, The Pennsylvania State University, University Park, Pennsylvania 16802 (United States); Datta, Suman [Electrical Engineering, University of Notre Dame, Notre Dame, Indiana 46556 (United States); Meeker, Michael; Khodaparast, Giti A. [Department of Physics, Virginia Tech, Blacksburg, Virginia 24061 (United States)

    2016-06-28

    The structural, morphological, optical, and electrical transport characteristics of a metamorphic, broken-gap InAs/GaSb p-i-n tunnel diode structure, grown by molecular beam epitaxy on GaAs, were demonstrated. Precise shutter sequences were implemented for the strain-balanced InAs/GaSb active layer growth on GaAs, as corroborated by high-resolution X-ray analysis. Cross-sectional transmission electron microscopy and detailed micrograph analysis demonstrated strain relaxation primarily via the formation of 90° Lomer misfit dislocations (MDs) exhibiting a 5.6 nm spacing and intermittent 60° MDs at the GaSb/GaAs heterointerface, which was further supported by a minimal lattice tilt of 180 arc sec observed during X-ray analysis. Selective area diffraction and Fast Fourier Transform patterns confirmed the full relaxation of the GaSb buffer layer and quasi-ideal, strain-balanced InAs/GaSb heteroepitaxy. Temperature-dependent photoluminescence measurements demonstrated the optical band gap of the GaSb layer. Strong optical signal at room temperature from this structure supports a high-quality material synthesis. Current–voltage characteristics of fabricated InAs/GaSb p-i-n tunnel diodes measured at 77 K and 290 K demonstrated two bias-dependent transport mechanisms. The Shockley–Read–Hall generation–recombination mechanism at low bias and band-to-band tunneling transport at high bias confirmed the p-i-n tunnel diode operation. This elucidated the importance of defect control in metamorphic InAs/GaSb tunnel diodes for the implementation of low-voltage and high-performance tunnel field effect transistor applications.

  15. Effect of the ion bombardment on the apparent barrier height in GaAs Schottky junctions

    International Nuclear Information System (INIS)

    Horvath, Zs. J.

    1994-01-01

    The bombardment of the semiconductor with different particles often results in the change of the doping concentration at the semiconductor surface. In this paper the effects of this near-interface concentration change on the apparent and real Schottky barrier heights are discussed. Experimental results obtained in GaAs Schottky junctions prepared on ion-bombarded semiconductor surfaces are analysed, and it is shown that their electrical characteristics are strongly influenced by the near-interface concentration change due to the ion bombardment. (author). 36 refs., 2 figs

  16. Spatial modulation of the Fermi level by coherent illumination of undoped GaAs

    Science.gov (United States)

    Nolte, D. D.; Olson, D. H.; Glass, A. M.

    1989-11-01

    The Fermi level in undoped GaAs has been modulated spatially by optically quenching EL2 defects. The spatial gradient of the Fermi level produces internal electric fields that are much larger than fields generated by thermal diffusion alone. The resulting band structure is equivalent to a periodic modulation-doped p-i-p structure of alternating insulating and p-type layers. The internal fields are detected via the electro-optic effect by the diffraction of a probe laser in a four-wave mixing geometry. The direct control of the Fermi level distinguishes this phenomenon from normal photorefractive behavior and introduces a novel nonlinear optical process.

  17. GaAs FETs and novel heteroepitaxial quaternary lasers grown on InP substrates by organometallic chemical vapor deposition

    International Nuclear Information System (INIS)

    Lo, Y.H.; Bhat, R.; Chang-Hasnain, C.; Caneau, C.; Zah, C.E.; Lee, T.P.

    1988-01-01

    This paper reports the GaAs MESFETs and 1.3μm buried hetero-structure lasers with AlGaAs/GaAs lateral confinement layers simultaneously grown by OMCVD and fabricated on InP structures. The 1μm recessed gate MESFET has a transconductance of 220 mS/mm and the novel structured laser has a CW threshold current of 45 mA. The heteroepitaxy technology and devices show great promises for long wavelength opto-electronic integrated circuits

  18. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Balderas-Navarro, R.E. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Facultad de Ciencias, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico)

    2008-07-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E{sub 1} optical transition as a probe. We follow the kinetics of the deposition of GaAs and In{sub 0.3}Ga{sub 0.7}As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As{sub 4} or As{sub 2} flux pressure of 5 x 10{sup -6} Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    International Nuclear Information System (INIS)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F.; Balderas-Navarro, R.E.

    2008-01-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E 1 optical transition as a probe. We follow the kinetics of the deposition of GaAs and In 0.3 Ga 0.7 As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As 4 or As 2 flux pressure of 5 x 10 -6 Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. The development for small scale soft X-ray spectrometer

    International Nuclear Information System (INIS)

    Sun Kexu; Jiang Shaoen; Yi Rongqing; Cui Yanli

    2004-12-01

    For the development of small-scale soft X-ray spectrometer, first, some small-scale soft X-ray detection elements are developed, it is included GaAs irradiated with neutron, GaAs irradiated with proton, multi-layer mirror, plane mirror and small scale X-ray diode et al. Soft X-ray spectrometers built of multi-layer mirror-GaAs (with neutron irradiation), and plane mirror-small-scale XRD, and plane mirror-GaAs (with proton irradiation) are prepared. These spectrometers are examined in Shen Guang-II laser facility, and some external estimation are given. (authors)

  1. Electric field effect of GaAs monolayer from first principles

    Directory of Open Access Journals (Sweden)

    Jiongyao Wu

    2017-03-01

    Full Text Available Using first-principle calculations, we investigate two-dimensional (2D honeycomb monolayer structures composed of group III-V binary elements. It is found that such compound like GaAs should have a buckled structure which is more stable than graphene-like flat structure. This results a polar system with out-of-plane dipoles arising from the non-planar structure. Here, we optimized GaAs monolayer structure, then calculated the electronic band structure and the change of buckling height under external electric field within density functional theory using generalized gradient approximation method. We found that the band gap would change proportionally with the electric field magnitude. When the spin-orbit coupling (SOC is considered, we revealed fine spin-splitting at different points in the reciprocal space. Furthermore, the valence and conduction bands spin-splitting energies due to SOC at the K point of buckled GaAs monolayers are found to be weakly dependent on the electric field strength. Finally electric field effects on the spin texture and second harmonic generation are discussed. The present work sheds light on the control of physical properties of GaAs monolayer by the applied electric field.

  2. Substrate and Mg doping effects in GaAs nanowires

    Directory of Open Access Journals (Sweden)

    Perumal Kannappan

    2017-10-01

    Full Text Available Mg doping of GaAs nanowires has been established as a viable alternative to Be doping in order to achieve p-type electrical conductivity. Although reports on the optical properties are available, few reports exist about the physical properties of intermediate-to-high Mg doping in GaAs nanowires grown by molecular beam epitaxy (MBE on GaAs(111B and Si(111 substrates. In this work, we address this topic and present further understanding on the fundamental aspects. As the Mg doping was increased, structural and optical investigations revealed: i a lower influence of the polytypic nature of the GaAs nanowires on their electronic structure; ii a considerable reduction of the density of vertical nanowires, which is almost null for growth on Si(111; iii the occurrence of a higher WZ phase fraction, in particular for growth on Si(111; iv an increase of the activation energy to release the less bound carrier in the radiative state from nanowires grown on GaAs(111B; and v a higher influence of defects on the activation of nonradiative de-excitation channels in the case of nanowires only grown on Si(111. Back-gate field effect transistors were fabricated with individual nanowires and the p-type electrical conductivity was measured with free hole concentration ranging from 2.7 × 1016 cm−3 to 1.4 × 1017 cm−3. The estimated electrical mobility was in the range ≈0.3–39 cm2/Vs and the dominant scattering mechanism is ascribed to the WZ/ZB interfaces. Electrical and optical measurements showed a lower influence of the polytypic structure of the nanowires on their electronic structure. The involvement of Mg in one of the radiative transitions observed for growth on the Si(111 substrate is suggested.

  3. Si3N4/Si/In0.05Ga0.95As/n endash GaAs metal endash insulator endash semiconductor devices

    International Nuclear Information System (INIS)

    Park, D.; Li, D.; Tao, M.; Fan, Z.; Botchkarev, A.E.; Mohammad, S.N.; Morkoc, H.

    1997-01-01

    We report a novel metal endash insulator endash semiconductor (MIS) structure exhibiting a pseudomorphic In 0.05 Ga 0.95 As layer on GaAs with interface state densities in the low 10 11 eV -1 cm -2 . The structure was grown by a combination of molecular beam epitaxy and chemical vapor deposition methods. The hysteresis and frequency dispersion of the MIS capacitor were lower than 100 mV, some of them as low as 30 mV under a field swing of about ±1.3 MV/cm. The 150-Angstrom-thick In 0.05 Ga 0.95 As channel between Si and GaAs is found to bring about a change in the minority carrier recombination behavior of the GaAs channel, in the same way as done by In 0.53 Ga 0.47 As channel MIS structures. Self-aligned gate depletion mode In 0.05 Ga 0.95 As metal endash insulator endash semiconductor field-effect transistors having 3 μm gate lengths exhibited field-effect bulk mobility of 1400 cm 2 /Vs and transconductances of about 170 mS/mm. copyright 1997 American Institute of Physics

  4. Preparation of thin layer materials with macroporous microstructure for SOFC applications

    International Nuclear Information System (INIS)

    Marrero-Lopez, D.; Ruiz-Morales, J.C.; Pena-Martinez, J.; Canales-Vazquez, J.; Nunez, P.

    2008-01-01

    A facile and versatile method using polymethyl methacrylate (PMMA) microspheres as pore formers has been developed to prepare thin layer oxide materials with controlled macroporous microstructure. Several mixed oxides with fluorite and perovskite-type structures, i.e. doped zirconia, ceria, ferrites, manganites, and NiO-YSZ composites have been prepared and characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), nitrogen adsorption and mercury porosimetry. The synthesised materials are nanocrystalline and present a homogeneous pore distribution and relatively high specific surface area, which makes them interesting for SOFC and catalysis applications in the intermediate temperature range. - Graphical abstract: Thin films materials of mixed oxides with potential application in SOFC devices have been prepared with macroporous microstructure using PMMA microspheres as pore formers. Display Omitted

  5. Texture and microstructure analysis of epitaxial oxide layers prepared on textured Ni-12wt%Cr tapes

    Energy Technology Data Exchange (ETDEWEB)

    Huehne, R; Kursumovic, A; Tomov, R I; Glowacki, B A [Department of Materials Science and IRC in Superconductivity, University of Cambridge, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom); Holzapfel, B [Institut fuer Festkoerper- und Werkstoffforschung, Helmholtzstrasse 20, 01069 Dresden (Germany); Evetts, J E [Department of Materials Science and IRC in Superconductivity, University of Cambridge, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom)

    2003-05-07

    Oxide layers for the preparation of YBa{sub 2}Cu{sub 3}O{sub 7-x} coated conductors were grown on highly textured Ni-12wt%Cr tapes in pure oxygen using surface oxidation epitaxy at temperatures between 1000 deg. C and 1300 deg. C. Microstructural investigations revealed a layered oxide structure. The upper layer consists mainly of dense cube textured NiO. This is followed by a porous layer containing NiO and NiCr{sub 2}O{sub 4} particles. A detailed texture analysis showed a cube-on-cube relationship of the NiCr{sub 2}O{sub 4} spinel to the metal substrate. Untextured Cr{sub 2}O{sub 3} particles in a nickel matrix were found in a third layer arising from internal oxidation of the alloy. A high surface roughness and mechanical instability of the oxide were observed, depending on oxidation temperature and film thickness. However, mechanically stable oxide layers have been prepared using an additional annealing step in a protective atmosphere. Additionally, mechanical polishing or a second buffer layer, which grows with a higher smoothness, may be applied to reduce the surface roughness for coated conductor applications.

  6. Formation, atomic structure, and electronic properties of GaSb quantum dots in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Timm, R.

    2007-12-14

    In this work, cross-sectional scanning tunneling microscopy and spectroscopy are used for the first time to study the shape, size, strain, chemical composition, and electronic properties of capped GaSb/GaAs QDs at the atomic scale. By evaluating such structural results on a variety of nanostructures built using different epitaxy methods and growth conditions, details on the underlying QD formation processes can be revealed. A cross-over from flat quantum wells (QWs) to optically active QDs can be observed in samples grown by metalorganic chemical vapor deposition (MOCVD) with increasing amount of GaSb, including self-assembled Sb accumulations within a still two-dimensional layer and tiny three-dimensional GaSb islands probably acting as precursor structures. The QWs consist of significantly intermixed material with stoichiometries of maximally 50% GaSb, additionally exhibiting small gaps filled with GaAs. A higher GaSb content up to nearly pure material is found in the QDs, being characterized by small sizes of up to 8 nm baselength and about 2 nm height. In spite of the intermixing, all nanostructures have rather abrupt interfaces, and no significant Sb segregation in growth direction is observed. This changes completely when molecular beam epitaxy (MBE) is used as growth method, in which case individual Sb atoms are found to be distributed over several nm above the nanostructures. Massive group-V atomic exchange processes are causing this strong inter-mixing and Sb segregation during GaAs overgrowth. In combination with the large strain inherent to GaSb/GaAs QDs, this segregation upon overgrowth is assumed to be the reason for a unique structural phenomenon: All MBE-grown QDs, independent of the amount of deposited GaSb, exhibit a ring structure, consisting of a ring body of high GaSb content and a more or less extended central gap filled with GaAs. These rings have formed in a self-assembled way even when the initial GaSb layer was overgrown considerably fast

  7. Magnetic domains in epitaxial (100) Fe thin films

    International Nuclear Information System (INIS)

    Florczak, J.M.; Dahlberg, E.D.; Ryan, P.J.; White, R.M.; Kuznia, J.N.; Wowchak, A.M.; Cohen, P.I.

    1989-01-01

    This paper discusses the investigation of the domain patterns of thin Fe films (10 nm) grown on In x Ga 1 - x As (0.09< x<0.25)/GaAs substrates by use of Kerr microscopy. For this investigation, two types of InGaAs buffer layers were prepared. One consisted of a single, thick InGaAs layer and the second composed of an InGaAs strained layer superlattice. Both were grown on (100) GaAs substrates. The study showed that many of the domain walls were approximately parallel to the easy axis of Fe for those films grown on the low x alloy, e.g. x = 0.1, InGaAs buffer layers

  8. Stacking layered structure of polymer light emitting diodes prepared by evaporative spray deposition using ultradilute solution for improving carrier balance

    International Nuclear Information System (INIS)

    Aoki, Youichi; Shakutsui, Masato; Fujita, Katsuhiko

    2009-01-01

    Polymer light-emitting diodes (PLEDs) with staking layered structures are prepared by the evaporative spray deposition using ultradilute solution (ESDUS) method, which has enabled forming a polymer layer onto another polymer layer even if both polymers are soluble in a solvent used for the preparation. By this method, polymers having various HOMO and LUMO levels can be stacked as a hole transport layer, an emitting layer and an electron transport layer as commonly employed in small molecule-based organic light emitting diodes. Here we demonstrated that a PLED having a tri-layer structure using three kinds of polymers showed significant improvement in quantum efficiency compared with those having a single or bi-layer structure of corresponding polymers.

  9. GaAs nanocrystals: Structure and vibrational properties

    International Nuclear Information System (INIS)

    Nayak, J.; Sahu, S.N.; Nozaki, S.

    2006-01-01

    GaAs nanocrystals were grown on indium tin oxide substrate by an electrodeposition technique. Atomic force microscopic measurement indicates an increase in the size of the nanocrystal with decrease in the electrolysis current density accompanied by the change in the shape of the crystallite. Transmission electron microscopic measurements identify the crystallite sizes to be in the range of 10-15 nm and the crystal structure to be orthorhombic. On account of the quantum size effect, the first optical transition was blue shifted with respect to the band gap of the bulk GaAs and the excitonic peak appeared prominent. A localized phonon mode ascribed to certain point defect occurred in the room temperature micro-Raman spectrum

  10. On-tip sub-micrometer Hall probes for magnetic microscopy prepared by AFM lithography

    International Nuclear Information System (INIS)

    Gregusova, D.; Martaus, J.; Fedor, J.; Kudela, R.; Kostic, I.; Cambel, V.

    2009-01-01

    We developed a technology of sub-micrometer Hall probes for future application in scanning hall probe microscopy (SHPM) and magnetic force microscopy (MFM). First, the Hall probes of ∼9-μm dimensions are prepared on the top of high-aspect-ratio GaAs pyramids with an InGaP/AlGaAs/GaAs active layer using wet-chemical etching and non-planar lithography. Then we show that the active area of planar Hall probes can be downsized to sub-micrometer dimensions by local anodic oxidation technique using an atomic force microscope. Such planar probes are tested and their noise and magnetic field sensitivity are evaluated. Finally, the two technologies are combined to fabricate sub-micrometer Hall probes on the top of high-aspect ratio mesa for future SHPM and MFM techniques.

  11. X-ray diffraction study of rare earth epitaxial structures grown by MBE onto (111) GaAs

    International Nuclear Information System (INIS)

    Bennett, W.R.; Farrow, R.F.C.; Parkin, S.S.P.; Marinero, E.E.; Segmuller, A.P.

    1989-01-01

    The authors report on the new epitaxial system LaF 3 /Er/Dy/Er/LaF 3 /GaAs(111) grown by molecular beam epitaxy. X-ray diffraction studies have been used to determine the epitaxial relationships between the rare earths, the LaF 3 and the substrate. Further studies of symmetric and asymmetric reflections yielded the in-plane and perpendicular strain components of the rare earth layers. Such systems may be used to probe the effects of magnetoelastic interactions and dimensionality on magnetic ordering in rare earth metal films

  12. GaAs optoelectronic neuron arrays

    Science.gov (United States)

    Lin, Steven; Grot, Annette; Luo, Jiafu; Psaltis, Demetri

    1993-01-01

    A simple optoelectronic circuit integrated monolithically in GaAs to implement sigmoidal neuron responses is presented. The circuit integrates a light-emitting diode with one or two transistors and one or two photodetectors. The design considerations for building arrays with densities of up to 10,000/sq cm are discussed.

  13. Preparation of multi-layer film consisting of hydrogen-free DLC and nitrogen-containing DLC for conductive hard coating

    Science.gov (United States)

    Iijima, Yushi; Harigai, Toru; Isono, Ryo; Degai, Satoshi; Tanimoto, Tsuyoshi; Suda, Yoshiyuki; Takikawa, Hirofumi; Yasui, Haruyuki; Kaneko, Satoru; Kunitsugu, Shinsuke; Kamiya, Masao; Taki, Makoto

    2018-01-01

    Conductive hard-coating films have potential application as protective films for contact pins used in the electrical inspection process for integrated circuit chips. In this study, multi-layer diamond-like carbon (DLC) films were prepared as conductive hard-coating films. The multi-layer DLC films consisting of DLC and nitrogen-containing DLC (N-DLC) film were prepared using a T-shape filtered arc deposition method. Periodic DLC/N-DLC four-layer and eight-layer films had the same film thickness by changing the thickness of each layer. In the ball-on-disk test, the N-DLC mono-layer film showed the highest wear resistance; however, in the spherical polishing method, the eight-layer film showed the highest polishing resistance. The wear and polishing resistance and the aggressiveness against an opponent material of the multi-layer DLC films improved by reducing the thickness of a layer. In multi-layer films, the soft N-DLC layer between hard DLC layers is believed to function as a cushion. Thus, the tribological properties of the DLC films were improved by a multi-layered structure. The electrical resistivity of multi-layer DLC films was approximately half that of the DLC mono-layer film. Therefore, the periodic DLC/N-DLC eight-layer film is a good conductive hard-coating film.

  14. Response of GaAs charge storage devices to transient ionizing radiation

    Science.gov (United States)

    Hetherington, D. L.; Klem, J. F.; Hughes, R. C.; Weaver, H. T.

    Charge storage devices in which non-equilibrium depletion regions represent stored charge are sensitive to ionizing radiation. This results since the radiation generates electron-hole pairs that neutralize excess ionized dopant charge. Silicon structures, such as dynamic RAM or CCD cells are particularly sensitive to radiation since carrier diffusion lengths in this material are often much longer than the depletion width, allowing collection of significant quantities of charge from quasi-neutral sections of the device. For GaAs the situation is somewhat different in that minority carrier diffusion lengths are shorter than in silicon, and although mobilities are higher, we expect a reduction of radiation sensitivity as suggested by observations of reduced quantum efficiency in GaAs solar cells. Dynamic memory cells in GaAs have potential increased retention times. In this paper, we report the response of a novel GaAs dynamic memory element to transient ionizing radiation. The charge readout technique is nondestructive over a reasonable applied voltage range and is more sensitive to stored charge than a simple capacitor.

  15. Self-assembled colloidal PbS quantum dots on GaAs substrates

    International Nuclear Information System (INIS)

    Lue, Wei; Yamada, Fumihiko; Kamiya, Itaru

    2010-01-01

    We report the fabrication and analysis of self-assembled monolayer and bilayer films of colloidal PbS quantum dots (QDs) on GaAs (001) substrates. 1,6-hexanedithiol is used as link molecule between QDs and GaAs substrates. Atomic force microscopy (AFM) and photoluminescence (PL) measurements confirm the formation of PbS QD film on GaAs. For the monolayer PbS QD film, the temperature-dependent PL shows a feature typical of close-packed film. For the bilayer PbS QD film fabricated from two different mean-sized PbS QDs, we find that the stacking sequence of QDs with different size affects the quantum yield and emission wavelength of the film.

  16. Ab initio structural and vibrational properties of GaAs diamondoids and nanocrystals

    Directory of Open Access Journals (Sweden)

    Mudar Ahmed Abdulsattar

    2014-12-01

    Full Text Available Gallium arsenide diamondoids structural and vibrational properties are investigated using density functional theory at the PBE/6-31(d level and basis including polarization functions. Variation of energy gap as these diamondoids increase in size is seen to follow confinement theory for diamondoids having nearly equiaxed dimensions. Density of energy states transforms from nearly single levels to band structure as we reach larger diamondoids. Bonds of surface hydrogen with As atoms are relatively localized and shorter than that bonded to Ga atoms. Ga-As bonds have a distribution range of values due to surface reconstruction and effect of bonding to hydrogen atoms. Experimental bulk Ga-As bond length (2.45 Å is within this distribution range. Tetrahedral and dihedral angles approach values of bulk as we go to higher diamondoids. Optical-phonon energy of larger diamondoids stabilizes at 0.037 eV (297 cm-1 compared to experimental 0.035 eV (285.2 cm-1. Ga-As force constant reaches 1.7 mDyne/Å which is comparable to Ga-Ge force constant (1.74 mDyne/Å. Hydrogen related vibrations are nearly constant and serve as a fingerprint of GaAs diamondoids while Ga-As vibrations vary with size of diamondoids.

  17. Homo- and heteroepitaxial growth behavior of upright InAs nanowires on InAs and GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, Jens; Gottschalch, Volker; Paetzelt, Hendrik [Institut fuer Anorganische Chemie, Universitaet Leipzig, Johannesallee 29, D-04103 Leipzig (Germany); Wagner, Gerald [Institut fuer Kristallographie und Mineralogie, Universitaet Leipzig, Linnestr. 5, D-04103 Leipzig (Germany); Pietsch, Ulrich [Festkoerperphysik, Universitaet Siegen, D-57068 Siegen (Germany)

    2008-07-01

    Semiconductor nanowires (NW) acquire recently attraction because of promising new application fields in electronics and optoelectronic. We applied the vapor-liquid-solid mechanism with gold seeds in combination with low-pressure metal-organic vapor phase epitaxy (LP-MOVPE) to achieve replicable InAs NW growth with high growth rates. Since the initial alloying of the gold seeds with the substrate material plays a deciding role for the inceptive NW growth, InAs free standing nanowires were grown on GaAs(111)B substrate as well as on InAs/GaAs(111)B quasi-substrate. The influence of the MOVPE parameters will be discussed with respect to NW morphology and real-structure. A special focus will be set on the heteroepitaxial InAs NW growth on GaAs substrates. Gracing-incidence X-ray studies and transmission electron microscopy investigations revealed the existence of a thin Ga{sub x}In{sub 1-x}As graduated alloy layer with embedded crystalline gold alloy particles at the NW substrate interface. The effect of droplet composition on the VLS growth will be presented in a thermodynamic model.

  18. TMR- and TAMR-effects of (Ga,Mn)As and GaAs tunnel junctions; TMR- und TAMR-Effekt an (Ga,Mn)As und GaAs Tunnelstrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Brinkmeier, Eva

    2009-07-30

    This thesis is concerned with the experimental investigation of the tunnel magnetoresistance (TMR) and tunnel anistropic magnetoresistance (TAMR) in GaAs and (Ga,Mn)As tunnel junction. A special emphasis was put on the study of the newly discovered TAMR effect, which consists in the variation of the TMR with the magnetization's angle. The tunnel junctions were fabricated by means of optical lithography and wet chemical etching. The dependence of the TAMR effect on the layer system, the barrier thickness, the bias voltage, the temperature and the applied magnetic field magnitude was subsequently examined. The conducted measurements on (Ga,Mn)As junctions showed a TMR effect as well as various anisotropic effects which are in good agreement with the experimental reports published so far. The observed dependences of the TAMR effect on the aforementioned parameters were discussed within the framework of two distinct preexisting theoretical models and the experimental data could be explained by the superimposition of two effects stemming in one case from the spin orbit coupling in the (Ga,Mn)As layer and in the other from the concurrent action of the Rashba and Dresselhaus spin orbit interaction within the barrier. (orig.)

  19. Elaboration of a semiconductive thin film device technology on the basis of monocrystalline gallium arsenide

    International Nuclear Information System (INIS)

    Antoshenko, V.; Taurbaev, T.; Skirnevskaya, E.; Shorin, V.; Mihajlov, L.; Bajganatova, Sh.

    1996-01-01

    The aim of the project: To elaborate the economical technological process of preparing super thin monocrystalline GaAs substrates and device structures for semiconductive electronics. To realize the project it is necessary to solve following problems: o to elaborate and produce the equipment for preparing of separated films and thin film multilayer structures with p-n-junction; - to study conditions of preparing plane crystal perfect separated Ga(Al)As - films; - to optimize regimes of preparing thin film structures with p- and n-conductive - layers; - to determine the optimal methods of transferring autonomous films and structures over the second substrates; - to work out preparing methods of ohmic contacts and electrical commutation; - to optimize the process of repeated use of initial monocrystalline GaAs substrate; - to prepare the samples of discrete thin film photo- and emitting devices. As the result of project realization there will be created cheap ecological technology of heterojunction optoelectronic devices on the basis of GaAs and AlGaAs solid solutions, the laboratory samples of thin film devices will be presented

  20. Choice of optimal conditions for layer-by-layer analysis of semiconductor structures on spark mass spectrometer

    International Nuclear Information System (INIS)

    Gerasimov, V.A.; Saprykin, A.I.; Shelpakova, I.R.; Yudelevich, I.G.

    1978-01-01

    Criteria of choosing counter-electrode-configuration, size and material have been determined. A tantalum counter-electrode with rectangular cross-section (3.5-4.5) mmx(0.05-0.08) mm 2 is proposed for layer-by-layer analysis of Si, Ge, GaAs, InSb. A scanning velocity has been chosen and spark generator operating conditions have been optimized which ensure the surface roughness of 0.5-0.8 μ after sparking. A systematic study has been made of the effect of ballast elements in the discharge circuit on the basic characteristics of the layer-by-layer analysis: ionic current intensity, counter-electrode contribution to the total ionic current, intensity of dicharged ions and surface roughness. A ballast ohmic resistance inside the ion source decreases a correction for the blank by one order of magnitude and the sparked surface roughness by 2-3 times

  1. Selenium passivation of GaAs(001): a combined experimental and theoretical study

    International Nuclear Information System (INIS)

    Gonzalez, C; Benito, I; Ortega, J; Jurczyszyn, L; Blanco, J M; Perez, R; Flores, F; Kampen, T U; Zahn, D R T; Braun, W

    2004-01-01

    The chemical and electronic properties of selenium passivated GaAs(001)-2 x 1 surfaces were investigated by a combination of theoretical calculations and core level photoemission experiments. An anion exchange results in gallium-selenide like layers showing a 2 x 1 reconstruction in low energy electron diffraction (LEED). The analysis of the different components in the core level spectra of As 3d, Ga 3d and Se 3d limits the number of possible structural models. The Se/GaAs(001)-2 x 1 reconstruction has been also analysed by means of DFT-LDA calculations and theoretical STM currents. In a first step, different geometries are considered and the most stable one, from the point of view of the thermodynamic potential, is determined. Then, STM currents and the corresponding surface corrugation are calculated and compared with the experimental evidence. We conclude that the Se/GaAs(001)-2 x 1 reconstruction has a single Se atom in the last crystal layer, bonded to two Ga atoms of the second layer, and another Se layer replacing the third As layer of the crystal. These surfaces may be considered as chemically stable because they withstand considerable exposure to air. In terms of electronic passivation, i.e. the removal of any surface band bending, the selenium modification is not successful. Band bending on n-type doped samples is reduced while band bending on the p-type doped samples is further increased

  2. Preparation of Two-Layer Anion-Exchange Poly(ethersulfone Based Membrane: Effect of Surface Modification

    Directory of Open Access Journals (Sweden)

    Lucie Zarybnicka

    2016-01-01

    Full Text Available The present work deals with the surface modification of a commercial microfiltration poly(ethersulfone membrane by graft polymerization technique. Poly(styrene-co-divinylbenzene-co-4-vinylbenzylchloride surface layer was covalently attached onto the poly(ethersulfone support layer to improve the membrane electrochemical properties. Followed by amination, a two-layer anion-exchange membrane was prepared. The effect of surface layer treatment using the extraction in various solvents on membrane morphological and electrochemical characteristics was studied. The membranes were tested from the point of view of water content, ion-exchange capacity, specific resistance, permselectivity, FT-IR spectroscopy, and SEM analysis. It was found that the two-layer anion-exchange membranes after the extraction using tetrahydrofuran or toluene exhibited smooth and porous surface layer, which resulted in improved ion-exchange capacity, electrical resistance, and permselectivity of the membranes.

  3. Transient radiation effects in GaAs semiconductor devices

    International Nuclear Information System (INIS)

    Chang, J.Y.; Stauber, M.; Ezzeddine, A.; Howard, J.W.; Constantine, A.G.; Becker, M.; Block, R.C.

    1988-01-01

    This paper describes an ongoing program to identify the response of GaAs devices to intense pulses of ionizing radiation. The program consists of experimental measurements at the Rensselaer Polytechnic Institute's RPI electron linear accelerator (Linac) on generic GaAs devices built by Grumman Tachonics Corporation and the analysis of these results through computer simulation with the circuit model code SPICE (including radiation effects incorporated in the variations TRISPICE and TRIGSPICE and the device model code PISCES IIB). The objective of this program is the observation of the basic response phenomena and the development of accurate simulation tools so that results of Linac irradiations tests can be understood and predicted

  4. Self-Assembled Monolayers of CdSe Nanocrystals on Doped GaAs Substrates

    DEFF Research Database (Denmark)

    Marx, E.; Ginger, D.S.; Walzer, Karsten

    2002-01-01

    This letter reports the self-assembly and analysis of CdSe nanocrystal monolayers on both p- and a-doped GaAs substrates. The self-assembly was performed using a 1,6-hexanedithiol self-assembled monolayer (SAM) to link CdSe nanocrystals to GaAs substrates. Attenuated total reflection Fourier tran...

  5. Sims Characterisation of ZnO Layer Prepared By Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Andrej Vincze

    2005-01-01

    Full Text Available New material development requires new technologies to create and prepare basic material for semiconductor industry and device applications. Materials have given properties, which exhibit particulary small tolerances. One of the most important and promising material is recently ZnO. ZnO has specific properties for near UV emission and absorption optical devices. The pulsed laser deposition (PLD is one of the methods to prepare this type of material. The aim of this paper is to compare properties of ZnO layers deposited from pure Zn target in oxygen atmosphere and the analysis of their surface properties by secondary ion mass spectroscopy (SIMS, atomic force microscopy (AFM and scanning electron microscopy (SEM.

  6. Mass and energy dispersive recoil spectrometry of GaAs structures

    International Nuclear Information System (INIS)

    Hult, M.

    1994-01-01

    Mass and energy dispersive Recoil Spectrometry (RS) using heavy ions at energies of about 0.2Α-0.8Α MeV has attracted much interest recently due to its potential for separately and unambiguously generating information on isotopic depth distributions. The principal advantages of mass and energy dispersive RS are that both light and heavy elements can be separately studied simultaneously and problems caused by chemical matrix effects are avoided since the technique is based on high energy nucleus-nucleus scattering. In order to elucidate reactions taking place in various GaAs structures, Time of flight-Energy (ToF-E) RS was developed to allow Ga and As to be studied separately down to depths of about 500-800 nm with a depth resolution of about 16 nm at the surface. This was shown in a study of an Al x Ga 1-x As quantum-well structure. The benefits of using ToF-E RS on GaAs structures were further demonstrated in studies of Co/GaAs and CoSi 2 /GaAs reactions, as well as in a study of the composition of MOCVD grown Al x Ga 1-x As. Most recoil measurements employed 127 I at energies of about 50-90 MeV as projectiles. The recoil detector telescope consisted of a silicon energy detector and two carbon foil time pick-off detectors separated by a variable flight length of 213.5-961 mm. The reactions taking place between various thin films and GaAs were also studied using complementary techniques such as XRD, XPS and SEM. Co was found to react extensively with GaAs, already at about 300 degrees C, making it unsuitable as a contact material. Thin films of Co and Si were found to react extensively with each other and to form CoSi 2 at 500 degrees C and above. CoSi 2 , a low resistivity silicide, turned out to be stable on GaAs, at least up to 700 degrees C. Considerable grain growth could cause problems, however, in the use of CoSi 2 -contacts. 112 refs, figs, tabs

  7. Surface segregation and the Al problem in GaAs quantum wells

    Science.gov (United States)

    Chung, Yoon Jang; Baldwin, K. W.; West, K. W.; Shayegan, M.; Pfeiffer, L. N.

    2018-03-01

    Low-defect two-dimensional electron systems (2DESs) are essential for studies of fragile many-body interactions that only emerge in nearly-ideal systems. As a result, numerous efforts have been made to improve the quality of modulation-doped AlxGa1 -xAs /GaAs quantum wells (QWs), with an emphasis on purifying the source material of the QW itself or achieving better vacuum in the deposition chamber. However, this approach overlooks another crucial component that comprises such QWs, the AlxGa1 -xAs barrier. Here we show that having a clean Al source and hence a clean barrier is instrumental to obtain a high-quality GaAs 2DES in a QW. We observe that the mobility of the 2DES in GaAs QWs declines as the thickness or Al content of the AlxGa1 -xAs barrier beneath the QW is increased, which we attribute to the surface segregation of oxygen atoms that originate from the Al source. This conjecture is supported by the improved mobility in the GaAs QWs as the Al cell is cleaned out by baking.

  8. Heavily doped GaAs:Te layers grown by MOVPE using diisopropyl telluride as a source

    Energy Technology Data Exchange (ETDEWEB)

    Daniltsev, V. M.; Demidov, E. V.; Drozdov, M. N.; Drozdov, Yu. N., E-mail: drozdyu@ipmras.ru; Kraev, S. A.; Surovegina, E. A.; Shashkin, V. I.; Yunin, P. A. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2016-11-15

    The capabilities of GaAs epitaxial layers extremely heavily doped with tellurium by metal-organic vapor-phase epitaxy using diisopropyl telluride as a source are studied. It is shown that tellurium incorporation into GaAs occurs to an atomic concentration of 10{sup 21} cm{sup –3} without appreciable diffusion and segregation effects. Good carrier concentrations (2 × 10{sup 19} cm{sup –3}) and specific contact resistances of non-alloyed ohmic contacts (1.7 × 10{sup –6} Ω cm{sup 2}) give grounds to use such layers to create non-alloyed ohmic contacts in electronic devices. A sharp decrease in the electrical activity of Te atoms, a decrease in the electron mobility, and an increase in the contact resistance at atomic concentrations above 2 × 10{sup 20} cm{sup –3} are detected.

  9. Ordered ZnO/AZO/PAM nanowire arrays prepared by seed-layer-assisted electrochemical deposition

    International Nuclear Information System (INIS)

    Shen, Yu-Min; Pan, Chih-Huang; Wang, Sheng-Chang; Huang, Jow-Lay

    2011-01-01

    An Al-doped ZnO (AZO) seed layer is prepared on the back side of a porous alumina membrane (PAM) substrate by spin coating followed by annealing in a vacuum at 400 °C. Zinc oxide in ordered arrays mediated by a high aspect ratio and an ordered pore array of AZO/PAM is synthesized. The ZnO nanowire array is prepared via a 3-electrode electrochemical deposition process using ZnSO 4 and H 2 O 2 solutions at a potential of − 1 V (versus saturated calomel electrode) and temperatures of 65 and 80 °C. The microstructure and chemical composition of the AZO seed layer and ZnO/AZO/PAM nanowire arrays are characterized by field emission scanning electron microscopy (FE-SEM), high-resolution transmission electron microscopy (HR-TEM), and energy-dispersive X-ray spectroscopy (EDS). Results indicate that the ZnO/AZO/PAM nanowire arrays were assembled in the nanochannel of the porous alumina template with diameters of 110–140 nm. The crystallinity of the ZnO nanowires depends on the AZO seed layer during the annealing process. The nucleation and growth process of ZnO/AZO/PAM nanowires are interpreted by the seed-layer-assisted growth mechanism.

  10. Strain in GaAs / InAs core-shell nanowire heterostructures grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Rieger, Torsten; Lepsa, Mihail Ion [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires (NWs) has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. Compared to planar heterostructures, the nanowire approach offers an advantage regarding the possibility to form heterostructures between highly lattice mismatched systems, because the free surface of the nanowires allows to relieve the strain more efficiently. One particular way to form heterostructures in the NW geometry, is the fabrication of core-shell devices, in which a NW core is surrounded by a shell of different material. The understanding of the mutual strain between core and shell, as well as the relaxation behavior of the system are crucial for the fabrication of functional devices. In this contribution we report on first X-ray diffraction measurements of GaAs-core/InAs-shell nanowires grown on GaAs(111) by molecular beam epitaxy. Using symmetric- and grazing-incidence X-ray diffraction, the relaxation state of the InAs shell as well as the strain in the GaAs core are measured as function of the InAs shell thickness, showing a gradual relaxation behavior of the shell.

  11. High room-temperature figure of merit of thin layers prepared by laser ablation from Bi2Te3 target

    International Nuclear Information System (INIS)

    Walachova, J.; Zeipl, R.; Zelinka, J.; Malina, V.; Pavelka, M.; Jelinek, M.; Studnicka, V.; Lost'ak, P.

    2005-01-01

    The figure of merit ZT is measured by a Harman method on simple devices prepared on single thermoelectric layers of different thicknesses. The thermoelectric layers are prepared at different conditions by laser ablation from Bi 2 Te 3 target. The best measured figure of merit ZT is for our devices ZT=2.65. This result is comparable with the results obtained on superlattices. ZT oscillated with the thickness of the layers. On some devices the Seebeck coefficient is measured and using conductivity measurements along the thermoelectric layers the thermal conductivity is estimated from ZT. The low thermal conductivity of samples is explained by the quantum size effect and by existence of few phases of type Bi 2(m+n) Te 3n in the thermoelectric layers

  12. New layered double hydroxides by prepared by the intercalation of gibbsite

    International Nuclear Information System (INIS)

    Rees, Jennifer R.; Burden, Chloe S.; Fogg, Andrew M.

    2015-01-01

    New layered double hydroxides (LDHs) with the composition [MAl 4 (OH) 12 ]Cl 2 ·1.5H 2 O (M=Co, Ni) have been prepared by reacting gibbsite, γ-Al(OH) 3 , with the appropriate chloride salt in a synthesis in which the water of crystallization is the only solvent present and fully characterized. These LDHs have been shown to undergo facile anion exchange reactions with both organic and inorganic anions at room temperature making them comparable to other LDHs in this respect. Reactions under the same conditions with CuCl 2 ·2H 2 O and ZnCl 2 failed to form the desired LDHs but those with nitrate salts did lead to the formation of the previously reported [MAl 4 (OH) 12 ](NO 3 ) 2 ·1.5H 2 O (M=Co, Ni) compounds. - Graphical abstract: New layered double hydroxides (LDHs) with the composition [MAl 4 (OH) 12 ]Cl 2 ·1.5H 2 O (M=Co, Ni) have been prepared by reacting gibbsite, γ-Al(OH) 3 , with the appropriate chloride salt in a synthesis in which no additional solvent is used and fully characterized. These LDHs have been shown to undergo facile anion exchange reactions with both organic and inorganic anions at room temperature. - Highlights: • Synthesis of new layered double hydroxides, [MAl 4 (OH) 12 ]Cl 2 ·1.5H 2 O (M=Co, Ni). • Demonstration of the anion exchange capacity with both organic and inorganic anions. • Demonstration of the generality of the synthesis for LDHs

  13. PREPARATION OF POLY(METHYL METHACRYLATE)/LAYERED DOUBLE HYDROXIDES NANOCOMPOSITES via in situ SOLUTION POLYMERIZATION

    Institute of Scientific and Technical Information of China (English)

    2007-01-01

    An exfoliated layered double hydroxides/poly(methyl methacrylate) (LDHs/PMMA) nanocomposite was prepared by in situ solution polymerization of methyl methacrylate (MMA) in the presence of 4-vinylbenzenesulfonate intercalated LDHs(MgAl-VBS LDHs). MgAl-VBS LDHs was prepared by the ion exchange method, and the structure and composition of the MgAl-VBS LDHs were determined by X-ray diffraction (XRD), infrared spectroscopy and elemental analysis. XRD and transmission electron microscopy (TEM) were employed to examine the structure of LDHs/PMMA nanocomposite. It was indicated that the LDHs layers were well exfoliated and dispersed in the PMMA matrix. The grafting of PMMA onto LDHs was confirmed by the extraction result and the weight fraction of grafted PMMA increased as the weight fraction of LDHs in the nanocomposites increased.

  14. Colloidal silver nanoparticle gradient layer prepared by drying between two walls of different wettability

    International Nuclear Information System (INIS)

    Roth, S V; Kuhlmann, M; Walter, H; Snigirev, A; Snigireva, I; Burghammer, M; Riekel, C; Lengeler, B; Schroer, C G; Mueller-Buschbaum, P

    2009-01-01

    A one-dimensional silver (Ag) nanoparticle gradient layer is prepared from an aqueous colloidal solution upon a polystyrene (PS) coated silicon (Si) substrate. For preparation two walls of different wettability are used. The 40 nm PS-layer exhibits a locally constant film thickness due to the strong roughness correlation with the underlying Si-substrate and is less wettable as compared to the glass plate placed above. The Ag nanoparticles have a triangular prism-like shape. The structural characterization of the obtained complex gradient formed by drying is performed with microbeam grazing incidence small-angle x-ray scattering based on compound refractive lenses. Due to the adsorption from aqueous solution in the selective geometry a double gradient type structure defined by two areas with characteristic lateral lengths and a cross-over regime between both is observed.

  15. Spin transport anisotropy in (110)GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Odilon, D.D.C. Jr.; Rudolph, Joerg; Hey, Rudolf; Santos, Paulo V. [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany); Iikawa, Fernando [Universidade Estadual de Campinas, IFGW, Campinas SP (Brazil)

    2007-07-01

    Mobile piezoelectric potentials are used to coherently transport electron spins in GaAs(110) quantum wells (QW) over distances exceeding 60{mu}m. We demonstrate that the dynamics of mobile spins under external magnetic fields depends on the direction of motion in the QW plane. The weak piezoelectric fields impart a non-vanishing average velocity to the carriers, allowing for the direct observation of the carrier momentum dependence of the spin polarization dynamics. While transport along [001] direction presents high in-plane spin relaxation rates, transport along [ anti 110] shows a much weaker external field dependence due to the non-vanishing internal magnetic field. We show that the anisotropy is an intrinsic property of the underling GaAs matrix, associated with the bulk inversion asymmetry contribution to the LS-coupling.

  16. Wear resistance of WCp/Duplex Stainless Steel metal matrix composite layers prepared by laser melt injection

    NARCIS (Netherlands)

    Do Nascimento, A. M.; Ocelik, V.; Ierardi, M. C. F.; De Hosson, J. Th. M.

    2008-01-01

    Laser Melt Injection (LMI) was used to prepare metal matrix composite layers with a thickness of about 0.7 mm and approximately 10% volume fraction of WC particles in three kinds of Cast Duplex Stainless Steels (CDSSs). WC particles were injected into the molten surface layer using Nd:YAG high power

  17. Radiation damages and electro-conductive characteristics of Neutron-Transmutation-Doped GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Kuriyama, Kazuo; Sato, Masataka; Sakai, Kiyohiro [Hosei Univ., Koganei, Tokyo (Japan). Coll. of Engineering; Okada, Moritami

    1996-04-01

    Neutron Transmutation Doping (NTD) method made it possible to do homogeneous doping of impurities and to easily control the doping level. Thus, the method has been put into practice for some materials such as silicon. Here, the annealing behavior of anti-site defects generated in neutron-irradiated GaAs was studied. Electric activations of NTD-impurities were started around 550degC in P1 and P2 radiation fields, which were coincident with the beginning of extinction of electron trapping which was caused by anti-site defects due to fast neutron radiation. The electric resistivities of GaAs in neutron radiation fields; P1, P2 and P3 changed depending with the annealing temperature. The electric resistivities of GaAs in P1 and P2 fields indicate the presence of hopping conduction through radiation damages. The resistance of GaAs irradiated in P1 was smaller by nearly 2 orders than that of the untreated control. Further, the electric activation process for NTD-impurities was investigated using ESR and Raman spectroscopy. (M.N.)

  18. Preparation of porous hollow silica spheres via a layer-by-layer process and the chromatographic performance

    Science.gov (United States)

    Wei, Xiaobing; Gong, Cairong; Chen, Xujuan; Fan, Guoliang; Xu, Xinhua

    2017-03-01

    Hollow silica spheres possessing excellent mechanical properties were successfully prepared through a layer-by-layer process using uniform polystyrene (PS) latex fabricated by dispersion polymerization as template. The formation of hollow SiO2 micro-spheres, structures and properties were observed in detail by zeta potential, SEM, TEM, FTIR, TGA and nitrogen sorption porosimetry. The results indicated that the hollow spheres were uniform with particle diameter of 1.6 μm and shell thickness of 150 nm. The surface area was 511 m2/g and the pore diameter was 8.36 nm. A new stationary phase for HPLC was obtained by using C18-derivatized hollow SiO2 micro-spheres as packing materials and the chromatographic properties were evaluated for the separation of some regular small molecules. The packed column showed low column pressure, high values of efficiency (up to about 43 000 plates/m) and appropriate asymmetry factors.

  19. Magnetoresistance and Curie temperature of GaAs semiconductor doped with Mn ions

    International Nuclear Information System (INIS)

    Yalishev, V.Sh.

    2006-02-01

    Key words: diluted magnetic semiconductors, magnetoresistance, ferromagnetism, ionic implantation, molecular-beam epitaxy, magnetic clusters, Curie temperature. Subjects of the inquiry: Diluted magnetic semiconductor GaAs:Mn. Aim of the inquiry: determination of the possibility of the increase of Curie temperature in diluted magnetic semiconductors based on GaAs doped with Mn magnetic impurity. Method of inquiry: superconducting quantum interference device (SQUID), Hall effect, magnetoresistance, atomic and magnetic force microscopes. The results achieved and their novelty: 1. The effect of the additional doping of Ga 0,965 Mn 0,035 As magnetic epitaxial layers by nonmagnetic impurity of Be on on the Curie temperature was revealed. 2. The exchange interaction energy in the investigated Ga 0,965 Mn 0,035 As materials was determined by the means of the magnetic impurity dispersion model from the temperature dependence of the resistivity measurements. 3. The effect of magnetic clusters dimensions and illumination on the magnetoresistance of GaAs materials containing nano-dimensional magnetic clusters was studied for the first time. Practical value: Calculated energy of the exchange interaction between local electrons of magnetic ions and free holes in Ga 1-x Mn x As magnetic semiconductors permitted to evaluate the theoretical meaning of Curie temperature depending on concentration of free holes and to compare it with experimental data. Sphere of usage: micro- and nano-electronics, solid state physics, physics of semiconductors, magnetic materials physics, spin-polarized current sources. (author)

  20. Electric characterization of GaAs deposited on porous silicon by electrodeposition technique

    International Nuclear Information System (INIS)

    Lajnef, M.; Chtourou, R.; Ezzaouia, H.

    2010-01-01

    GaAs thin films were synthesized on porous Si substrate by the electrodeposition technique. The X-ray diffraction studies showed that the as-grown films were crystallised in mixed phase nature orthorhombic and cubic of GaAs. The GaAs film was then electrically characterized using current-voltage (I-V) and capacitance-voltage (C-V) techniques by the way of Al/GaAs Schottky junctions. The electric analysis allowed us to determine the n factor and the barrier height φ b0 parameters of Al/GaAs Schottky junctions. The (C-V) characteristics were recorded at frequency signal 1 MHz in order to identify the effect of the surface states on the behaviour of the capacitance of the device.

  1. The barrier to misfit dislocation glide in continuous, strained, epitaxial layers on patterned substrates

    International Nuclear Information System (INIS)

    Watson, G.P.; Ast, D.G.; Anderson, T.J.; Pathangey, B.

    1993-01-01

    In a previous report [G. P. Watson, D. G. Ast, T. J. Anderson, and Y. Hayakawa, Appl. Phys. Lett. 58, 2517 (1991)] we demonstrated that the motion of misfit dislocations in InGaAs, grown by organometallic vapor phase epitaxy on patterned GaAs substrates, can be impeded even if the strained epitaxial layer is continuous. Trenches etched into GaAs before growth are known to act as a barrier to misfit dislocation propagation [E. A. Fitzgerald, G. P. Watson, R. E. Proano, D. G. Ast, P. D. Kirchner, G. D. Pettit, and J. M. Woodall, J. Appl. Phys. 65, 2220 (1989)] when those trenches create discontinuities in the epitaxial layers; but even shallow trenches, with continuous strained layers following the surface features, can act as barriers. By considering the strain energy required to change the length of the dislocation glide segments that stretch from the interface to the free surface, a simple model is developed that explains the major features of the unique blocking action observed at the trench edges. The trench wall angle is found to be an important parameter in determining whether or not a trench will block dislocation glide. The predicted blocking angles are consistent with observations made on continuous 300 and 600 nm thick In 0.04 Ga 0.96 As films on patterned GaAs. Based on the model, a structure is proposed that may be used as a filter to yield misfit dislocations with identical Burgers vectors or dislocations which slip in only one glide plane

  2. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  3. Nuclear spin warm up in bulk n -GaAs

    Science.gov (United States)

    Kotur, M.; Dzhioev, R. I.; Vladimirova, M.; Jouault, B.; Korenev, V. L.; Kavokin, K. V.

    2016-08-01

    We show that the spin-lattice relaxation in n -type insulating GaAs is dramatically accelerated at low magnetic fields. The origin of this effect, which cannot be explained in terms of well-known diffusion-limited hyperfine relaxation, is found in the quadrupole relaxation, induced by fluctuating donor charges. Therefore, quadrupole relaxation, which governs low field nuclear spin relaxation in semiconductor quantum dots, but was so far supposed to be harmless to bulk nuclei spins in the absence of optical pumping, can be studied and harnessed in the much simpler model environment of n -GaAs bulk crystal.

  4. Amateurism in an Age of Professionalism: An Empirical Examination of an Irish Sporting Culture: The GAA

    Directory of Open Access Journals (Sweden)

    Ian Keeler

    2013-07-01

    This research study recommends that the GAA adopt an innovative approach, through strategic decision-making, to allow the GAA to maintain its amateur ethos, and, yet, successfully compete in the professional sporting market. The strong links with the community must be both nurtured and enhanced. The GAA and Gaelic games must embrace the challenges that the branding success of foreign sports has brought. Player welfare issues for the elite players must be addressed while continuing to protect the club and its amateur structures. The study looks at the key metrics that are required to evolve the GAA. This entails not only focusing on the perceived importance of the amateur ethos to the GAA, but also developing the marketing, branding and profiling of Gaelic games to enhance the performance of an amateur sporting organization in an era of increased professionalism in sport.

  5. Preparation and recording characteristics of granular-type perpendicular magnetic recording media with thin intermediate layer

    International Nuclear Information System (INIS)

    Shintaku, K.; Kiya, T.

    2008-01-01

    Granular-type media with thin Ru intermediate layer were prepared on a highly oriented high-B s FeCo soft underlayer (SUL). A CoPt-TiO 2 recording layer on a Ru intermediate layer of only 2 nm had high-crystal orientation, high H c of 6.5 kOe, and a high squareness ratio (SQ) of 0.99. The magnetic property of the SUL was also good. The recording performance was measured for the media with different Ru intermediate thicknesses by using a single-pole-type (SPT) head. The media had large reproduced output even for the Ru intermediate layer thickness of 2 nm

  6. Preparation and recording characteristics of granular-type perpendicular magnetic recording media with thin intermediate layer

    Energy Technology Data Exchange (ETDEWEB)

    Shintaku, K. [Akita Research Institute of Advanced Technology, Akita Prefectural R and D Center, 4-21 Sanuki, Araya, Akita 010-1623 (Japan)], E-mail: shintaku@ait.pref.akita.jp; Kiya, T. [Akita Research Institute of Advanced Technology, Akita Prefectural R and D Center, 4-21 Sanuki, Araya, Akita 010-1623 (Japan)

    2008-11-15

    Granular-type media with thin Ru intermediate layer were prepared on a highly oriented high-B{sub s} FeCo soft underlayer (SUL). A CoPt-TiO{sub 2} recording layer on a Ru intermediate layer of only 2 nm had high-crystal orientation, high H{sub c} of 6.5 kOe, and a high squareness ratio (SQ) of 0.99. The magnetic property of the SUL was also good. The recording performance was measured for the media with different Ru intermediate thicknesses by using a single-pole-type (SPT) head. The media had large reproduced output even for the Ru intermediate layer thickness of 2 nm.

  7. Dynamical properties of tertiarybutylarsine on GaAs(0 0 1) surface

    CERN Document Server

    Ozeki, M; Tanaka, Y

    2002-01-01

    The dynamical properties of tertiarybutylarsine (TBA) was studied on GaAs(0 0 1) surface using a supersonic molecular beam. The temperature and incident energy dependence of the reflected beam revealed a reaction channel of TBA on GaAs surface with a large decrease in the activation energy from 2.7 to 1.8 eV as the incident energy increases from 0.04 to 2.5 eV.

  8. Doping assessment in GaAs nanowires

    DEFF Research Database (Denmark)

    Goktas, N. Isik; Fiordaliso, Elisabetta Maria; LaPierre, R. R.

    2018-01-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs...

  9. The influence of annealing on manganese implanted GaAs films

    International Nuclear Information System (INIS)

    Buerger, Danilo; Zhou, Shengqiang; Grenzer, Joerg; Reuther, Helfried; Anwand, Wolfgang; Gottschalch, Volker; Helm, Manfred; Schmidt, Heidemarie

    2009-01-01

    Besides low-temperature molecular beam epitaxy, ion implantation provides an alternative route to incorporate Mn into GaAs above the equilibrium solubility limit. Recently, Mn implanted GaAs diluted magnetic semiconductor was obtained by pulsed laser annealing. However, post-implantation annealing can lead to the formation of secondary phases. In order to compare the post-annealing effect, we investigate GaMnAs by implanting up to 6 at% Mn followed by rapid thermal and flashlamp annealing. The structural properties were probed by high resolution X-ray diffraction. The magnetic properties were determined by SQUID measurements. Auger electron spectroscopy has been used to profile the depth distribution of Mn in GaAs after implantation and annealing. We elucidate after implantation a loss of As and that during rapid thermal annealing most of the Mn diffuses towards the surface. Flash lamp annealing prevents out-diffusion, but the recrystallisation efficiency is low. Only the flash lamp annealed samples reveal weak ferromagnetism.

  10. Influence of substrate preparation on the shaping of the topography of the surface of nanoceramic oxide layers

    Science.gov (United States)

    Bara, Marek; Kubica, Marek

    2014-02-01

    The paper discusses the shaping mechanism and changes occurring in the structure and topography of the surface of nanoceramic oxide layers during their formation. The paper presents the influence of substrate preparation on the surface topography of oxide layers. The layers were produced via hard anodizing on the EN AW-5251 aluminum alloy. The layers obtained were subjected to microscope examinations, image and chemical composition analyses, and stereometric examinations. Heredity of substrate properties in the topography of the surface of nanoceramic oxide layers formed as a result of electrochemical oxidation has been shown.

  11. Singularities of current-voltage characteristics of GaAs films fabricated by pulsed ions ablation

    International Nuclear Information System (INIS)

    Kabyshev, A.V.; Konusov, F.V.; Lozhnikov, S.N.; Remnev, G.E.; Saltymakov, M.S.

    2009-01-01

    A singularities and advantages of the optical, photoelectric and electrical properties of GaAs in comparison with other available materials for electronics, for example, silicon allow to manufacture on it base the devices having an advanced characteristics. The GaAs for electronics, obtained from the dense ablation plasma, possess some preferences as compared to material manufactured by traditional methods of vacuum deposition. The electrical characteristics of GaAs produced by chemical deposition were extensively studied. Purpose of this work is investigation the current-voltage characteristics of thin films of GaAs, deposited on polycrystalline corundum (polycor) from plasma forming the power ions bunch and determination of the thermal vacuum annealing effect on photoelectric and electrical properties of films. Peculiarities of optical, photoelectric and current-voltage characteristics of films obtained by ions ablation are determined by deposition conditions and resistance of initial target GaAs. The transitions between the states with low- and high conduction were revealed directly after deposition in films having the optical properties similar to amorphous materials and/or after annealing in films with properties similar to initial target GaAs. Behavior of current-voltage characteristics at vacuum annealing correlates with Schottky barrier height and photosensitivity and is accompanies of the transport mechanism change. The stable properties of films are formed at its dark conduction 10 -10 -10 -8 s and after annealing at T an =600-700 K. (authors)

  12. Lateral n-p-n bipolar transistors by ion implantation into semi-insulating GaAs

    International Nuclear Information System (INIS)

    Canfield, P.; Forbes, L.

    1988-01-01

    GaAs bipolar transistors have not seen the major development effort that GaAs MESFETs have due primarily to the short minority carrier lifetimes in GaAs. The short minority carrier lifetimes require that the base region be very thin which, if done by implantation, requires that the doping be high to obtain a well defined base profile. These requirements are very difficult to achieve in GaAs and typically, if high current gain and high speed are desired for a bipolar technology, then heterostructure bipolars are the appropriate technology, although the cost of heterostructure devices will be prohibitive for some time to come. For applications requiring low current gain, more modest fabrication rules can be followed. Lateral bipolars are particularly attractive since they would be easier to fabricate than a planar bipolar or a heterojunction bipolar. Lateral bipolars do not require steps or deep contacts to make contact with the subcollector or highly doped very thin epilayers for the base region and they can draw upon the semi-insulating properties of the GaAs substrates for device isolation. Bipolar transistors are described and shown to work successfully. (author)

  13. Congruent evaporation temperature of GaAs(001) controlled by As flux

    International Nuclear Information System (INIS)

    Zhou, Z. Y.; Zheng, C. X.; Tang, W. X.; Jesson, D. E.; Tersoff, J.

    2010-01-01

    The congruent evaporation temperature T c is a fundamental surface characteristic of GaAs and similar compounds. Above T c the rate of As evaporation exceeds that of Ga during Langmuir (free) evaporation into a vacuum. However, during molecular beam epitaxy (MBE) there is generally an external As flux F incident on the surface. Here we show that this flux directly controls T c . We introduce a sensitive approach to measure T c based on Ga droplet stability, and determine the dependence of T c on F. This dependence is explained by a simple model for evaporation in the presence of external flux. The capability of manipulating T c via changing F offers a means of controlling congruent evaporation with relevance to MBE, surface preparation methods, and droplet epitaxy.

  14. Neutron-damaged GaAs detectors for use in a Compton spectrometer

    International Nuclear Information System (INIS)

    Kammeraad, J.E.; Sale, K.E.; Wang, C.L.; Baltrusaitis, R.M.

    1992-01-01

    Detectors made of GaAs are being studies for use on the focal plane of a Compton spectrometer which measures 1-MeV to 25-MeV gamma rays with high energy resolution (1% or 100 keV, whichever is greater) and 200-ps time resolution. The detectors are GaAs chips that have been neutron-damaged to improve the time response. The detectors will be used to measure fast transient signals in the current mode. The properties of various GaAs detector configurations are being studied by bombarding sample detectors with short pulses of 4-MeV to 16-MeV electrons at the Linac Facility at EG ampersand G Energy Measurements, Inc., Santa Barbara Operations. Measurements of detector sensitivity and impulse response versus detector bias, thickness, and electron beam energy and intensity have been performed and are presented. 5 refs

  15. ITER TASK T252 (1995):Gamma radiation testing of a GaAs operational amplifier for instrument applications

    International Nuclear Information System (INIS)

    Hiemstra, D.

    1996-03-01

    The purpose of this 1995 ITER task was : to build an improved operational amplifier using GaAs MESFET technology, to build a reference voltage subcircuit using GaAs MESFET technology and to investigate the potential of GaAs HBT's to improve the noise performance of the GaAs MESFET operational amplifier. This work addresses the need for instrumentation-grade components to read sensors in an experimental fusion reactor, where the anticipated total dose for a useful service life is 3Grad(GaAs). It is an extension of our 1994 work. 3 tabs., 6 figs

  16. Identification of the limiting factors for high-temperature GaAs, GaInP, and AlGaInP solar cells from device and carrier lifetime analysis

    Science.gov (United States)

    Perl, E. E.; Kuciauskas, D.; Simon, J.; Friedman, D. J.; Steiner, M. A.

    2017-12-01

    We analyze the temperature-dependent dark saturation current density and open-circuit voltage (VOC) for GaAs, GaInP, and AlGaInP solar cells from 25 to 400 °C. As expected, the intrinsic carrier concentration, ni, dominates the temperature dependence of the dark currents. However, at 400 °C, we measure VOC that is ˜50 mV higher for the GaAs solar cell and ˜60-110 mV lower for the GaInP and AlGaInP solar cells compared to what would be expected from commonly used solar cell models that consider only the ni2 temperature dependence. To better understand these deviations, we measure the carrier lifetimes of p-type GaAs, GaInP, and AlGaInP double heterostructures (DHs) from 25 to 400 °C using time-resolved photoluminescence. Temperature-dependent minority carrier lifetimes are analyzed to determine the relative contributions of the radiative recombination, interface recombination, Shockley-Read-Hall recombination, and thermionic emission processes. We find that radiative recombination dominates for the GaAs DHs with the effective lifetime approximately doubling as the temperature is increased from 25 °C to 400 °C. In contrast, we find that thermionic emission dominates for the GaInP and AlGaInP DHs at elevated temperatures, leading to a 3-4× reduction in the effective lifetime and ˜40× increase in the surface recombination velocity as the temperature is increased from 25 °C to 400 °C. These observations suggest that optimization of the minority carrier confinement layers for the GaInP and AlGaInP solar cells could help to improve VOC and solar cell efficiency at elevated temperatures. We demonstrate VOC improvement at 200-400 °C in GaInP solar cells fabricated with modified AlGaInP window and back surface field layers.

  17. Basic mechanisms study for MIS solar cell structures on GaAs

    Science.gov (United States)

    Fonash, S. J.

    1978-01-01

    The solar cell structure examined is the MIS configuration on (n) GaAs. The metal room temperature oxide/(n) GaAs materials system was studied. Metals with electronegativities varying from 2.4 (Au) to 1.5 (Al) were used as the upper electrode. The thinnest metallization that did not interfere with the measurement techniques (by introducing essentially transmission line series resistance problems across a device) was used. Photovoltaic response was not optimized.

  18. Photoreflectance study of InAs ultrathin layer embedded in Si-delta-doped GaAs/AlGaAs quantum wells

    Energy Technology Data Exchange (ETDEWEB)

    Dhifallah, I., E-mail: ines.dhifallah@gmail.co [Laboratoire de Photovoltaique, des Semiconducteurs et des Nanostructures, Centre de Recherche et des Technologies de l' energie, BP 95 Hammam-Lif 2050 (Tunisia); Daoudi, M.; Bardaoui, A. [Laboratoire de Photovoltaique, des Semiconducteurs et des Nanostructures, Centre de Recherche et des Technologies de l' energie, BP 95 Hammam-Lif 2050 (Tunisia); Eljani, B. [Unite de recherche sur les Hetero-Epitaxie et Applications, Faculte des Sciences de Monastir (Tunisia); Ouerghi, A. [Laboratoire de Photonique et de Nanostructures, CNRS Route de Nozay 91 46a0, Marcoussis (France); Chtourou, R. [Laboratoire de Photovoltaique, des Semiconducteurs et des Nanostructures, Centre de Recherche et des Technologies de l' energie, BP 95 Hammam-Lif 2050 (Tunisia)

    2011-05-15

    Photoreflectance and photoluminescence studies were performed to characterize InAs ultrathin layer embedded in Si-delta-doped GaAs/AlGaAs high electron mobility transistors. These structures were grown by Molecular Beam Epitaxy on (1 0 0) oriented GaAs substrates with different silicon-delta-doped layer densities. Interband energy transitions in the InAs ultrathin layer quantum well were observed below the GaAs band gap in the photoreflectance spectra, and assigned to electron-heavy-hole (E{sub e-hh}) and electron-light-hole (E{sub e-lh}) fundamental transitions. These transitions were shifted to lower energy with increasing silicon-{delta}-doping density. This effect is in good agreement with our theoretical results based on a self-consistent solution of the coupled Schroedinger and Poisson equations and was explained by increased escape of photogenerated carriers and enhanced Quantum Confined Stark Effect in the Si-delta-doped InAs/GaAs QW. In the photoreflectance spectra, not only the channel well interband energy transitions were observed, but also features associated with the GaAs and AlGaAs bulk layers located at about 1.427 and 1.8 eV, respectively. By analyzing the Franz-Keldysh Oscillations observed in the spectral characteristics of Si-{delta}-doped samples, we have determined the internal electric field introduced by ionized Si-{delta}-doped centers. We have observed an increase in the electric field in the InAs ultrathin layer with increasing silicon content. The results are explained in terms of doping dependent ionized impurities densities and surface charges. - Research highlights: {yields} Studying HEMTs structures with different silicon doping content. {yields} An increase of the electric field in the InAs layer with increasing Si content. {yields} The interband energy transitions in the HEMTs structures have been obtained from PR. {yields} Experimental and theoretical values of transitions energies were in good agreement.

  19. Photoreflectance study of InAs ultrathin layer embedded in Si-delta-doped GaAs/AlGaAs quantum wells

    International Nuclear Information System (INIS)

    Dhifallah, I.; Daoudi, M.; Bardaoui, A.; Eljani, B.; Ouerghi, A.; Chtourou, R.

    2011-01-01

    Photoreflectance and photoluminescence studies were performed to characterize InAs ultrathin layer embedded in Si-delta-doped GaAs/AlGaAs high electron mobility transistors. These structures were grown by Molecular Beam Epitaxy on (1 0 0) oriented GaAs substrates with different silicon-delta-doped layer densities. Interband energy transitions in the InAs ultrathin layer quantum well were observed below the GaAs band gap in the photoreflectance spectra, and assigned to electron-heavy-hole (E e-hh ) and electron-light-hole (E e-lh ) fundamental transitions. These transitions were shifted to lower energy with increasing silicon-δ-doping density. This effect is in good agreement with our theoretical results based on a self-consistent solution of the coupled Schroedinger and Poisson equations and was explained by increased escape of photogenerated carriers and enhanced Quantum Confined Stark Effect in the Si-delta-doped InAs/GaAs QW. In the photoreflectance spectra, not only the channel well interband energy transitions were observed, but also features associated with the GaAs and AlGaAs bulk layers located at about 1.427 and 1.8 eV, respectively. By analyzing the Franz-Keldysh Oscillations observed in the spectral characteristics of Si-δ-doped samples, we have determined the internal electric field introduced by ionized Si-δ-doped centers. We have observed an increase in the electric field in the InAs ultrathin layer with increasing silicon content. The results are explained in terms of doping dependent ionized impurities densities and surface charges. - Research highlights: → Studying HEMTs structures with different silicon doping content. → An increase of the electric field in the InAs layer with increasing Si content. → The interband energy transitions in the HEMTs structures have been obtained from PR. → Experimental and theoretical values of transitions energies were in good agreement.

  20. Influence of the surface topography, morphology and structure on magnetic properties of ion beam sputtered iron layers, Fe/Cr/Fe- and Fe/MgO/Fe multilayers; Untersuchung der Morphologie und magnetische Eigenschaften von ionenstrahl-gesputterten Eisen-Einzelschichten, Fe/Cr/Fe- und Fe/MgO/Fe-Schichtsystemen

    Energy Technology Data Exchange (ETDEWEB)

    Steeb, Alexandra

    2007-04-05

    In this PhD Thesis, the influence of the surface topography, morphology and structure on magnetic properties of ion beam sputtered iron layers on GaAs is examined. To analyze the structure of the produced iron films, low energy electron diffraction and scanning tunneling microscopy is employed. The utilized methods to investigate the magnetic properties are Kerr- and SQUID-magnetometry and ferromagnetic resonance. It is demonstrated that on untreated as well as on presputtered and heated GaAs substrates the sputtered iron films grow epitaxially. The least surface roughness of 1 A exhibit iron films grown on untreated GaAs, while iron films on heated GaAs have the highest roughness of 30 A. The largest crystal anisotropy constant is found for the presputtered GaAs/Fe-System. For this preparation method, two monolayers of iron are determined to be magnetically dead layers. At a film thickness of 100 A, 83% of the value for saturation magnetization of bulk iron are achieved. The small observed FMR-linewidths confirm the good bulk properties of the ion beam sputtered iron. Furthermore, an antiferromagnetic interlayer exchange coupling in sputtered Fe/Cr/Fe-films was achieved. For a thickness of 12 to 17 A of the chrome interlayer, a coupling strength up to 0.2 mJ/m{sup 2} is found. To account for the small coupling strength, a strong intermixing at the interface is assumed. Finally, epitaxial Fe/MgO/Fe/FeMn multilayers are deposited on GaAs. After the structuring, it is possible to detect tunneling processes in the tunneling contacts with current-voltage measurements. The tunnel magneto resistance values of 2% are small, which can be explained by the absence of sharp, well-defined interfaces between the Fe/FeMn and the Fe/MgO interfaces. These results demonstrate, that analog to MBE the ion beam sputtering method realizes good magnetic bulk properties. However, interface sensitive phenomena are weakened because of a strong intermixing at the interfaces. (orig.)

  1. Surface plasmon enhanced SWIR absorption at the ultra n-doped substrate/PbSe nanostructure layer interface

    Science.gov (United States)

    Wittenberg, Vladimir; Rosenblit, Michael; Sarusi, Gabby

    2017-08-01

    This work presents simulation results of the plasmon enhanced absorption that can be achieved in the short wavelength infrared (SWIR - 1200 nm to 1800 nm) spectral range at the interface between ultra-heavily doped substrates and a PbSe nanostructure non-epitaxial growth absorbing layer. The absorption enhancement simulated in this study is due to surface plasmon polariton (SPP) excitation at the interface between these ultra-heavily n-doped GaAs or GaN substrates, which are nearly semimetals to SWIR light, and an absorption layer made of PbSe nano-spheres or nano-columns. The ultra-heavily doped GaAs or GaN substrates are simulated as examples, based on the Drude-Lorentz permittivity model. In the simulation, the substrates and the absorption layer were patterned jointly to forma blazed lattice, and then were back-illuminated using SWIR with a central wavelength of 1500 nm. The maximal field enhancement achieved was 17.4 with a penetration depth of 40 nm. Thus, such architecture of an ultra-heavily doped semiconductor and infrared absorbing layer can further increase the absorption due to the plasmonic enhanced absorption effect in the SWIR spectral band without the need to use a metallic layer as in the case of visible light.

  2. Electric characterization of GaAs deposited on porous silicon by electrodeposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Lajnef, M., E-mail: Mohamed.lajnef@yahoo.fr [Laboratoire de Photovoltaique et de Semi-conducteurs, Centre de Recherche et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia); Chtourou, R.; Ezzaouia, H. [Laboratoire de Photovoltaique et de Semi-conducteurs, Centre de Recherche et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia)

    2010-03-01

    GaAs thin films were synthesized on porous Si substrate by the electrodeposition technique. The X-ray diffraction studies showed that the as-grown films were crystallised in mixed phase nature orthorhombic and cubic of GaAs. The GaAs film was then electrically characterized using current-voltage (I-V) and capacitance-voltage (C-V) techniques by the way of Al/GaAs Schottky junctions. The electric analysis allowed us to determine the n factor and the barrier height {phi}{sub b0} parameters of Al/GaAs Schottky junctions. The (C-V) characteristics were recorded at frequency signal 1 MHz in order to identify the effect of the surface states on the behaviour of the capacitance of the device.

  3. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    Science.gov (United States)

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  4. A comparative study of Mg and Pt contacts on semi-insulating GaAs: Electrical and XPS characterization

    Energy Technology Data Exchange (ETDEWEB)

    Dubecký, F., E-mail: elekfdub@savba.sk [Institute of Electrical Engineering, SAS, Dúbravská cesta 9, Bratislava, SK-84104 (Slovakia); Kindl, D.; Hubík, P. [Institute of Physics CAS, v.v.i., Cukrovarnická 10, CZ-16200 Prague (Czech Republic); Mičušík, M. [Polymer Institute, SAS, Dúbravská cesta 9, Bratislava, SK-84541 (Slovakia); Dubecký, M. [Department of Physics, Faculty of Science, University of Ostrava, 30. dubna 22, CZ-70103 Ostrava 1 (Czech Republic); Boháček, P.; Vanko, G. [Institute of Electrical Engineering, SAS, Dúbravská cesta 9, Bratislava, SK-84104 (Slovakia); Gombia, E. [IMEM-CNR, Parco area delle Scienze 37/A, Parma, I-43010 (Italy); Nečas, V. [Faculty of Electrical Engineering and Information Technology, SUT, Ilkovičova 3, Bratislava, SK-81219 (Slovakia); Mudroň, J. [Department of Electronics, Academy of Armed Forces, Demänová 393, Liptovský Mikuláš, SK-03106 (Slovakia)

    2017-02-15

    Highlights: • Explored were diodes with full-area low/high work function metal contacts on semi-insulating GaAs (S). • The Mg-S-Mg diode is promising for radiation detectors for its low high-field current. • The XPS analysis of Mg-S interface shows presence of MgO instead of Mg metal. - Abstract: We present a comparative study of the symmetric metal-SI GaAs-metal (M-S-M) diodes with full-area contacts on both device sides, in order to demonstrate the effect of contact metal work function in a straightforward way. We compare the conventional high work function Pt contact versus the less explored low work function Mg contact. The Pt-S-Pt, Mg-S-Mg and mixed Mg-S-Pt structures are characterized by the current-voltage measurements, and individual Pt-S and Mg-S contacts are investigated by the X-ray photoelectron spectroscopy (XPS). The transport measurements of Mg-S-Pt structure show a significant current decrease at low bias while the Mg-S-Mg structure shows saturation current at high voltages more than an order of magnitude lower with respect to the Pt-S-Pt reference. The phenomena observed in Mg-containing samples are explained by the presence of insulating MgO layer at the M-S interface, instead of the elementary Mg, as confirmed by the XPS analysis. Alternative explanations of the influence of MgO layer on the effective resistance of the structures are presented. The reported findings have potential applications in M-S-M sensors and radiation detectors based on SI GaAs.

  5. The effect of sulphur-terminated GaAs substrates on the MOVPE growth of CuGaS2 thin films

    International Nuclear Information System (INIS)

    Berndt, P.R.; Botha, J.R.; Branch, M.S.; Leitch, A.W.R.; Kirmse, H.; Neumann, W.; Weber, J.

    2007-01-01

    In this study, various CuGaS 2 layers were grown on GaAs (001) substrates using metalorganic vapour phase epitaxy, for the purpose of studying the effect of sulphur-termination of the substrate on layer quality. The resultant films were investigated using X-ray diffractometry, and transmission electron microscopy, with high-resolution transmission electron microscopy providing additional insights into crystallite growth on the control substrates. This paper will demonstrate that sulphur-termination limits substrate degradation. In the absence of sulphur-termination, atypical three-dimensional MOVPE growth is observed, with epitaxial crystallites varying in size from 10 nm to 200 nm. Substrate degradation inhibits lateral growth at the interface resulting in amorphous regions, cavities, and epitaxial crystallites demonstrating overgrowth into mushroom-like structures

  6. Modification of inkjet printer for polymer sensitive layer preparation on silicon-based gas sensors

    Directory of Open Access Journals (Sweden)

    Tianjian Li

    2015-04-01

    Full Text Available Inkjet printing is a versatile, low cost deposition technology with the capabilities for the localized deposition of high precision, patterned deposition in a programmable way, and the parallel deposition of a variety of materials. This paper demonstrates a new method of modifying the consumer inkjet printer to prepare polymer-sensitive layers on silicon wafer for gas sensor applications. A special printing tray for the modified inkjet printer to support a 4-inch silicon wafer is designed. The positioning accuracy of the deposition system is tested, based on the newly modified printer. The experimental data show that the positioning errors in the horizontal direction are negligibly small, while the positioning errors in the vertical direction rise with the increase of the printing distance of the wafer. The method for making suitable ink to be deposited to form the polymer-sensitive layer is also discussed. In the testing, a solution of 0.1 wt% polyvinyl alcohol (PVA was used as ink to prepare a sensitive layer with certain dimensions at a specific location on the surface of the silicon wafer, and the results prove the feasibility of the methods presented in this article.

  7. Origin and behavior of main electron traps in Si-implanted GaAs

    International Nuclear Information System (INIS)

    Fang, Z.Q.; Yamamoto, H.; Look, D.C.

    1990-01-01

    The electron traps in Si-implanted active layers (n ∼ 10 17 cm -3 ) have been studied by capacitance and conductance DLTS techniques in conjunction with different anneal conditions, which include rapid thermal anneals at different temperatures and furnace anneals with Si 3 N 4 cap or capless in an AsH 3 atmosphere. As compared to the electron traps in as-grown bulk n-GaAs (n ∼ 4 x 10 16 cm -3 ), nearly the same electron traps, i.e. EL2, EL3, EL4, EL5, EL6, and EL9 can be observed in the Si-implanted layers. Through a comparison with the annealing behavior of the main electron traps in bulk n-GaAs, the processing associated origins of some of the traps (EL2, EL3, EL4, EL5 and EL9) observed in Si-implanted GaAs layers have been determined. For some Si-implanted capped with Si 3 N 4 and furnace annealed, traps EL3 and EL4 dominate the trap EL2. In such layers it is found that emission due to EL3 is reduced while emission from EL12 is augmented by increasing the filling pulse width from 10 μs to 5 x 10 3 μs. In this paper phenomenon is explained in terms of a defect reaction enhanced by electron capture, showing a metastability or bistability

  8. Perpendicular magnetic tunnel junction with tunneling magnetoresistance ratio of 64% using MgO (100) barrier layer prepared at room temperature

    International Nuclear Information System (INIS)

    Ohmori, Hideto; Hatori, Tomoya; Nakagawa, Shigeki

    2008-01-01

    MgO (100) textured films can be prepared by reactive facing targets sputtering at room temperature without postdeposition annealing process when they were deposited on (100) oriented Fe buffer layers. This method allows fabrication of perpendicular magnetic tunnel junction (p-MTJ) with MgO (100) tunneling barrier layer and rare-earth transition metal (RE-TM) alloy thin films as perpendicularly magnetized free and pinned layers. The 3-nm-thick MgO tunneling barrier layer in p-MTJ multilayer prepared on glass substrate revealed (100) crystalline orientation. Extraordinary Hall effect measurement clarified that the perpendicular magnetic components of 3-nm-thick Fe buffer layers on the two ends of MgO tunneling barrier layer were increased by exchange coupling with RE-TM alloy layers. The RA of 35 kΩ μm 2 and tunneling magnetoresistance ratio of 64% was observed in the multilayered p-MTJ element by current-in-plane-tunneling

  9. X-ray electron density distribution of GaAs

    International Nuclear Information System (INIS)

    Pietsch, U.

    1986-01-01

    Using ten X-ray structure amplitudes of strong reflections and nine weak reflections both, the valence electron and the difference electron density distribution of GaAs, are calculated. The experimental data are corrected for anomalous dispersion using a bond charge model. The calculated plots are compared with up to now published band structure-based and semiempirically calculated density plots. Taking into account the experimental data of germanium, measured on the same absolute scale, the difference density between GaAs and Ge is calculated. This exhibits the charge transfer between both the f.c.c.-sublattices as well as both, the shift and the decrease of the bond charge, quite closely connected to the theoretical results published by Baur et al. (author)

  10. Determination of stress distribution in III-V single crystal layers for heterogeneous integration applications

    Energy Technology Data Exchange (ETDEWEB)

    Jackson, M.; Hayashi, S. [Dept. of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Goorsky, M.S.; Sandhu, R.; Chang-Chien, P.; Gutierrez-Aitken, A.; Tsai, R. [Northrop Grumman Space Technology, Redondo Beach, CA 90278 (United States); Noori, A.; Poust, B. [Dept. of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Northrop Grumman Space Technology, Redondo Beach, CA 90278 (United States)

    2007-08-15

    Double crystal X-ray diffraction imaging and a variable temperature stage are employed to determine the stress distribution in heterogeneous wafer bonded layers though the superposition of images produced at different rocking curve angles. The stress distribution in InP layers transferred to a silicon substrate at room temperature exhibits an anticlastic deformation, with different regions of the wafer experiencing different signs of curvature. Measurements at elevated temperatures ({<=}125 C) reveals that differences in thermal expansion coefficients dominate the stress and that interfacial particulates introduce very high local stress gradients that increase with increased temperature. For thinned GaAs substrates (100 {mu}m) bonded using patterned metal interlayers to a separate GaAs substrate at {approx}200 C, residual stresses are produced at room temperature due to local stress points from metallization contacts and vias and the complex stress patterns can be observed using the diffraction imaging technique. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Electrical properties of Ga ion beam implanted GaAs epilayer

    International Nuclear Information System (INIS)

    Hirayama, Yoshiro; Okamoto, Hiroshi

    1985-01-01

    Resistivity enhancement by 5 orders or more was realized by Ga focused ion beam implantation into n + and p + GaAs epilayers. For originally n + epilayers, this resistivity enhancement is maintained after annealing as high as 800 deg C. However this enhancement disappears after annealing at above 650 deg C for p + epilayer. This property makes GaAs high resistive only in a limited area whose minimum dimension is 0.1 μm or less, and is attractive for a device fabrication process to electrically isolate integrated elements. (author)

  12. The investigation of alloy formation during InAs nanowires growth on GaAs (111)B substrate

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Walter-Flex-Str. 3, Siegen 57072 (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institute (PGI-9), Forschungzentrum Juelich, Juelich 52425 (Germany)

    2013-07-01

    A possible way to obtain nanowires is the growth in molecular beam epitaxy (MBE) on the (111) oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of two different series (etched and unetched) of NWs samples. Vertically aligned InAs nanowires (NWs) doped with Si were self-assisted grown by molecular beam epitaxy on GaAs [111]B substrates covered with a thin SiO{sub x} layer. Using a combination of symmetric and asymmetric X-ray diffraction we study the influence of Si supply on the growth process and nanostructure formation. We find that the number of parasitic crystallites grown between the NWs increases with increasing Si flux. In addition, we observe the formation of a Ga{sub 0.2}In{sub 0.8}As alloy if the growth is performed on samples covered by a defective (etched) oxide layer. This alloy formation is observed within the crystallites and not within the nanowires. The Gallium concentration is determined from the lattice mismatch of the crystallites relative to the InAs nanowires. No alloy formation is found for samples with faultless oxide layers.

  13. Preparation of thin layers of BiSrCaCuO by method MOCVD

    International Nuclear Information System (INIS)

    Beran, P.; Stejskal, J.; Strejc, A.; Nevriva, M.; Sedmibudsky, D.; Leitner, J.

    1999-01-01

    Preparation of superconducting material on the basis mixed oxides of BiSrCaCuO by chemical vapour deposition (CVD) method is described. Surface morphology and concentration profiles of elements were analyzed by scanning electron microscope and microprobe. Phase of layers was analysed by X-ray diffraction (radiation of Cu kα ). Samples of thin layers were characterized by magnetic susceptibility in temperature interval 10 to 150 K. Obtained results confirm formation of superconducting phases Bi 2 Sr 2 Ca 1 Cu 2 O x and Bi 2 Sr 2 Xa 2 Cu 3 O x

  14. Strain buildup in GaAs due to 100 MeV Ag ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Shramana; Bhaumik, Sudipta; Panda, Jaya Kumar [Department of Physics and Meteorology, Indian Institute of Technology, Kharagpur 721 302 (India); Ojha, Sunil [Inter-University Accelerator Center, Aruna Asaf Ali Marg, New Delhi 110 067 (India); Dhar, Achintya [Department of Physics and Meteorology, Indian Institute of Technology, Kharagpur 721 302 (India); Kabiraj, D. [Inter-University Accelerator Center, Aruna Asaf Ali Marg, New Delhi 110 067 (India); Roy, Anushree, E-mail: anushree@phy.iitkgp.ernet.in [Department of Physics and Meteorology, Indian Institute of Technology, Kharagpur 721 302 (India)

    2013-12-01

    The formation of strained layers and a non-monotonic evolution of strain in high energy (100 MeV) silver ion (Ag{sup 7+}) irradiated undoped semi-insulating GaAs are observed and analyzed using Raman scattering and high resolution X-ray diffraction (HRXRD) measurements. At low fluence, compressively strained layers are formed, whereas, with increase in fluence both compressive and tensile strains appear as observed from HRXRD measurements. Further, at low fluence, the change in compressive strain with increase in fluence is found to be sharper than what is observed at higher fluence, thereby suggesting a critical fluence value, beyond which there is a simultaneous generation and annihilation of vacancy type defects. The initial blue shift and subsequent relative red shift beyond above critical fluence in the Raman peak also qualitatively reveal non-monotonic evolution of strain in this case. Finally, we demonstrate the sensitivity of Raman spectroscopy in detecting the decrease in lattice ordering in the crystal in the low fluence regime, below the detection limit of Rutherford back-scattering channeling (c-RBS) measurements.

  15. Simulated and experimental spectroscopic performance of GaAs X-ray pixel detectors

    International Nuclear Information System (INIS)

    Bisogni, M.G.; Cola, A.; Fantacci, M.E.

    2001-01-01

    In pixel detectors, the electrode geometry affects the signal shape and therefore the spectroscopic performance of the device. This effect is enhanced in semiconductors where carrier trapping is relevant. In particular, semi insulating (SI) GaAs crystals present an incomplete charge collection due to a high concentration of deep traps in the bulk. In the last few years, SI GaAs pixel detectors have been developed as soft X-ray detectors for medical imaging applications. In this paper, we present a numerical method to evaluate the local charge collection properties of pixel detectors. A bi-dimensional description has been used to represent the detector geometry. According to recent models, the active region of a reverse biased SI GaAs detector is almost neutral. Therefore, the electrostatic potential inside a full active detector has been evaluated using the Laplace equation. A finite difference method with a fixed step orthogonal mesh has been adopted. The photon interaction point has been generated with a Monte Carlo method according to the attenuation length of a monochromatic X-ray beam in GaAs. The number of photogenerated carriers for each interaction has been extracted using a gaussian distribution. The induced signal on the collecting electrode has been calculated according to the Ramo's theorem and the trapping effect has been modeled introducing electron and hole lifetimes. The noise of the charge preamplifier have been also taken into account. A comparison between simulated and experimental X-ray spectra from a 241 Am source acquired with different GaAs pixel detectors has been carried out

  16. Towards low-dimensional hole systems in Be-doped GaAs nanowires

    DEFF Research Database (Denmark)

    Ullah, A. R.; Gluschke, J. G.; Jeppesen, Peter Krogstrup

    2017-01-01

    -gates produced using GaAs nanowires with three different Be-doping densities and various AuBe contact processing recipes. We show that contact annealing only brings small improvements for the moderately doped devices under conditions of lower anneal temperature and short anneal time. We only obtain good......GaAs was central to the development of quantum devices but is rarely used for nanowire-based quantum devices with InAs, InSb and SiGe instead taking the leading role. p-type GaAs nanowires offer a path to studying strongly confined 0D and 1D hole systems with strong spin–orbit effects, motivating...... our development of nanowire transistors featuring Be-doped p-type GaAs nanowires, AuBe alloy contacts and patterned local gate electrodes towards making nanowire-based quantum hole devices. We report on nanowire transistors with traditional substrate back-gates and EBL-defined metal/oxide top...

  17. Resistance Fluctuations in GaAs Nanowire Grids

    Directory of Open Access Journals (Sweden)

    Ivan Marasović

    2014-01-01

    Full Text Available We present a numerical study on resistance fluctuations in a series of nanowire-based grids. Each grid is made of GaAs nanowires arranged in parallel with metallic contacts crossing all nanowires perpendicularly. Electrical properties of GaAs nanowires known from previous experimental research are used as input parameters in the simulation procedure. Due to the nonhomogeneous doping, the resistivity changes along nanowire. Allowing two possible nanowire orientations (“upwards” or “downwards”, the resulting grid is partially disordered in vertical direction which causes resistance fluctuations. The system is modeled using a two-dimensional random resistor network. Transfer-matrix computation algorithm is used to calculate the total network resistance. It is found that probability density function (PDF of resistance fluctuations for a series of nanowire grids changes from Gaussian behavior towards the Bramwell-Holdsworth-Pinton distribution when both nanowire orientations are equally represented in the grid.

  18. Evidence for possible quantum dot interdiffusion induced by cap layer growth

    International Nuclear Information System (INIS)

    Jasinski, J.; Czeczott, M.; Gladysz, A.; Babinski, A.; Kozubowski, J.

    1999-01-01

    Self-organised InGaAs quantum dots were grown on (001) GaAs substrates and covered with two different types of cap layers grown at significantly different temperatures. In order to determine quantum dot emission energy and dot size distribution, photoluminescence and transmission electron microscopy studies were carried out on such samples. Simple theoretical model neglecting effect of interdiffusion allowed for correlation between quantum dot size and photoluminescence emission energy only in the case of dots covered by cap layers grown at the lower temperature. For dots covered by layers grown at the higher temperature such correlation was possible only when strong interdiffusion was assumed. (author)

  19. Cleaved-edge overgrowth of aligned quantum dots on strained layers of InGaAs

    International Nuclear Information System (INIS)

    Wasserman, D.; Lyon, S.A.

    2004-01-01

    Strain aligned InAs quantum dots were grown on the cleaved edges of first growth samples containing strained In x Ga (1-x) As layers of varying thickness and indium fraction. The formation of the cleaved-edge quantum dots was observed by means of atomic force microscopy. 100% linear alignment of InAs quantum dots over the InGaAs strain layers of the first growth sample is demonstrated. Linear density of the aligned dots was found to depend on the properties of the underlying InGaAs strain layers. Vertical alignment of an additional InAs quantum dot layer over the buried, linearly aligned, initial dot layer was observed for thin GaAs spacer layers

  20. Effective deleting of residual photoconductivity in high-resistance layers GaAs

    International Nuclear Information System (INIS)

    Sadaev, B.S.; Kadirova, I.T.; Sharipov, E.I.

    2004-01-01

    Full text: The phenomenon of residual photoconductivity as the storage of optical memory (OM) represents practical interest in micro and optoelectronics. The finding - out of the nature OM represents undoubtedly and scientific interest. Now residual photoconductivity (RPC) is explained by potential barriers arising because of non-monocharacteristical of distribution components of the semiconductor or carriers of a current in volume. Depending on a nature non-monocharacteristical the time relaxation RPC changes in a wide limit. The special interest represents RPC created by impurity. In the given work the results of research of a nature RPC created photos by ionization of the filled centres of chrome in compensated epitaxilogic layers arsenide galls are resulted. Epitaxilogic layers were brought up by a vertical method ZFE. Highness was reached (achieved) by special indemnification of the residual donors deep acceptors of chrome. Substrates served n-GoAs. Lassitude of i-layers has made 70-80 microns. Specific resistance of layers has made (1/3) 108 om·sm. (T= 300 K). The structures were photosensitive as at low (T = 77 K) and at room temperatures. The photosensitivity of structures in impurity to a strip of absorption chrome (= 1,4 microns) was comparable (compared) with own. The researches show, that the structures have RPC. Size RPC the greatest ambassador impurity of illumination is carrying out photoionization Cr2 + - of the centres. That is established, RPC impurity of a photocurrent is effectively erased only at certain length of a wave of external illumination

  1. Accelerated GaAs growth through MOVPE for low-cost PV applications

    Science.gov (United States)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  2. Two-dimensional superconducting state of monolayer Pb films grown on GaAs(110) in a strong parallel magnetic field.

    Science.gov (United States)

    Sekihara, Takayuki; Masutomi, Ryuichi; Okamoto, Tohru

    2013-08-02

    Two-dimensional (2D) superconductivity was studied by magnetotransport measurements on single-atomic-layer Pb films on a cleaved GaAs(110) surface. The superconducting transition temperature shows only a weak dependence on the parallel magnetic field up to 14T, which is higher than the Pauli paramagnetic limit. Furthermore, the perpendicular-magnetic-field dependence of the sheet resistance is almost independent of the presence of the parallel field component. These results are explained in terms of an inhomogeneous superconducting state predicted for 2D metals with a large Rashba spin splitting.

  3. Pentacene Active Channel Layers Prepared by Spin-Coating and Vacuum Evaporation Using Soluble Precursors for OFET Applications

    OpenAIRE

    Ochiai, Shizuyasu; Palanisamy, Kumar; Kannappan, Santhakumar; Shin, Paik-Kyun

    2012-01-01

    Pentacene OFETs of bottom-gate/bottom-contact were fabricated with three types of pentacene organic semiconductors and cross linked Poly(4-vinylphenol) or polycarbonate as gate dielectric layer. Two different processes were used to prepare the pentacene active channel layers: (1) spin-coating on dielectric layer using two different soluble pentacene precursors of SAP and DMP; (2) vacuum evaporation on PC insulator. X-ray diffraction studies revealed coexistence of thin film and bulk phase of ...

  4. New layered double hydroxides by prepared by the intercalation of gibbsite

    Energy Technology Data Exchange (ETDEWEB)

    Rees, Jennifer R.; Burden, Chloe S.; Fogg, Andrew M., E-mail: andrewmfogg@hotmail.com

    2015-04-15

    New layered double hydroxides (LDHs) with the composition [MAl{sub 4}(OH){sub 12}]Cl{sub 2}·1.5H{sub 2}O (M=Co, Ni) have been prepared by reacting gibbsite, γ-Al(OH){sub 3}, with the appropriate chloride salt in a synthesis in which the water of crystallization is the only solvent present and fully characterized. These LDHs have been shown to undergo facile anion exchange reactions with both organic and inorganic anions at room temperature making them comparable to other LDHs in this respect. Reactions under the same conditions with CuCl{sub 2}·2H{sub 2}O and ZnCl{sub 2} failed to form the desired LDHs but those with nitrate salts did lead to the formation of the previously reported [MAl{sub 4}(OH){sub 12}](NO{sub 3}){sub 2}·1.5H{sub 2}O (M=Co, Ni) compounds. - Graphical abstract: New layered double hydroxides (LDHs) with the composition [MAl{sub 4}(OH){sub 12}]Cl{sub 2}·1.5H{sub 2}O (M=Co, Ni) have been prepared by reacting gibbsite, γ-Al(OH){sub 3}, with the appropriate chloride salt in a synthesis in which no additional solvent is used and fully characterized. These LDHs have been shown to undergo facile anion exchange reactions with both organic and inorganic anions at room temperature. - Highlights: • Synthesis of new layered double hydroxides, [MAl{sub 4}(OH){sub 12}]Cl{sub 2}·1.5H{sub 2}O (M=Co, Ni). • Demonstration of the anion exchange capacity with both organic and inorganic anions. • Demonstration of the generality of the synthesis for LDHs.

  5. Inducer-independent production of pectinases in Aspergillus niger by overexpression of the D-galacturonic acid-responsive transcription factor gaaR.

    Science.gov (United States)

    Alazi, Ebru; Knetsch, Tim; Di Falco, Marcos; Reid, Ian D; Arentshorst, Mark; Visser, Jaap; Tsang, Adrian; Ram, Arthur F J

    2018-03-01

    The transcription factor GaaR is needed for the expression of genes required for pectin degradation and transport and catabolism of the main degradation product, D-galacturonic acid (GA) in Aspergillus niger. In this study, we used the strong constitutive gpdA promoter of Aspergillus nidulans to overexpress gaaR in A. niger. Overexpression of gaaR resulted in an increased transcription of the genes encoding pectinases, (putative) GA transporters, and catabolic pathway enzymes even under non-inducing conditions, i.e., in the absence of GA. Exoproteome analysis of a strain overexpressing gaaR showed that this strain secretes highly elevated levels of pectinases when grown in fructose. The genes encoding exo-polygalacturonases were found to be subjected to CreA-mediated carbon catabolite repression, even in the presence of fructose. Deletion of creA in the strain overexpressing gaaR resulted in a further increase in pectinase production in fructose. We showed that GaaR localizes mainly in the nucleus regardless of the presence of an inducer, and that overexpression of gaaR leads to an increased concentration of GaaR in the nucleus.

  6. Simulation and fabrication of SiO{sub 2}/graded-index TiO{sub 2} antireflection coating for triple-junction GaAs solar cells by using the hybrid deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jheng-Jie; Ho, Wen-Jeng, E-mail: wjho@ntut.edu.tw; Lee, Yi-Yu; Chang, Chia-Ming

    2014-11-03

    GaAs-based multi-junction solar cells (MJ-SCs) provide a wide solar-energy absorption-band (300–1800 nm), but designing and fabricating a broadband antireflection coating (ARC) are challenging. Because MJ-SCs are typically in a series that connects each subcell, the total output current is limited by the subcell that generates the smallest photocurrent. Thus, the ARC for MJ-SCs must be designed not only to obtain broadband absorption but also to minimize light reflection at the wavelength band of the current-limited cell. This study proposes a broadband SiO{sub 2}/graded-index TiO{sub 2} ARC for improving the current-limited subcell performance by using a hybrid deposition (e-beam evaporation and spin-on coating). A bottom TiO{sub 2} layer and a top SiO{sub 2} layer were deposited through e-beam evaporation, but the middle TiO{sub 2} layer was deposited using spin-on coating because the refractive index values of the TiO{sub 2} films could be tuned by applying the spin speed. Therefore, the graded-index TiO{sub 2} layers were easily obtained using a hybrid deposition method. In addition, a suitable reflectance spectrum of an ARC structure for a middle-cell current-limited triple-junction (3-J) GaAs solar cell was simulated using commercial optical software. The photovoltaic current–voltage and external quantum efficiency (EQE) were measured and compared. The resulting improvements of a short-circuit current of 32.4% and conversion efficiency of 31.8% were attributed to an enhanced EQE of 32.97% as well as a low broadband reflectance exhibited on the middle cell of the 3-J GaAs solar cell with a SiO{sub 2}/graded-index TiO{sub 2} ARC. - Highlights: • A broadband SiO{sub 2}/graded-index TiO{sub 2} ARC obtained by a hybrid deposition • A suitable triple-layer ARC was simulated by a commercial optical software. • Optical reflection, photovoltaic I–V, and EQE of 3-J GaAs solar cell were characterized. • An increased J{sub sc} of 32.4% and an increased

  7. Layer-by-layer self-assembly of polyimide precursor/layered double hydroxide ultrathin films

    International Nuclear Information System (INIS)

    Chen Dan; Huang Shu; Zhang Chao; Wang Weizhi; Liu Tianxi

    2010-01-01

    The layer-by-layer (LBL) self-assembly has been extensively used as a simple and effective method for the preparation of polyelectrolyte multilayer films. In this work, we utilized this unique method to prepare polyimide precursor/layered double hydroxide (LDH) ultrathin films. Well-crystallized Co-Al-CO 3 LDH and subsequent anion exchanged Co-Al-NO 3 LDH were prepared and characterized by scanning electron microscopy and X-ray diffraction (XRD). By vigorous shaking of the as-prepared Co-Al-NO 3 LDH, positively charged and exfoliated LDH nanosheets were obtained. Atomic force microscopy and XRD investigations indicated the delamination of LDH nanosheets. The precursor of polyimide, poly(amic acid) tertiary amine salt (PAS) was prepared by the polycondensation of dianhydride and diamine, and subsequent amine salt formation. By using the LBL method, heterogeneous ultrathin films of PAS and LDH were prepared. The formation of the ordered nanostructured assemblies was confirmed by the progressive enhancement of UV absorbance and the XRD results.

  8. The effect of sulphur-terminated GaAs substrates on the MOVPE growth of CuGaS{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Berndt, P.R. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)]. E-mail: pearl.berndt@nmmu.ac.za; Botha, J.R. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Branch, M.S. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Kirmse, H. [Institute of Physics, Chair of Crystallography, Humboldt University of Berlin, Berlin (Germany); Neumann, W. [Institute of Physics, Chair of Crystallography, Humboldt University of Berlin, Berlin (Germany); Weber, J. [Institute for Applied Physics-Semiconductor Physics, University of Technology, Dresden (Germany)

    2007-05-31

    In this study, various CuGaS{sub 2} layers were grown on GaAs (001) substrates using metalorganic vapour phase epitaxy, for the purpose of studying the effect of sulphur-termination of the substrate on layer quality. The resultant films were investigated using X-ray diffractometry, and transmission electron microscopy, with high-resolution transmission electron microscopy providing additional insights into crystallite growth on the control substrates. This paper will demonstrate that sulphur-termination limits substrate degradation. In the absence of sulphur-termination, atypical three-dimensional MOVPE growth is observed, with epitaxial crystallites varying in size from 10 nm to 200 nm. Substrate degradation inhibits lateral growth at the interface resulting in amorphous regions, cavities, and epitaxial crystallites demonstrating overgrowth into mushroom-like structures.

  9. Novel anti-reflection technology for GaAs single-junction solar cells using surface patterning and Au nanoparticles.

    Science.gov (United States)

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Kim, Sangin; Rotermund, Fabian; Lim, Hanjo; Lee, Jaejin

    2012-07-01

    Single-junction GaAs solar cell structures were grown by low-pressure MOCVD on GaAs (100) substrates. Micro-rod arrays with diameters of 2 microm, 5 microm, and 10 microm were fabricated on the surfaces of the GaAs solar cells via photolithography and wet chemical etching. The patterned surfaces were coated with Au nanoparticles using an Au colloidal solution. Characteristics of the GaAs solar cells with and without the micro-rod arrays and Au nanoparticles were investigated. The short-circuit current density of the GaAs solar cell with 2 microm rod arrays and Au nanoparticles increased up to 34.9% compared to that of the reference cell without micro-rod arrays and Au nanoparticles. The conversion efficiency of the GaAs solar cell that was coated with Au nanoparticles on the patterned surface with micro-rod arrays can be improved from 14.1% to 19.9% under 1 sun AM 1.5G illumination. These results show that micro-rod arrays and Au nanoparticle coating can be applied together in surface patterning to achieve a novel cost-effective anti-reflection technology.

  10. Spatial structure of single and interacting Mn acceptors in GaAs

    Science.gov (United States)

    Koenraad, Paul

    2005-03-01

    Ferromagnetic semiconductors such as Ga1-xMnxAs are receiving a lot of attention at the moment because of their application in spintronic devices. However, despite intense study of deep acceptors in III-V semiconductors such as MnGa, little information has been obtained on their electronic properties at the atomic scale. Yet the spatial shape of the Mn acceptor state will influence the hole-mediated Mn-Mn coupling and thus all of the magnetic properties of ferromagnetic semiconductors such as Ga1-xMnxAs. This study presents an experimental and theoretical description of the spatial symmetry of the Mn acceptor wave-function in GaAs. We present measurements of the spatial mapping of the anisotropic wavefunction of a hole localized at a Mn acceptor. To achieve this, we have used the STM tip not only to image the Mn acceptor but also to manipulate its charge state A^0/A^- at room temperature. Within an envelope function effective mass model (EFM) the anisotropy in the acceptor wave-function can be traced to the influence of the cubic symmetry of the GaAs crystal which selects specific d-states that mix into the ground state due to the spin-orbit interaction in the valence band. Comparison with calculations based on a tight-binding model (TBM) for the Mn acceptor structure supports this conclusion. Using the same experimental and theoretical approach we furthermore explored the interaction between Mn acceptors directly by analyzing close Mn-Mn pairs, which were separated by less than 2 nm. We will discuss some implications of these results for Mn delta-doped layers grown on differently oriented growth surfaces.

  11. Measurement of electron beam polarization produced by photoemission from bulk GaAs using twisted light

    Science.gov (United States)

    Clayburn, Nathan; Dreiling, Joan; McCarter, James; Ryan, Dominic; Poelker, Matt; Gay, Timothy

    2012-06-01

    GaAs photocathodes produce spin polarized electron beams when illuminated with circularly polarized light with photon energy approximately equal to the bandgap energy [1, 2]. A typical polarization value obtained with bulk GaAs and conventional circularly polarized light is 35%. This study investigated the spin polarization of electron beams emitted from GaAs illuminated with ``twisted light,'' an expression that describes a beam of light having orbital angular momentum (OAM). In the experiment, 790nm laser light was focused to a near diffraction-limited spot size on the surface of the GaAs photocathode to determine if OAM might couple to valence band electron spin mediated by the GaAs lattice. Our polarization measurements using a compact retarding-field micro-Mott polarimeter [3] have established an upper bound on the polarization of the emitted electron beam of 2.5%. [4pt] [1] D.T. Pierce, F. Meier, P. Zurcher, Appl. Phys. Lett. 26 670 (1975).[0pt] [2] C.K. Sinclair, et al., PRSTAB 10 023501 (2007).[0pt] [3] J.L. McCarter, M.L. Stutzman, K.W. Trantham, T.G. Anderson, A.M. Cook, and T.J. Gay Nucl. Instrum. and Meth. A (2010).

  12. Nanoscale footprints of self-running gallium droplets on GaAs surface.

    Directory of Open Access Journals (Sweden)

    Jiang Wu

    Full Text Available In this work, the nanoscale footprints of self-driven liquid gallium droplet movement on a GaAs (001 surface will be presented and analyzed. The nanoscale footprints of a primary droplet trail and ordered secondary droplets along primary droplet trails are observed on the GaAs surface. A well ordered nanoterrace from the trail is left behind by a running droplet. In addition, collision events between two running droplets are investigated. The exposed fresh surface after a collision demonstrates a superior evaporation property. Based on the observation of droplet evolution at different stages as well as nanoscale footprints, a schematic diagram of droplet evolution is outlined in an attempt to understand the phenomenon of stick-slip droplet motion on the GaAs surface. The present study adds another piece of work to obtain the physical picture of a stick-slip self-driven mechanism in nanoscale, bridging nano and micro systems.

  13. Polaron binding energy and effective mass in the GaAs film

    International Nuclear Information System (INIS)

    Wu Zhenhua; Yan Liangxing; Tian Qiang; Li Hua; Liu Bingcan

    2012-01-01

    The binding energy and effective mass of a polaron in a GaAs film deposited on the Al 0.3 Ga 0.7 As substrate are studied theoretically by using the fractional-dimensional space approach. Our calculations show that the polaron binding energy and mass shift decrease monotonously with increasing the film thickness. For the film thicknesses with L w ≤ 70Å and the substrate thicknesses with L b ≤ 200Å, the different values of the substrate thickness influence the polaron binding energy and mass shift in the GaAs film. The polaron binding energy and mass shift increase monotonously with increasing the substrate thickness. For the film thickness with L w ≥ 70Å or the substrate thicknesses with L b ≤ 200Å, the different values of the substrate thickness have no significant influence on the polaron binding energy and mass shift in the GaAs film deposited on the Al 0.3 Ga 0.7 As substrate.

  14. A photoemission study of Mn grown on GaAs(100)

    International Nuclear Information System (INIS)

    James, D.; Riley, J.; Leckey, R.; Usher, B.; Sieber, N.; Seyller, Th.; Ley, L.

    2002-01-01

    Full text: Metal contacts on semiconductors have been an important area for device manufacture. The possibility of lattice matched growth of magnetic metals on semiconductors was once thought to be a unobtainable goal. More recently it has been found that transition metals can react with the semiconductor substrates, forming another lattice with a more comparable lattice constant, from which epitaxial growth can then proceed. Al grows epitaxially on GaN even with a lattice mismatch greater than 10%. In this instance, Al displaces Ga being driven by a larger heat of formation to produce an AlN buffer layer, on which Al can then grow. This paper investigates the room temperature deposition of Mn onto GaAs(100) at room temperature. The Photoemission study was carried out at the UEL56/2 PGM2 beam line at BESSY II in Berlin, Germany. Synchrotron radiation was used to observe the surface as thin layers of Mn were deposited. The interaction of manganese with the substrate tends to donate electron density to neighbouring atoms, decreasing binding energy. No further segregation of substitutional or interstitial Mn and Ga can be seen from angle dependence data at this temperature, with metallic manganese eventually attenuating the bulk Ga signal to the point where it is indistinguishable from the background. It is concluded that there the metal reacts with the semiconductor surface with some indiffusion as confirmed using SIMS. Previously, the reaction was only thought to have taken place above room temperature. The resulting structure consists of a Ga-As-Mn buffer layer as with the higher temperature depositions

  15. Sulfidic photochemical passivation of GaAs surfaces in alcoholic solutions

    International Nuclear Information System (INIS)

    Simonsmeier, T.; Ivankov, A.; Bauhofer, W.

    2005-01-01

    We report on a remarkable enhancement of the passivation effect of sulfidic solutions through illumination with above band gap light. Luminescence measurements on GaAs surfaces which have been illuminated during chemical passivation reveal in comparison to nonilluminated samples a further reduction of their surface density of states as well as a significantly increased stability of the passivation. Investigations with photoelectron spectroscopy show that illumination leads to a nearly complete removal of oxides on the surface. Measurements on Schottky diodes which have been manufactured with photochemically passivated GaAs indicate a noticeable decrease in band bending and a depinning of the Fermi level

  16. Pulse GaAs field transistor amplifier with subnanosecond time transient

    International Nuclear Information System (INIS)

    Sidnev, A.N.

    1987-01-01

    Pulse amplifier on fast field effect GaAs transistors with Schottky barrier is described. The amplifier contains four cascades, the first three of which are made on combined transistors on the common-drain circuit. The last cascade is made on high-power field effect GaAs transistor for coordination with 50 ohm load. The amplifier operates within the range of input signals from 0.5 up to 100 mV with repetition frequency up to 16 Hz, The gain of the amplifier is ≅ 20 dB. The setting time at output pulses amplitude up to 1 V constitutes ∼ 0.2 ns

  17. Modeling and Design of Graphene GaAs Junction Solar Cell

    Directory of Open Access Journals (Sweden)

    Yawei Kuang

    2015-01-01

    Full Text Available Graphene based GaAs junction solar cell is modeled and investigated by Silvaco TCAD tools. The photovoltaic behaviors have been investigated considering structure and process parameters such as substrate thickness, dependence between graphene work function and transmittance, and n-type doping concentration in GaAs. The results show that the most effective region for photo photogenerated carriers locates very close to the interface under light illumination. Comprehensive technological design for junction yields a significant improvement of power conversion efficiency from 0.772% to 2.218%. These results are in good agreement with the reported experimental work.

  18. Characterization study of native oxides on GaAs(100) surface by XPS

    Science.gov (United States)

    Feng, Liu; Zhang, Lian-dong; Liu, Hui; Gao, Xiang; Miao, Zhuang; Cheng, Hong-chang; Wang, Long; Niu, Sen

    2013-08-01

    In order to know more about the surface state of GaAs(100) epitaxial wafer during a storage period of two years, the XPS analysis was carried out four times on the surface, respectively polished by chemical etching, stored in desiccator for half a year, one year and two years. The results indicated that even after cleaned by proper etchant solutions, the fresh surface was slightly oxidized with Ga2O3, As2O3 and organic contaminant. The epi-wafer was always exposed to air during the storage period, so more and more oxides turned out. The mixed oxide layer comprised of C-OR, COOR, Ga2O3, As2O3 and As2O5 appeared after only half a year. In the ageing process of two years, the oxide types of gallium or arsenic did not change with stable content of Ga2O3 and remarkably fluctuating relative contents of As2O3 and As2O5. Based on the intensity ratio of Ga 3d-Ga2O3 to Ga 3d-GaAs, the thickness of oxide layer was estimated. The oxide layer generated after chemical polishing was very thin, just only 0.435nm thick, and then it grew rapidly, approximately 1.822nm after one year while almost no change any more subsequently. It was indicated that after the epi-wafer was stored for one year, because of volatile As2O3 or As2O5, there remained a large amount of Ga2O3 in oxide layer, which prevented the reactions between bulk material and oxide layer with oxygen. So native oxide layer plays a role as passive film to protect epi-wafer against the environment during a long storage period.

  19. Surface science analysis of GaAs photocathodes following sustained electron beam delivery

    Directory of Open Access Journals (Sweden)

    V. Shutthanandan

    2012-06-01

    Full Text Available Degradation of the photocathode materials employed in photoinjectors represents a challenge for sustained operation of nuclear physics accelerators and high power free electron lasers (FEL. Photocathode quantum efficiency degradation is due to residual gases in the electron source vacuum system being ionized and accelerated back to the photocathode. These investigations are a first attempt to characterize the nature of the photocathode degradation, and employ multiple surface and bulk analysis techniques to investigate damage mechanisms including sputtering of the Cs-oxidant surface monolayer, other surface chemistry effects, and ion implantation. Surface and bulk analysis studies were conducted on two GaAs photocathodes, which were removed from the JLab FEL DC photoemission gun after delivering electron beam, and two control samples. The analysis techniques include helium ion microscopy, Rutherford backscattering spectrometry (RBS, atomic force microscopy, and secondary ion mass spectrometry (SIMS. In addition, two high-polarization strained superlattice GaAs photocathode samples, one removed from the continuous electron beam accelerator facility (CEBAF photoinjector and one unused, were also analyzed using transmission electron microscopy (TEM and SIMS. It was found that heat cleaning the FEL GaAs wafer introduces surface roughness, which seems to be reduced by prolonged use. The bulk GaAs samples retained a fairly well organized crystalline structure after delivering beam but show evidence of Cs depletion on the surface. Within the precision of the SIMS and RBS measurements, the data showed no indication of hydrogen implantation or lattice damage from ion back bombardment in the bulk GaAs wafers. In contrast, SIMS and TEM measurements of the strained superlattice photocathode show clear crystal damage in the wafer from ion back bombardment.

  20. Solvent-mediated self-assembly of hexadecanethiol on GaAs (0 0 1)

    International Nuclear Information System (INIS)

    Huang, Xiaohuan; Dubowski, Jan J.

    2014-01-01

    Graphical abstract: - Highlights: • Outstanding quality hexadecanethiol self-assembled monolayers (HDT SAM) produced on GaAs (0 0 1) due to the mediated role of water in an alcoholic environment. • HDT SAM formed in chloroform exhibit excellent electronic passivation properties in contrast to their structural characteristics. • Low dielectric constant solvents do not necessary provide conditions advantageous for the formation of high quality alkanethiol SAM. • Photoluminescence emitting materials allow to investigate the mechanisms of both electronic and chemical passivation and, thus, they are an excellent platform for studying the mechanisms of SAM formation on solid substrates. - Abstract: We have investigated the influence of solvents on the quality of hexadecanethiol (HDT) self-assembled monolayers (SAM) formed on GaAs (0 0 1) in chloroform, ethanol and ethanol/water 1:1 characterized by their increasing dielectric constants from 4.8 (chloroform) to 24.5 (ethanol) and water (80.1). Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) data show that the incubation in ethanol/water 1:1 solution creates conditions favouring inter-molecular interaction leading to the formation of an outstanding quality HDT SAM on GaAs (0 0 1). Incubation in low-dielectric constant solvents is not offering advantageous conditions for growing HDT SAM on GaAs. The chloroform environment, while weakening the thiol–thiol interaction, induces the oxidation of the GaAs surface and, in particular, formation of Ga 2 O 3 . This reduces the concentration of surface defects responsible for non-radiative recombination and leads to an enhanced photoluminescence emission, despite the fact that HDT SAM formed in chloroform are highly disordered, exhibiting the worst chemical passivation among the investigated samples

  1. High-Performance GaAs Nanowire Solar Cells for Flexible and Transparent Photovoltaics.

    Science.gov (United States)

    Han, Ning; Yang, Zai-xing; Wang, Fengyun; Dong, Guofa; Yip, SenPo; Liang, Xiaoguang; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2015-09-16

    Among many available photovoltaic technologies at present, gallium arsenide (GaAs) is one of the recognized leaders for performance and reliability; however, it is still a great challenge to achieve cost-effective GaAs solar cells for smart systems such as transparent and flexible photovoltaics. In this study, highly crystalline long GaAs nanowires (NWs) with minimal crystal defects are synthesized economically by chemical vapor deposition and configured into novel Schottky photovoltaic structures by simply using asymmetric Au-Al contacts. Without any doping profiles such as p-n junction and complicated coaxial junction structures, the single NW Schottky device shows a record high apparent energy conversion efficiency of 16% under air mass 1.5 global illumination by normalizing to the projection area of the NW. The corresponding photovoltaic output can be further enhanced by connecting individual cells in series and in parallel as well as by fabricating NW array solar cells via contact printing showing an overall efficiency of 1.6%. Importantly, these Schottky cells can be easily integrated on the glass and plastic substrates for transparent and flexible photovoltaics, which explicitly demonstrate the outstanding versatility and promising perspective of these GaAs NW Schottky photovoltaics for next-generation smart solar energy harvesting devices.

  2. Experimental studies of the charge limit phenomenon in NEA GaAs photocathodes

    International Nuclear Information System (INIS)

    Tang, H.; Alley, R.K.; Aoyagi, H.; Clendenin, J.E.; Frisch, J.C.; Mulhollan, G.A.; Saez, P.J.; Schultz, D.C.; Turner, J.L.

    1994-06-01

    Negative electron affinity GaAs photocathodes have been in continuous use at SLAC for generating polarized electron beams since early 1992. If the quantum efficiency of a GaAs cathode is below a critical value, the maximum photoemitted charge with photons of energies close to the band gap in a 2-ns pulse is found to be limited by the intrinsic properties of the cathode instead of by the space charge limit. We have studied this novel charge limit phenomenon in a variety of GaAs photocathodes of different structures and doping densities. We find that the charge limit is strongly dependent on the cathode's quantum efficiency and the extraction electric field, and to a lesser degree on the excitation laser wavelength. In addition, we show that the temporal behavior of the charge limit depends critically on the doping density

  3. Growth-temperature- and thermal-anneal-induced crystalline reorientation of aluminum on GaAs (100) grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, H. F.; Chua, S. J.; Xiang, N.

    2007-01-01

    The authors investigated the growth of Al thin films on GaAs (100) substrates by molecular beam epitaxy. It is found that the growth at 550 degree sign C results in a texture that consists of (100)Al[010](parallel sign)(100)GaAs[011] and (100)Al[010](parallel sign)(100)GaAs[010] rotated 45 degree sign with respect to each other, while the growth at 300 degree sign C leads to a mixture phase of (100)Al[010](parallel sign)(100)GaAs[011] and (110)Al[001](parallel sign)(100)GaAs[011]. In situ annealing of the Al film grown at 300 degree sign C causes a reorientation of the crystalline from (100)Al[010](parallel sign)(100)GaAs[011] to (110)Al[001](parallel sign)(100)GaAs[011]. The grain sizes of the Al film are increased by the increased growth temperature and in situ annealing; the ratio of the exposed to the covered surface is not changed significantly by changing the growth temperature but decreased by annealing; and the small islands in between the large ones are removed by annealing. These observations are explained based on island migration and coalescence

  4. Low energy Ar ion bombardment damage of Si, GaAs, and InP surfaces

    International Nuclear Information System (INIS)

    Williams, R.S.

    1982-01-01

    Argon bombardment damage to (100) surfaces of Si, GaAs, and InP for sputter ion-gun potentials of 1, 2, and 3 kilovolts was studied using Rutherford backscattering. Initial damage rates and saturation damage levels were determined. Bombardment damage sensitivity increased for the sequence Si, GaAs, and InP. Saturation damage levels for Si and GaAs correspond reasonably to LSS projected range plus standard deviation estimates; damage to InP exceeded this level significantly. For an ion-gun potential of 3 keV, the initial sputter yield of P from an InP surface exceeded the sputter yield of In by four atoms per incident Ar projectile. (author)

  5. A validated high performance thin layer chromatography method for determination of yohimbine hydrochloride in pharmaceutical preparations.

    Science.gov (United States)

    Badr, Jihan M

    2013-01-01

    Yohimbine is an indole alkaloid used as a promising therapy for erectile dysfunction. A number of methods were reported for the analysis of yohimbine in the bark or in pharmaceutical preparations. In the present work, a simple and sensitive high performance thin layer chromatographic method is developed for determination of yohimbine (occurring as yohimbine hydrochloride) in pharmaceutical preparations and validated according to International Conference of Harmonization (ICH) guidelines. The method employed thin layer chromatography aluminum sheets precoated with silica gel as the stationary phase and the mobile phase consisted of chloroform:methanol:ammonia (97:3:0.2), which gave compact bands of yohimbine hydrochloride. Linear regression data for the calibration curves of standard yohimbine hydrochloride showed a good linear relationship over a concentration range of 80-1000 ng/spot with respect to the area and correlation coefficient (R(2)) was 0.9965. The method was evaluated regarding accuracy, precision, selectivity, and robustness. Limits of detection and quantitation were recorded as 5 and 40 ng/spot, respectively. The proposed method efficiently separated yohimbine hydrochloride from other components even in complex mixture containing powdered plants. The amount of yohimbine hydrochloride ranged from 2.3 to 5.2 mg/tablet or capsule in preparations containing the pure alkaloid, while it varied from zero (0) to 1.5-1.8 mg/capsule in dietary supplements containing powdered yohimbe bark. We concluded that this method employing high performance thin layer chromatography (HPTLC) in quantitative determination of yohimbine hydrochloride in pharmaceutical preparations is efficient, simple, accurate, and validated.

  6. Electrical characterization of InAs/InP self-assembled quantum dots with InGaAs strain-relief layers

    International Nuclear Information System (INIS)

    Kim, J. S.; Kim, E. K.; Hwang, H.; Park, K.; Yoon, E.; Park, I. W.; Park, Y. J.

    2004-01-01

    We have investigated the energy levels of InAs quantum dots (QDs) embedded in various barrier layers such as InP, InGaAs and GaAs by using deep level transient spectroscopy (DLTS) measurement. The apparent activation energy of 0.56 eV below the conduction band edge of barrier layers in the InAs/InP QD system was higher than 0.32 eV in the InAs/In 0.53 Ga 0.47 As QD system or 0.29 eV in the InAs/GaAs/In 0.53 Ga 0.47 As QD system, which was inserted in 10 mono-layers (MLs) GaAs between InAs QDs and the InGaAs barrier. The capture barrier heights of InAs QDs in the InAs/InP system was measured at more than about 0.18 eV, showing the existence of strain between QDs and barrier layers. The InAs/GaAs(10 MLs)/InGaAs system also showed about 0.12 eV capture barrier, but the InAs/InGaAs system has a very small barrier. This result might originate from the strain-relief effect due to InGaAs layers.

  7. Analysis of GAA/TTC DNA triplexes using nuclear magnetic resonance and electrospray ionization mass spectrometry.

    Science.gov (United States)

    Mariappan, S V Santhana; Cheng, Xun; van Breemen, Richard B; Silks, Louis A; Gupta, Goutam

    2004-11-15

    The formation of a GAA/TTC DNA triplex has been implicated in Friedreich's ataxia. The destabilization of GAA/TTC DNA triplexes either by pH or by binding to appropriate ligands was analyzed by nuclear magnetic resonance (NMR) and positive-ion electrospray mass spectrometry. The triplexes and duplexes were identified by changes in the NMR chemical shifts of H8, H1, H4, 15N7, and 15N4. The lowest pH at which the duplex is detectable depends upon the overall stability and the relative number of Hoogsteen C composite function G to T composite function A basepairs. A melting pH (pHm) of 7.6 was observed for the destabilization of the (GAA)2T4(TTC)2T4(CTT)2 triplex to the corresponding Watson-Crick duplex and the T4(CTT)2 overhang. The mass spectrometric analyses of (TTC)6.(GAA)6 composite function(TTC)6 triplex detected ions due to both triplex and single-stranded oligonucleotides under acidic conditions. The triplex ions disappeared completely at alkaline pH. Duplex and single strands were detectable only at neutral and alkaline pH values. Mass spectrometric analyses also showed that minor groove-binding ligands berenil, netropsin, and distamycin and the intercalating ligand acridine orange destabilize the (TTC)6.(GAA)6 composite function (TTC)6 triplex. These NMR and mass spectrometric methods may function as screening assays for the discovery of agents that destabilize GAA/TTC triplexes and as general methods for the characterization of structure, dynamics, and stability of DNA and DNA-ligand complexes.

  8. Structural and morphological TEM characterization of GaAs based nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Soda, Marcello

    2012-02-03

    The question of a structural and morphological characterization of GaAs based nanowires is the research interest of this thesis. For this purpose standard and analytical transmission electron microscopy techniques were employed. New investigation methodologies are introduced in order to obtain a reliable interpretation of the results. The principal motivation on developing a new investigation method is the necessity to relate the results of crystal structure and morphology characterizations to microscopic and NW-specific parameters and not to macroscopic and general growth parameters. This allows a reliable comparison of NW characteristics and enhances the comprehension of their growth mechanism.The analysis of the results on crystal structure investigations, assuming this new perspective, delivers the fundamental finding that the axial growth of Au-assisted GaAs NWs can change in a pseudo Ga-assisted growth due to a non steady-state regime of the Ga accumulation process in the liquid droplet. The attempt to associate the observed crystal structures to one of these two growth modes reveals that zinc blende segments are most probably generated when a pseudo Ga-assisted growth occurs. This experimental evidence is in accordance with investigations developed by Glas et al. and Spirkoska et al. and with the current understanding of the NW growth mechanism and unifies the interpretation of catalytic growth of GaAs NWs. A Mn doped GaAs shell deposited at low temperature on core GaAs NWs is characterized for the first time. The growth is found to be epitaxial and to confer the quality of the core crystal to the shell crystal. As a consequence a high stacking fault density of the core NW limits the temperature of the shell growth due to the formation of clusters. Cross sections of (Ga,Mn)As shells are investigated. Simple kinetic and thermodynamical considerations lead to the conclusion of morphological instability of the low temperature radial growth. Analytical

  9. Structural and electrical properties of epitaxial Si layers prepared by E-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Rudigier, E.; Fenske, F.; Lee, K.Y.; Gorka, B.; Rau, B.; Conrad, E.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2008-08-30

    In this work, we present structural and electrical properties of thin Si films which are homoepitaxially grown at low substrate temperatures (T{sub s} 450-700 deg. C) by high-rate electron beam evaporation. As substrates, monocrystalline Si wafers with (100) and (111) orientations and polycrystalline Si (poly-Si) seed layers on glass were used. Applying Secco etching, films grown on Si(111) wafers exhibit a decreasing etch pit density with increasing T{sub s}. The best structural quality of the films was obtained on Si(100) wafers. Defect etching on epitaxially grown poly-Si absorbers reveal regions with different crystalline quality. Solar cells have been prepared on both wafers and seed layers. Applying Rapid Thermal Annealing (RTA) and Hydrogen plasma passivation an open circuit voltage of 570 mV for wafer based and 346 mV for seed layer based solar cells have been reached.

  10. An experimental study on the preparation of tochilinite-originated intercalation compounds comprised of Fe 1-xS host layers and various kinds of guest layers

    Science.gov (United States)

    Peng, Yiya; Xi, Guangcheng; Zhong, Chang; Wang, Linping; Lu, Jun; Sun, Ximeng; Zhu, Lu; Han, Qikun; Chen, Lin; Shi, Lei; Sun, Mei; Li, Qianrong; Yu, Min; Yin, Mingwen

    2009-08-01

    Tochilinite represents a mineral group of ordered mixed-layer structures containing alternating Fe 1-xS layers with mackinawite-like structure and metal hydroxide layers with Mg(OH) 2-like structure. In this article, we report the preparation of a series of tochilinite-originated (or Fe 1-xS-based) intercalation compounds (ICs). According to their preparation procedures, these ICs can be divided into four kinds. The first kind of IC was sodium tochilinite (Na-tochilinite), which was prepared by the hydrothermal reaction of metallic Fe particles with concentrated Na 2S·9H 2O aqueous solutions. The hydroxide layer of the Na-tochilinite was a mixed hydroxide of Na + ions along with a certain amount of Fe 2+ ions. When the hydroxide layer of the Na-tochilinite completely dissolved in aqueous solutions, a Fe-deficient mackinawite-like phase Fe 1-xS was obtained, which was probably an electron-deficient p-type conductor. The second kind of ICs was prepared by 'low-temperature direct intercalation in aqueous solutions, using Na-tochilinite as a parental precursor. When the Na-tochilinite was ultrasonicated in aqueous solutions containing Lewis basic complexing agents (like NH 3, N 2H 4, 2,2'-bipyridine (bipy), and 1,10-phenanthroline (phen)), the Na + ions of the Na-tochilinite were removed and the Lewis basic complexing agents entered the hydroxide layer of the Na-tochilinite and became coordinated with the Fe 2+ ions, and the second kind of ICs was thus produced. The second kind of ICs includes NH 3 IC, N 2H 4 IC, N 2H 4-NH 3 IC, [Fe(bipy) 3] 2+-containing IC and [Fe(phen) 3] 2+-containing IC. The third kind of ICs, which includes NH 3 IC, N 2H 4-NH 3 IC and N 2H 4-LiOH (NaOH) IC, was prepared by the hydrothermal reaction of metallic Fe particles with (NH 4) 2S aqueous solution, S (elemental) + N 2H 4·H 2O aqueous solution, and S + N 2H 4·H 2O + LiOH (NaOH) aqueous solution, respectively. The third kind of ICs has a close relationship with the second kind of ICs both

  11. STM studies of an atomic-scale gate electrode formed by a single charged vacancy in GaAs

    Science.gov (United States)

    Lee, Donghun; Daughton, David; Gupta, Jay

    2009-03-01

    Electric-field control of spin-spin interactions at the atomic level is desirable for the realization of spintronics and spin-based quantum computation. Here we demonstrate the realization of an atomic-scale gate electrode formed by a single charged vacancy on the GaAs(110) surface[1]. We can position these vacancies with atomic precision using the tip of a home-built, low temperature STM. Tunneling spectroscopy of single Mn acceptors is used to quantify the electrostatic field as a function of distance from the vacancy. Single Mn acceptors are formed by substituting Mn adatoms for Ga atoms in the first layer of the p-GaAs(110) surface[2]. Depending on the distance, the in-gap resonance of single Mn acceptors can shift as much as 200meV. Our data indicate that the electrostatic field decays according to a screened Coulomb potential. The charge state of the vacancy can be switched to neutral, as evidenced by the Mn resonance returning to its unperturbed position. Reversible control of the local electric field as well as charged states of defects in semiconductors can open new insights such as realizing an atomic-scale gate control and studying spin-spin interactions in semiconductors. http://www.physics.ohio-state.edu/sim jgupta [1] D. Lee and J.A. Gupta (in preparation) [2] D. Kitchen et al., Nature 442, 436-439 (2006)

  12. Arsenic ambient conditions preventing surface degradation of GaAs during capless annealing at high temperatures

    Science.gov (United States)

    Kang, C. H.; Kondo, K.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    Changes in surface morphology and composition caused by capless annealing of GaAs were studied as a function of annealing temperature, T(GaAs), and the ambient arsenic pressure controlled by the temperature, T(As), of an arsenic source in the annealing ampul. It was established that any degradation of the GaAs surface morphology could be completely prevented, providing that T(As) was more than about 0.315T(GaAs) + 227 C. This empirical relationship is valid up to the melting point temperature of GaAs (1238 C), and it may be useful in some device-processing steps.

  13. Sulfur passivation of semi-insulating GaAs: Transition from Coulomb blockade to weak localization regime

    Energy Technology Data Exchange (ETDEWEB)

    Bagraev, N. T., E-mail: Bagraev@mail.ioffe.ru [Ioffe Institute (Russian Federation); Chaikina, E. I. [Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Division de Fisica Aplicada (Mexico); Danilovskii, E. Yu.; Gets, D. S.; Klyachkin, L. E.; L’vova, T. V.; Malyarenko, A. M. [Ioffe Institute (Russian Federation)

    2016-04-15

    The sulfur passivation of the semi-insulating GaAs bulk (SI GaAs) grown in an excess phase of arsenic is used to observe the transition from the Coulomb blockade to the weak localization regime at room temperature. The I–V characteristics of the SI GaAs device reveal nonlinear behavior that appears to be evidence of the Coulomb blockade process as well as the Coulomb oscillations. The sulfur passivation of the SI GaAs device surface results in enormous transformation of the I–V characteristics that demonstrate the strong increase of the resistance and Coulomb blockade regime is replaced by the electron tunneling processes. The results obtained are analyzed within frameworks of disordering SI GaAs surface that is caused by inhomogeneous distribution of the donor and acceptor anti-site defects which affects the conditions of quantum- mechanical tunneling. Weak localization processes caused by the preservation of the Fermi level pinning are demonstrated by measuring the negative magnetoresistance in weak magnetic fields at room temperature. Finally, the studies of the magnetoresistance at higher magnetic fields reveal the h/2e Aharonov–Altshuler–Spivak oscillations with the complicated behavior due to possible statistical mismatch of the interference paths in the presence of different microdefects.

  14. Nevoid melanoma of the vagina: report of one case diagnosed on thin layer cytological preparations

    Directory of Open Access Journals (Sweden)

    Ascierto Paolo

    2007-01-01

    Full Text Available Abstract Background Primary melanoma of the vagina is an extremely rare neoplasm with approximately 250 reported cases in the world literature 1234. In its amelanotic variant this lesion may raise several differential diagnostic problems in cytological specimens 5. In this setting, the usage of thin layer cytopathological techniques (Liquid Based Preparations = LBP may enhance the diagnostic sensitivity by permitting immunocytochemical study without having to repeat the sampling procedure. The aim of this paper is to describe the cytomorphological presentation of primary vaginal melanoma on LBP since it has not previously been reported up to now, to our knowledge. Case presentation a 79-y-o female complaining of vulvar itching and yellowish vaginal discharge underwent a complete gynaecological evaluation during which a LBP cytological sample was taken from a suspicious whitish mass protruding into the vaginal lumen. A cytopathological diagnosis of amelanotic melanoma was rendered. The mass was radically excised and the patient was treated with α-Interferon. Conclusion amelanotic melanoma may be successfully diagnosed on LBP cytological preparations. Thin layer preparations may enhance the diagnostic cytomorphological clues to its diagnosis and may permit an adequate immunocytochemical characterization of the neoplasm.

  15. Nevoid melanoma of the vagina: report of one case diagnosed on thin layer cytological preparations.

    Science.gov (United States)

    Fulciniti, Franco; Ascierto, Paolo Antonio; Simeone, Ester; Bove, Patrizia; Losito, Simona; Russo, Serena; Gallo, Maria Stella; Greggi, Stefano

    2007-07-03

    Primary melanoma of the vagina is an extremely rare neoplasm with approximately 250 reported cases in the world literature 1234. In its amelanotic variant this lesion may raise several differential diagnostic problems in cytological specimens 5. In this setting, the usage of thin layer cytopathological techniques (Liquid Based Preparations = LBP) may enhance the diagnostic sensitivity by permitting immunocytochemical study without having to repeat the sampling procedure. The aim of this paper is to describe the cytomorphological presentation of primary vaginal melanoma on LBP since it has not previously been reported up to now, to our knowledge. a 79-y-o female complaining of vulvar itching and yellowish vaginal discharge underwent a complete gynaecological evaluation during which a LBP cytological sample was taken from a suspicious whitish mass protruding into the vaginal lumen. A cytopathological diagnosis of amelanotic melanoma was rendered. The mass was radically excised and the patient was treated with alpha-Interferon. amelanotic melanoma may be successfully diagnosed on LBP cytological preparations. Thin layer preparations may enhance the diagnostic cytomorphological clues to its diagnosis and may permit an adequate immunocytochemical characterization of the neoplasm.

  16. Characterization of a Ga-assisted GaAs nanowire array solar cell on si substrate

    DEFF Research Database (Denmark)

    Boulanger, J. P.; Chia, A. C. E.; Wood, B.

    2016-01-01

    A single-junction core-shell GaAs nanowire (NW) solar cell on Si (1 1 1) substrates is presented. A Ga-assisted vapor–liquid–solid growth mechanism was used for the formation of a patterned array of radial p-i-n GaAs NWs encapsulated in AlInP passivation. Novel device fabrication utilizing facet-...

  17. Effects of In-situ UV Irradiation on the Uniformity and Optical Properties of GaAsBi Epi-layers Grown by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Beaton, Daniel A [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Steger, Mark [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Christian, Theresa [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Mascarenhas, Angelo J [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-12-14

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs1-xGaAs1-xBixBix epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  18. A validated high performance thin layer chromatography method for determination of yohimbine hydrochloride in pharmaceutical preparations

    OpenAIRE

    Jihan M Badr

    2013-01-01

    Background: Yohimbine is an indole alkaloid used as a promising therapy for erectile dysfunction. A number of methods were reported for the analysis of yohimbine in the bark or in pharmaceutical preparations. Materials and Method: In the present work, a simple and sensitive high performance thin layer chromatographic method is developed for determination of yohimbine (occurring as yohimbine hydrochloride) in pharmaceutical preparations and validated according to International Conference of Ha...

  19. Radiation-induced effects in GaAs thin-film optical (10.6 μm) waveguides

    International Nuclear Information System (INIS)

    Share, S.; Epstein, A.S.; Monse, T.; Chang, W.S.C.; Chang, M.S.

    1976-01-01

    Two types of GaAs thin-film optical waveguide structures operating at 10.6 μm were examined before and after exposure to neutron and γ irradiation. The attenuation rate of the GaAs/n + -GaAs structure was particularly sensitive to neutron irradiation of 10 13 cm -2 and exhibited postirradiation annealing at 150 0 C. This is in contrast to the relative neutron irradiation insensitivity of a GaAs/GaAs 1 /sub -//subx/P/subx//n + -GaAs structure. The effect of γ radiation is less pronounced for both structures. The radiation-induced changes are discussed in terms of free-carrier absorption, index of refraction, scattering centers, and absorption by complexes

  20. Schottky barrier measurements on individual GaAs nanowires by X-ray photoemission microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Di Mario, Lorenzo [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Turchini, Stefano, E-mail: stefano.turchini@cnr.it [ISM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Zamborlini, Giovanni; Feyer, Vitaly [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, 52425 Jülich (Germany); Tian, Lin [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Schneider, Claus M. [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, 52425 Jülich (Germany); Fakultät für Physik and Center for Nanointegration Duisburg-Essen (CENIDE), Universität Duisburg-Essen, D-47048 Duisburg (Germany); Rubini, Silvia [IOM-CNR, TASC Laboratory, Basovizza 34149, Trieste (Italy); Martelli, Faustino, E-mail: faustino.martelli@cnr.it [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy)

    2016-11-15

    Highlights: • The Schottky barrier at the interface between Cu and GaAs nanowires was measured. • Individual nanowires were investigated by X-ray Photoemission Microscopy. • The Schottky barrier at different positions along the nanowire was evaluated. - Abstract: We present measurements of the Schottky barrier height on individual GaAs nanowires by means of x-ray photoelectron emission microscopy (XPEEM). Values of 0.73 and 0.51 eV, averaged over the entire wires, were measured on Cu-covered n-doped and p-doped GaAs nanowires, respectively, in agreement with results obtained on bulk material. Our measurements show that XPEEM can become a feasible and reliable investigation tool of interface formation at the nanoscale and pave the way towards the study of size-dependent effects on semiconductor-based structures.

  1. Preparation of CdS nanoparticels with spin-coating assisted successive ionic layer reaction and their photoelectrochemical properties

    Directory of Open Access Journals (Sweden)

    Bao SUN

    2017-10-01

    Full Text Available In order to settle the problems in the traditional SILAR method for CdS deposition, such as smaller particles and being difficult to enhance the sensitive layers, an improved spin-coating assisted successive ionic layer reaction method (S-SILR substituted for the traditional SILAR method is used to deposit the CdS nanocrystals. The comparison between the improved and traditional methods is studied after depositing the CdS nanocrystals onto the ZnO nanorod arrays with the two approaches. Different analysis methods, SEM, XRD, UV-vis and the transit photocurrent measurement are conducted to characterize the morphologies and structures of the samples, as well as investigating the light absorption properties, and the photoelectric conversion performance of the electrodes. The results indicate that the CdS nanocrystals photosensitive layers could be totally coated onto the ZnO nanorod arrays more easily by the improved S-SILR method; the light absorption properties and the photoelectric conversion performance of the electrodes prepared by the improved S-SILR method are more excellent compared with those electrodes prepared by the traditional SILAR method. The improvement of the CdS deposition method has certain guiding significance in enhancing the operability of the preparation technology and the photovoltaic performance of the solar cells.

  2. Heat load of a GaAs photocathode in an SRF electron gun

    International Nuclear Information System (INIS)

    Wang Erdong; Zhao Kui; Jorg Kewisch; Ilan Ben-Zvi; Andrew Burrill; Trivini Rao; Wu Qiong; Animesh Jain; Ramesh Gupta; Doug Holmes

    2011-01-01

    A great deal of effort has been made over the last decades to develop a better polarized electron source for high energy physics. Several laboratories operate DC guns with a gallium arsenide photocathode, which yield a highly polarized electron beam. However, the beam's emittance might well be improved by using a superconducting radio frequency (SRF) electron gun, which delivers beams of a higher brightness than that from DC guns because the field gradient at the cathode is higher. SRF guns with metal and CsTe cathodes have been tested successfully. To produce polarized electrons, a Gallium-Arsenide photo-cathode must be used: an experiment to do so in a superconducting RF gun is under way at BNL. Since a bulk gallium arsenide (GaAs) photocathode is normal conducting, a problem arises from the heat load stemming from the cathode. We present our measurements of the electrical resistance of GaAs at cryogenic temperatures, a prediction of the heat load and verification by measuring the quality factor of the gun with and without the cathode at 2 K. We simulate heat generation and flow from the GaAs cathode using the ANSYS program. By following the findings with the heat load model, we designed and fabricated a new cathode holder (plug) to decrease the heat load from GaAs. (authors)

  3. Preparation and characterization of layer-by-layer self-assembled polyelectrolyte multilayer films doped with surface-capped SiO2 nanoparticles.

    Science.gov (United States)

    Yang, Guangbin; Ma, Hongxia; Yu, Laigui; Zhang, Pingyu

    2009-05-15

    SiO(2) nanoparticles capped with gamma-aminopropyltrimethoxysilane were doped into polyelectrolyte (poly(allylamine hydrochloride), PAH, and poly(acrylic acid), PAA) multilayer films via spin-assisted layer-by-layer self-assembly. The resulting as-prepared multilayer films were heated at a proper temperature to generate cross-linked composite films with increased adhesion to substrates. The tribological behavior of the multilayer films was evaluated on a microtribometer. It was found that SiO(2)-doped composite films had better wear resistance than pure polyelectrolyte multilayers, possibly because doped SiO(2) nanoparticles were capable of enhancing load-carrying capacity and had "miniature ball bearings" effect. Moreover, heat-treatment had significant effect on the morphology of the composite films. Namely, heat-treated (SiO(2)/PAA)(9) film had a larger roughness than the as-prepared one, due to heat-treatment-induced agglomeration of SiO(2) nanoparticles and initiation of defects. However, heat-treated (PAH/PAA)(3)/(SiO(2)/PAA)(3)(PAH/PAA)(3) film had greatly reduced roughness than the as-prepared one, and it showed considerably improved wear resistance as well. This could be closely related to the "sandwich-like" structure of the composite multilayer film. Namely, the outermost strata of composite multilayer film were able to eliminate defects associated with the middle strata, allowing nanoparticles therein to maintain strength and robustness while keeping soft and fluid-like exposed surface. And the inner strata were well anchored to substrate and acted as an initial "bed" for SiO(2) nanoparticles to be inhabited, resulting in good antiwear ability.

  4. Preparation and characterization of 6-layered functionally graded nickel-alumina (Ni-Al2O3) composites

    Science.gov (United States)

    Latiff, M. I. A.; Nuruzzaman, D. M.; Basri, S.; Ismail, N. M.; Jamaludin, S. N. S.; Kamaruzaman, F. F.

    2018-04-01

    The present research study deals with the preparation of 6-layered functionally graded (FG) metal-ceramic composite materials through powder metallurgy technique. Using a cylindrical die-punch set made of steel, the nickel-alumina (Ni-Al2O3) graded composite structure was fabricated. The samples consist of four gradual inter layers of varied nickel composition (80wt.%, 60wt.%, 40wt.%, 20wt.%) sandwiched with pure Ni and Al2O3 powders at the ends (100wt.% and 0wt.% nickel) were fabricated under 30 ton compaction load using a hydraulic press. After that, two-step sintering was carried out at sintering temperature 1200ºC and soaking time 3 hours was maintained in a tube furnace. The properties of the prepared samples were characterized by radial shrinkage, optical microscopy and hardness testing. Results showed that larger shrinkage occurred within the ceramic phase which proves that more porosities were eliminated in the ceramic rich layers. From the microstructural analysis, it was observed that alumina particles are almost uniformly distributed in nickel matrix, so as nickel particles in the ceramic matrix of alumina-dominant layers. From interfacial analyses, it was observed that a smooth transition in microstructure from one layer to the next confirms a good interfacial solid state bonding between metal-ceramic constituents and good compaction process. On the other hand, microhardness test results suggest that there might be increasing percentage of porosities in the graded structure as the ceramic content rises.

  5. Antisense Oligonucleotides Promote Exon Inclusion and Correct the Common c.-32-13T>G GAA Splicing Variant in Pompe Disease

    Directory of Open Access Journals (Sweden)

    Erik van der Wal

    2017-06-01

    Full Text Available The most common variant causing Pompe disease is c.-32-13T>G (IVS1 in the acid α-glucosidase (GAA gene, which weakens the splice acceptor of GAA exon 2 and induces partial and complete exon 2 skipping. It also allows a low level of leaky wild-type splicing, leading to a childhood/adult phenotype. We hypothesized that cis-acting splicing motifs may exist that could be blocked using antisense oligonucleotides (AONs to promote exon inclusion. To test this, a screen was performed in patient-derived primary fibroblasts using a tiling array of U7 small nuclear RNA (snRNA-based AONs. This resulted in the identification of a splicing regulatory element in GAA intron 1. We designed phosphorodiamidate morpholino oligomer-based AONs to this element, and these promoted exon 2 inclusion and enhanced GAA enzyme activity to levels above the disease threshold. These results indicate that the common IVS1 GAA splicing variant in Pompe disease is subject to negative regulation, and inhibition of a splicing regulatory element using AONs is able to restore canonical GAA splicing and endogenous GAA enzyme activity.

  6. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    CERN Document Server

    Verbitskaya, E; Ivanov, A; Strokan, N; Vasilev, V; Markov, A; Polyakov, A; Gavrin, V; Kozlova, Y; Veretenkin, E; Bowles, T J

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p sup + -i-n sup + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E sub v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E sub v +0....

  7. Preparation and regulating cell adhesion of anion-exchangeable layered double hydroxide micropatterned arrays.

    Science.gov (United States)

    Yao, Feng; Hu, Hao; Xu, Sailong; Huo, Ruijie; Zhao, Zhiping; Zhang, Fazhi; Xu, Fujian

    2015-02-25

    We describe a reliable preparation of MgAl-layered double hydroxide (MgAl-LDH) micropatterned arrays on gold substrate by combining SO3(-)-terminated self-assembly monolayer and photolithography. The synthesis route is readily extended to prepare LDH arrays on the SO3(-)-terminated polymer-bonded glass substrate amenable for cell imaging. The anion-exchangeable MgAl-LDH micropattern can act both as bioadhesive region for selective cell adhesion and as nanocarrier for drug molecules to regulate cell behaviors. Quantitative analysis of cell adhesion shows that selective HepG2 cell adhesion and spreading are promoted by the micropatterned MgAl-LDH, and also suppressed by methotrexate drug released from the LDH interlayer galleries.

  8. GaAs nanowire array solar cells with axial p-i-n junctions.

    Science.gov (United States)

    Yao, Maoqing; Huang, Ningfeng; Cong, Sen; Chi, Chun-Yung; Seyedi, M Ashkan; Lin, Yen-Ting; Cao, Yu; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2014-06-11

    Because of unique structural, optical, and electrical properties, solar cells based on semiconductor nanowires are a rapidly evolving scientific enterprise. Various approaches employing III-V nanowires have emerged, among which GaAs, especially, is under intense research and development. Most reported GaAs nanowire solar cells form p-n junctions in the radial direction; however, nanowires using axial junction may enable the attainment of high open circuit voltage (Voc) and integration into multijunction solar cells. Here, we report GaAs nanowire solar cells with axial p-i-n junctions that achieve 7.58% efficiency. Simulations show that axial junctions are more tolerant to doping variation than radial junctions and lead to higher Voc under certain conditions. We further study the effect of wire diameter and junction depth using electrical characterization and cathodoluminescence. The results show that large diameter and shallow junctions are essential for a high extraction efficiency. Our approach opens up great opportunity for future low-cost, high-efficiency photovoltaics.

  9. Novel route for layered double hydroxides preparation by enzymatic decomposition of urea

    Science.gov (United States)

    Vial, S.; Prevot, V.; Forano, C.

    2006-05-01

    This study presents a new route for the preparation of a series of layered double hydroxide materials with controlled textural properties. It concerns the biogenesis of hydrotalcite like phases by Jack bean urease through the enzymatic decomposition process of urea. Different conditions of LDH biogenesis are investigated (urease activity, urea concentration). A comparative study with the precipitation method based on the thermal decomposition of urea (90 °C) is conducted in order to asses the effect of the various urea hydrolysis conditions (kinetic, temperature) and the presence of enzyme in the reaction medium on the structural and textural properties of the as prepared LDH materials. Mechanisms of formation of the LDH phases for both synthesis processes are discussed on basis of their pH control. The PXRD and SEM analysis of samples prepared by the thermal process evidence higher crystallinity and greater particle sizes than LDH obtained in mild biogenic conditions. In the latter case, presence of urease or effect of some M(II) metals may inhibit the crystallization.

  10. Formation of the InAs-, InSb-, GaAs-, and GaSb-polished surface

    Science.gov (United States)

    Levchenko, Iryna; Tomashyk, Vasyl; Stratiychuk, Iryna; Malanych, Galyna; Korchovyi, Andrii; Kryvyi, Serhii; Kolomys, Oleksandr

    2018-04-01

    The features of the InAs, InSb, GaAs, and GaSb ultra-smooth surface have been investigated using chemical-mechanical polishing with the (NH4)2Cr2O7-HBr-CH2(OH)CH2(OH)-etching solutions. The etching rate of the semiconductors has been measured as a function of the solution saturation by organic solvent (ethylene glycol). It was found that mechanical effect significantly increases the etching rate from 1.5 to 57 µm/min, and the increase of the organic solvent concentration promotes the decrease of the damaged layer-removing rate. According to AFM, RS, HRXRD results, the treatment with the (NH4)2Cr2O7-HBr-ethylene glycol solutions produces the clean surface of the nanosize level (R a < 0.5 nm).

  11. An ultra-high-speed direct digital frequency synthesizer implemented in GaAs HBT technology

    International Nuclear Information System (INIS)

    Chen Gaopeng; Wu Danyu; Jin Zhi; Liu Xinyu

    2010-01-01

    This paper presents a 10-GHz 8-bit direct digital synthesizer (DDS) microwave monolithic integrated circuit implemented in 1 μm GaAs HBT technology. The DDS takes a double-edge-trigger (DET) 8-stage pipeline accumulator with sine-weighted DAC-based ROM-less architecture, which can maximize the utilization ratio of the GaAs HBT's high-speed potential. With an output frequency up to 5 GHz, the DDS gives an average spurious free dynamic range of 23.24 dBc through the first Nyquist band, and consumes 2.4 W of DC power from a single -4.6 V DC supply. Using 1651 GaAs HBT transistors, the total area of the DDS chip is 2.4 x 2.0 mm 2 . (semiconductor integrated circuits)

  12. Characterization of InGaGdN layers prepared by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tawil, Siti Nooraya Mohd [Institute of Scientific and Industrial Research, Osaka University, 8-1 Mihagaoka, Ibaraki, 567-0047 Osaka (Japan); Faculty of Electrical and Electronic Engineering, Tun Hussein Onn University of Malaysia, 86400 Batu Pahat Johor (Malaysia); Kakimi, Rina; Krishnamurthy, Daivasigamani; Emura, Shuichi; Tambo, Hiroyuki; Hasegawa, Shigehiko; Asahi, Hajime [Institute of Scientific and Industrial Research, Osaka University, 8-1 Mihagaoka, Ibaraki, 567-0047 Osaka (Japan)

    2010-11-15

    Gd-doped InGaN layers were prepared by plasma-assisted molecular-beam epitaxy in search of new functional diluted magnetic semiconductors for their potential use in spintronics. The local structure around the Gd atoms was examined by the Gd L{sub III}-edge of X-ray absorption fine structure. It was found that the majority of Gd atoms substitutionally occupied the cation sites in the InGaGdN layers. Clear hysteresis and saturation magnetization were observed from the magnetization versus field curves examined by means of a superconducting quantum interference device magnetometer at low and room temperatures. In addition, the incorporation of extra shallow donors by co-doping InGaN with both Gd and Si showed higher magnetization than the undoped InGaGdN. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Preparation and properties of [(NdFeB)x/(Nb)z]n multi-layer films

    International Nuclear Information System (INIS)

    Tsai, J.-L.; Chin, T.-S.; Yao, Y.-D.; Melsheimer, A.; Fisher, S.; Drogen, T.; Kelsch, M.; Kronmueller, H.

    2003-01-01

    Multi-layer [(NdFeB) x /(Nb) z ] n films with 200 nm≥x≥10 nm, 10 nm≥z≥0, 40≥n≥2, prepared by ion beam sputtering and subsequent annealing, show significantly enhanced coercivity due to the reduced grain size that enhances the anisotropy of individual grains. After annealing at 630 deg. C, some Nd 2 Fe 14 B grains were enriched with Nb and isolated as the thickness of the Nb spacer layer increases. For multi-layer (NdFeB x /Nb z ) n films with 100 nm ≥x≥25 nm, 5 nm≥z≥2 nm, their coercivity and remanence ratio are better than that of a single NdFeB film. Up to 17.8 kOe room temperature coercivity has been obtained for a sample with x=25 nm, z=5 nm and n=16

  14. Point and line defects which are common to both degraded light emitting diodes and plastically deformed GaAs

    International Nuclear Information System (INIS)

    Liliental, Z.

    1983-01-01

    Similar dipoles with Burger's vector b = a/2 [101] (also referred to as dark line defects) were found in the active layer of degraded light emitting diodes (LED's) and in plastically deformed GaAs. The dependence of characteristic x-ray production of electron channeling conditions has been used in an electron microscope to study point defects in the neighbourhood of these dipoles. Our results are consistent with the occurence of I/sub As/, I/sub As/ +V/sub Ga/, V/sub Ga/ and IAs in descending order of likelihood. Of these, I/sub As/ +V/sub Ga/ can explain the dislocation climb without any extra point defects involved in such process

  15. Effect of low and staggered gap quantum wells inserted in GaAs tunnel junctions

    Science.gov (United States)

    Louarn, K.; Claveau, Y.; Marigo-Lombart, L.; Fontaine, C.; Arnoult, A.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2018-04-01

    In this article, we investigate the impact of the insertion of either a type I InGaAs or a type II InGaAs/GaAsSb quantum well on the performances of MBE-grown GaAs tunnel junctions (TJs). The devices are designed and simulated using a quantum transport model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We experimentally observe significant improvements of the peak tunneling current density on both heterostructures with a 460-fold increase for a moderately doped GaAs TJ when the InGaAs QW is inserted at the junction interface, and a 3-fold improvement on a highly doped GaAs TJ integrating a type II InGaAs/GaAsSb QW. Thus, the simple insertion of staggered band lineup heterostructures enables us to reach a tunneling current well above the kA cm‑2 range, equivalent to the best achieved results for Si-doped GaAs TJs, implying very interesting potential for TJ-based components, such as multi-junction solar cells, vertical cavity surface emitting lasers and tunnel-field effect transistors.

  16. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    Science.gov (United States)

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  17. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  18. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  19. Imaging performance of a Timepix detector based on semi-insulating GaAs

    Science.gov (United States)

    Zaťko, B.; Zápražný, Z.; Jakůbek, J.; Šagátová, A.; Boháček, P.; Sekáčová, M.; Korytár, D.; Nečas, V.; Žemlička, J.; Mora, Y.; Pichotka, M.

    2018-01-01

    This work focused on a Timepix chip [1] coupled with a bulk semi-insulating GaAs sensor. The sensor consisted of a matrix of 256 × 256 pixels with a pitch of 55 μm bump-bonded to a Timepix ASIC. The sensor was processed on a 350 μm-thick SI GaAs wafer. We carried out detector adjustment to optimize its performance. This included threshold equalization with setting up parameters of the Timepix chip, such as Ikrum, Pream, Vfbk, and so on. The energy calibration of the GaAs Timepix detector was realized using a 241Am radioisotope in two Timepix detector modes: time-over-threshold and threshold scan. An energy resolution of 4.4 keV in FWHM (Full Width at Half Maximum) was observed for 59.5 keV γ-photons using threshold scan mode. The X-ray imaging quality of the GaAs Timepix detector was tested using various samples irradiated by an X-ray source with a focal spot size smaller than 8 μm and accelerating voltage up to 80 kV. A 700 μm × 700 μm gold testing object (X-500-200-16Au with Siemens star) fabricated with high precision was used for the spatial resolution testing at different values of X-ray image magnification (up to 45). The measured spatial resolution of our X-ray imaging system was about 4 μm.

  20. Picosecond relaxation of X-ray excited GaAs

    Czech Academy of Sciences Publication Activity Database

    Tkachenko, V.; Medvedev, Nikita; Lipp, V.; Ziaja, B.

    2017-01-01

    Roč. 24, Sep (2017), s. 15-21 ISSN 1574-1818 Institutional support: RVO:68378271 Keywords : GaAS * X-ray excitation * picosecond relaxation Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 0.908, year: 2016

  1. Base excision repair of chemotherapeutically-induced alkylated DNA damage predominantly causes contractions of expanded GAA repeats associated with Friedreich's ataxia.

    Directory of Open Access Journals (Sweden)

    Yanhao Lai

    Full Text Available Expansion of GAA·TTC repeats within the first intron of the frataxin gene is the cause of Friedreich's ataxia (FRDA, an autosomal recessive neurodegenerative disorder. However, no effective treatment for the disease has been developed as yet. In this study, we explored a possibility of shortening expanded GAA repeats associated with FRDA through chemotherapeutically-induced DNA base lesions and subsequent base excision repair (BER. We provide the first evidence that alkylated DNA damage induced by temozolomide, a chemotherapeutic DNA damaging agent can induce massive GAA repeat contractions/deletions, but only limited expansions in FRDA patient lymphoblasts. We showed that temozolomide-induced GAA repeat instability was mediated by BER. Further characterization of BER of an abasic site in the context of (GAA20 repeats indicates that the lesion mainly resulted in a large deletion of 8 repeats along with small expansions. This was because temozolomide-induced single-stranded breaks initially led to DNA slippage and the formation of a small GAA repeat loop in the upstream region of the damaged strand and a small TTC loop on the template strand. This allowed limited pol β DNA synthesis and the formation of a short 5'-GAA repeat flap that was cleaved by FEN1, thereby leading to small repeat expansions. At a later stage of BER, the small template loop expanded into a large template loop that resulted in the formation of a long 5'-GAA repeat flap. Pol β then performed limited DNA synthesis to bypass the loop, and FEN1 removed the long repeat flap ultimately causing a large repeat deletion. Our study indicates that chemotherapeutically-induced alkylated DNA damage can induce large contractions/deletions of expanded GAA repeats through BER in FRDA patient cells. This further suggests the potential of developing chemotherapeutic alkylating agents to shorten expanded GAA repeats for treatment of FRDA.

  2. Universal biomimetic preparation and immobilization of layered double hydroxide films and adsorption behavior

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Wei; Zhang, Wenpeng; Chen, Zilin

    2017-01-15

    Highlights: • An in situ method is developed for immobilization of nanoscale LDHs. • The universal method can be applied on multiple substrates. • The homogeneous LDHs film can be synthesis and immobilized in one step. • The LDHs film showed good adsorption performance towards anionic compounds. - Abstract: Preparation and immobilization of layered double hydroxides (LDHs) film onto multiple substrates is important and challenging in functional materials fields by date. In this work, a simple and universal polydopamine (PD)-based layer-by-layer assembly strategy was developed for the immobilization of LDHs film onto surfaces such as polypropylene chip, glass slides and metal coins. The surface of substrates was firstly modified by polydopamine functionalization, and then LDHs film was synthesized via urea method and directly immobilized on the PD layer by in situ growing strategy in one step. The PD layer as well as the final LDHs film was characterized by energy dispersive X-ray spectroscopy, scanning electron microscope, infrared spectroscopy, X-ray diffraction pattern and X-ray photoelectron spectra. It has been demonstrated the formation of the dense and homogeneous nanoscaled LDHs film with 400 nm thickness. Adsorption behavior of the fabricated NiAl-LDHs film toward anionic dyes and pharmaceuticals was further assessed. To demonstrate their extensive application, fast and high efficient adsorption of anionic dyes and pharmaceuticals was achieved by NiAl-LDHs-modified polypropylene centrifugal tube.

  3. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    International Nuclear Information System (INIS)

    Verbitskaya, E.; Eremin, V.; Ivanov, A.; Strokan, N.; Vasilev, V.; Markov, A.; Polyakov, A.; Gavrin, V.; Kozlova, Yu.; Veretenkin, E.; Bowles, T.J.

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p + -i-n + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E v +0.075 eV, presumably assigned to Ga antisite and its influence on the concentration of the ionized deep donor level EL2 +

  4. Subnanosecond, high-voltage photoconductive switching in GaAs

    Science.gov (United States)

    Druce, Robert L.; Pocha, Michael D.; Griffin, Kenneth L.; O'Bannon, Jim

    1991-03-01

    We are conducting research on the switching properties of photoconductive materials to explore their potential for generating highpower microwaves (HPM) and for high reprate switching. We have investigated the performance of Gallium Arsenide (GaAs) in linear mode (the conductivity of the device follows the optical pulse) as well as an avalanchelike mode (the optical pulse only controls switch closing) . Operating in the unear mode we have observed switch closing times of less than 200 Ps with a 100 ps duration laser pulse and opening times of less than 400 ps at several kV/cm fields using neutron irradiated GaAs. In avalanche and lockon modes high fields are switched with lower laser pulse energies resulting in higher efficiencies but with measurable switching delay and jitter. We are currently investigating both large area (1 cm2) and small area 1 mm2) switches illuminated by AlGaAs laser diodes at 900 nm and Nd:YAG lasers at 1. 06 tim.

  5. Sn nanothreads in GaAs: experiment and simulation

    Science.gov (United States)

    Semenikhin, I.; Vyurkov, V.; Bugaev, A.; Khabibullin, R.; Ponomarev, D.; Yachmenev, A.; Maltsev, P.; Ryzhii, M.; Otsuji, T.; Ryzhii, V.

    2016-12-01

    The gated GaAs structures like the field-effect transistor with the array of the Sn nanothreads was fabricated via delta-doping of vicinal GaAs surface by Sn atoms with a subsequent regrowth. That results in the formation of the chains of Sn atoms at the terrace edges. Two device models were developed. The quantum model accounts for the quantization of the electron energy spectrum in the self-consistent two-dimensional electric potential, herewith the electron density distribution in nanothread arrays for different gate voltages is calculated. The classical model ignores the quantization and electrons are distributed in space according to 3D density of states and Fermi-Dirac statistics. It turned out that qualitatively both models demonstrate similar behavior, nevertheless, the classical one is in better quantitative agreement with experimental data. Plausibly, the quantization could be ignored because Sn atoms are randomly placed along the thread axis. The terahertz hot-electron bolometers (HEBs) could be based on the structure under consideration.

  6. Carbon Papers and Aerogels Based on Graphene Layers and Chitosan: Direct Preparation from High Surface Area Graphite.

    Science.gov (United States)

    Barbera, Vincenzina; Guerra, Silvia; Brambilla, Luigi; Maggio, Mario; Serafini, Andrea; Conzatti, Lucia; Vitale, Alessandra; Galimberti, Maurizio

    2017-12-11

    In this work, carbon papers and aerogels based on graphene layers and chitosan were prepared. They were obtained by mixing chitosan (CS) and a high surface area nanosized graphite (HSAG) in water in the presence of acetic acid. HSAG/CS water dispersions were stable for months. High resolution transmission electron microscopy revealed the presence of few graphene layers in water suspensions. Casting or lyophilization of such suspensions led to the preparation of carbon paper and aerogel, respectively. In X-ray spectra of both aerogels and carbon paper, peaks due to regular stacks of graphene layers were not detected: graphene with unaltered sp 2 structure was obtained directly from graphite without the use of any chemical reaction. The composites were demonstrated to be electrically conductive thanks to the graphene. Chitosan thus makes it possible to obtain monolithic carbon aerogels and flexible and free-standing graphene papers directly from a nanosized graphite by avoiding oxidation to graphite oxide and successive reduction. Strong interaction between polycationic chitosan and the aromatic substrate appears to be at the origin of the stability of HSAG/CS adducts. Cation-π interaction is hypothesized, also on the basis of X-ray photoelectron spectroscopy findings. This work paves the way for the easy large-scale preparation of carbon papers through a method that has a low environmental impact and is based on a biosourced polymer, graphene, and water.

  7. Simple Preparation of ZnO Nano-layer by Sol-Gel Method as Active Electrode in P3HT/ZnO Heterojunction Solar Cell

    Science.gov (United States)

    Aprilia, Annisa; Herman, Hidayat, Rahmat

    2010-10-01

    Highly transparent undoped ZnO thin films have been prepared on glass and indium tin oxide substrates with simple process by sol-gel route and dip-coating deposition. Gel precursor of ZnO was prepared from zinc acetat dehydrate solution in methanol with the addition of trietylamine as stabilizing agent. Thin layer of gel precursor was prepared by dip coating and then followed by calcination at 400° C for 5 minute in air atmosphere. The thickness of the resulted ZnO thin film produced by ten times coating is about 150 nm. The films shows high transmittance larger than 98% in the visible region (400-800 nm). Absorption is observed in the UV region with absorption onset at about 390 nm indicating varying band gap between 3.18 eV until 3.23 eV depending on the number of coating layer. The AFM image shows that the films seems to be constructed from random stacking of nano-sized ZnO particle in the order of 50 nm. Among the prepared samples, the lowest resistivity is about 1.8×107 Ωm observed in the five-layer coating film. In order to fabricate solar cell structure, P3HT was deposited onto the ZnO thin film layer by spin casting technique and then followed by metal (Au) layer deposition by thermal evaporation. The formed solar cell has the inverted type solar cell with ITO/ZnO/P3HT/Au configuration. By the insertion ZnO layer, the photocurrent was improved by more than ten orders of magnitude in comparison to that of without ZnO layer. The measured photocurrent decreases at large number of coating layer which is supposed to be related with the current limitation by the effective carrier path length in ZnO layer.

  8. Modeling the effect of deep impurity ionization on GaAs photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Yee, J.H.; Khanaka, G.H.; Druce, R.L.; Pocha, M.D.

    1992-01-01

    The ionization coefficient of deep traps in GaAs is determined from a gas breakdown model together with the recent experimental data obtained at LLNL (Lawrence Livermore National Laboratory) and Boeing. Using this coefficient in our nonlinear device transport code, we have investigated theoretically the nonlinear switching phenomena in GaAs devices. The results obtained from our investigations show that if we take into consideration the effect of the field ionization of the deep traps, we can show how the Lock-On'' phenomena could occur in the device.

  9. Modeling the effect of deep impurity ionization on GaAs photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Yee, J.H.; Khanaka, G.H.; Druce, R.L.; Pocha, M.D.

    1992-01-01

    The ionization coefficient of deep traps in GaAs is determined from a gas breakdown model together with the recent experimental data obtained at LLNL (Lawrence Livermore National Laboratory) and Boeing. Using this coefficient in our nonlinear device transport code, we have investigated theoretically the nonlinear switching phenomena in GaAs devices. The results obtained from our investigations show that if we take into consideration the effect of the field ionization of the deep traps, we can show how the ``Lock-On`` phenomena could occur in the device.

  10. Thin layer chromatographic analysis of some common over the counter (OTC cough–cold preparations

    Directory of Open Access Journals (Sweden)

    Manpreet Kaur Chahal

    2016-12-01

    Full Text Available In the present study, potential utility of thin layer chromatography to differentiate some common OTC cough–cold preparations was evaluated. Twenty solvent systems were examined from which a solvent systems A comprising methanol:ammonia in the ratio of 100:1.5 (v/v and B comprising chloroform:methanol in the ratio of 90:10 (v/v were found to be most suitable as it showed a high degree of separation of different components of these preparations. It was also found that iodine fuming technique is the best visualizing method for examining the TLC chromatograms of these drug samples prior to subsequent instrumental analysis.

  11. GaAs monolayer: Excellent SHG responses and semi metallic to metallic transition modulated by vacancy effect

    Science.gov (United States)

    Rozahun, Ilmira; Bahti, Tohtiaji; He, Guijie; Ghupur, Yasenjan; Ablat, Abduleziz; Mamat, Mamatrishat

    2018-05-01

    Monolayer materials are considered as a promising candidate for novel applications due to their attractive magnetic, electronic and optical properties. Investigation on nonlinear optical (NLO) properties and effect of vacancy on monolayer materials are vital to property modulations of monolayers and extending their applications. In this work, with the aid of first-principles calculations, the crystal structure, electronic, magnetic, and optical properties of GaAs monolayers with the vacancy were investigated. The result shows gallium arsenic (GaAs) monolayer produces a strong second harmonic generation (SHG) response. Meanwhile, the vacancy strongly affects structural, electronic, magnetic and optical properties of GaAs monolayers. Furthermore, arsenic vacancy (VAs) brings semi metallic to metallic transition, while gallium vacancy (VGa) causes nonmagnetic to magnetic conversion. Our result reveals that GaAs monolayer possesses application potentials in Nano-amplifying modulator and Nano-optoelectronic devices, and may provide useful guidance in designing new generation of Nano-electronic devices.

  12. Stimulated Emission from InAs (GaAs Monolayers Stacks Embedded in Al0.33Ga0.67As Ective Region

    Directory of Open Access Journals (Sweden)

    Dusan Pudis

    2002-01-01

    Full Text Available Our study is focused on the optical and electronic properties of InAs (GaAs monolayers embedded in Al0.33GA0.67As barrier layers investigated by temperature dependencies of electroluminescence spectra. The experimental results obtained from low temperature electroluminescence measurements of InAs (GaAs/Al0.33GA0.67As revealed the excellent emission spectra in the visib le range 630-690 nm. The stimulated emission from these structures across their cleavage planes has been observed at low  temperatures what is highly interesting for potential device applications.

  13. Passivation effects on quantum dots prepared by successive ionic layer adsorption and reaction

    Science.gov (United States)

    Dai, Qilin; Maloney, Scott; Chen, Weimin; Poudyal, Uma; Wang, Wenyong

    2016-06-01

    ZnS is typically used to passivate semiconductor quantum dots (QDs) prepared by the successive ionic layer adsorption and reaction (SILAR) method for solar cell applications, while for colloidal QDs, organic ligands are usually used for this passivation purpose. In this study we utilized oleylamine and oleic acid ligands, besides ZnS, to passivate QDs prepared by the SILAR approach, and investigated their effects on the incident photon-to-current efficiency (IPCE) performance of the solar cells. It was observed that oleylamine passivation decreased device performance, while oleic acid passivation improved the IPCE of the cells. Redshift of the IPCE onset wavelength was also observed after oleic acid coating, which was attributed to the delocalization of excitons in the CdS QDs.

  14. Al2 O3 Underlayer Prepared by Atomic Layer Deposition for Efficient Perovskite Solar Cells.

    Science.gov (United States)

    Zhang, Jinbao; Hultqvist, Adam; Zhang, Tian; Jiang, Liangcong; Ruan, Changqing; Yang, Li; Cheng, Yibing; Edoff, Marika; Johansson, Erik M J

    2017-10-09

    Perovskite solar cells, as an emergent technology for solar energy conversion, have attracted much attention in the solar cell community by demonstrating impressive enhancement in power conversion efficiencies. However, the high temperature and manually processed TiO 2 underlayer prepared by spray pyrolysis significantly limit the large-scale application and device reproducibility of perovskite solar cells. In this study, lowtemperature atomic layer deposition (ALD) is used to prepare a compact Al 2 O 3 underlayer for perovskite solar cells. The thickness of the Al 2 O 3 layer can be controlled well by adjusting the deposition cycles during the ALD process. An optimal Al 2 O 3 layer effectively blocks electron recombination at the perovskite/fluorine-doped tin oxide interface and sufficiently transports electrons through tunneling. Perovskite solar cells fabricated with an Al 2 O 3 layer demonstrated a highest efficiency of 16.2 % for the sample with 50 ALD cycles (ca. 5 nm), which is a significant improvement over underlayer-free PSCs, which have a maximum efficiency of 11.0 %. Detailed characterization confirms that the thickness of the Al 2 O 3 underlayer significantly influences the charge transfer resistance and electron recombination processes in the devices. Furthermore, this work shows the feasibility of using a high band-gap semiconductor such as Al 2 O 3 as the underlayer in perovskite solar cells and opens up pathways to use ALD Al 2 O 3 underlayers for flexible solar cells. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Effects of ZnO Seed Layers Prepared with Various Precursor Concentrations on Structural and Defect Emission Properties of ZnO Nanorods Grown by Hydrothermal Method

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Soaram; Nam, Giwoong; Leem, Jae-Young; Kim, Yangsoo [Inje University, Gimhae (Korea, Republic of); Kim, Ghun Sik; Yoon, Sung Pil [Korea Institute of Science and Technology, Seoul (Korea, Republic of)

    2013-07-15

    ZnO nanorods were grown by a hydrothermal method on ZnO seed layers that had previously been prepared from solutions containing various precursor concentrations. The effects of the ZnO seed layers prepared with various precursor concentrations on the structural and defect emissions of the ZnO nanorods were investigated by scanning electron microscopy (SEM), X-ray diffraction (XRD), and photoluminescence (PL) spectroscopy. The surface morphology of the ZnO seed layers changed with an increasing precursor concentration, and the diameters and densities of the ZnO nanorods depended on the morphologies of the ZnO seed layers. The ZnO seed layers prepared with various precursor concentrations affected the residual stress in the nanorods grown on the seed layers, the intensity and full widths at half maximum of the 2-theta angle in the XRD spectra for the nanorods, and the intensity and position of the defect emission peak in deep-level emission (DLE) PL spectra for the ZnO nanorods.

  16. Removal of NO {sub x} by microwave reactor with ammonium bicarbonate and Ga-A zeolites at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Z.S. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China)]. E-mail: weizaishan98@163.com; Du, Z.Y. [School of Light Industry and Chemical Engineering, Guangdong University of Technology, Guangzhou 510006 (China); Lin, Z.H. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China); He, H.M. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China); Qiu, R.L. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China)

    2007-08-15

    Microwave reactor with the mixture of ammonium bicarbonate (NH{sub 4}HCO{sub 3}) and Ga-A zeolites was set up to study the removal of nitrogen oxides (NO {sub x} ) from waste gas with excess oxygen concentration (14-19%) at low temperature (80-120 deg. C). The results showed that the microwave reactor filled with NH{sub 4}HCO{sub 3} and Ga-A zeolites could reduce NO {sub x} to nitrogen with the best purifying efficiency of 95.45% and the best denitrification amount of 89.28 mg h{sup -1}. The optimal microwave power and residence time (RT) on denitrification was 259-280 W and 0.259 s, respectively. Microwave denitrification effect of the experiment using ammonium bicarbonate and Ga-A zeolites was much higher than that using ammonium bicarbonate or Ga-A zeolites only. The mechanism for microwave-induced NO {sub x} reduction can be explained as the microwave-induced catalytic reaction between NO {sub x} and ammonium bicarbonate with Ga-A zeolites being the catalyst and microwave absorbent.

  17. Shallow Levels Characterization in Epitaxial GaAs by Acousto-Optic Reflectance Shallow Levels Characterization in Epitaxial GaAs by Acousto-Optic Reflectance

    Directory of Open Access Journals (Sweden)

    O. G. Ibarra-Manzano

    2012-02-01

    Full Text Available Optical spectra of light reflection are detected under an influence of ultrasonic wave (UWon a GaAs wafer. The differential spectrum is calculated as a difference between those taken under UW and without that influence on a sample. This acousto-optic differential reflectance(AODR spectrum contains some bands that represent the energetic levels of the shallow centers in a sample. A physical basis of this technique is related to a perturbation of local states by UW. Here, a method is developed for characterization of local states at the surfaces and interfaces in crystals and low-dimensional epitaxial structures based on microelectronics materials. A theoretical model is presented to explain AODR spectra. Also, experiments using epitaxial GaAs structures doped by Te were made. Finally, theoretical and experimental results show that acousto-optic reflectance is an effective tool for characterization of shallow trapping centers in epitaxial semiconductor structures.En este trabajo, utilizamos el espectro de la luz reflejada en una muestra de Arsenuro de Galio (GaAs bajo la influencia de una onda ultrasónica. El diferencial espectral es calculado como una diferencia entre el espectro del material obtenido bajo la influencia del ultrasonido y aquél obtenido sin dicha influencia. Este diferencial de reflectancia espectral acusto-óptico (AODR contiene algunas bandas que representan los niveles energéticos de los centros en la superficie de la muestra. Esta técnica está basada en la perturbación de los estados locales generada por el ultrasonido. Particularmente, este trabajo presenta un método para caracterizar los estados locales en la superficie y las interfaces en los cristales, así como estructuras epiteliales de baja dimensión basadas en materiales semiconductores. Para ello, se presenta un modelo teórico para explicar dicho espectro de reflectancia diferencial (AODR. También se realizaron experimentos con estructuras de GaAs epitelial

  18. High temperature GaAs X-ray detectors

    Science.gov (United States)

    Lioliou, G.; Whitaker, M. D. C.; Barnett, A. M.

    2017-12-01

    Two GaAs p+-i-n+ mesa X-ray photodiodes were characterized for their electrical and photon counting X-ray spectroscopic performance over the temperature range of 100 °C to -20 °C. The devices had 10 μm thick i layers with different diameters: 200 μm (D1) and 400 μm (D2). The electrical characterization included dark current and capacitance measurements at internal electric field strengths of up to 50 kV/cm. The determined properties of the two devices were compared with previously reported results that were made with a view to informing the future development of photon counting X-ray spectrometers for harsh environments, e.g., X-ray fluorescence spectroscopy of planetary surfaces in high temperature environments. The best energy resolution obtained (Full Width at Half Maximum at 5.9 keV) decreased from 2.00 keV at 100 °C to 0.66 keV at -20 °C for the spectrometer with D1, and from 2.71 keV at 100 °C to 0.71 keV at -20 °C for the spectrometer with D2. Dielectric noise was found to be the dominant source of noise in the spectra, apart from at high temperatures and long shaping times, where the main source of photopeak broadening was found to be the white parallel noise.

  19. Temperature Dependences of the Product of the Differential Resistance by the Area in MIS-Structures Based on Cd x Hg1- x Te Grown by Molecularbeam Epitaxy on Alternative Si and GaAs Substrates

    Science.gov (United States)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-06-01

    In a temperature range of 9-200 K, temperature dependences of the differential resistance of space-charge region in the strong inversion mode are experimentally studied for MIS structures based on CdxHg1-xTe (x = 0.22-0.40) grown by molecular-beam epitaxy. The effect of various parameters of structures: the working layer composition, the type of a substrate, the type of insulator coating, and the presence of a near-surface graded-gap layer on the value of the product of differential resistance by the area is studied. It is shown that the values of the product RSCRA for MIS structures based on n-CdHgTe grown on a Si(013) substrate are smaller than those for structures based on the material grown on a GaAs(013) substrate. The values of the product RSCRA for MIS structures based on p-CdHgTe grown on a Si(013) substrate are comparable with the value of the analogous parameter for MIS structures based on p-CdHgTe grown on a GaAs(013) substrate.

  20. Twins and strain relaxation in zinc-blende GaAs nanowires grown on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Piñero, J.C., E-mail: josecarlos.pinero@uca.es [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Araújo, D.; Pastore, C.E.; Gutierrez, M. [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Frigeri, C. [Istituto CNR-IMEM Parco Area delle Scienze 37/A, Fontanini, 43010, Parma (Italy); Benali, A.; Lelièvre, J.F.; Gendry, M. [INL-Institut des Nanotechnologies de Lyon, UMR 5270 Ecole Centrale de Lyon 36, Avenue Guy de Collongue, 69134, Ecully Cedex (France)

    2017-02-15

    Highlights: • A TEM-HREM study of GaAs nanowires, growth over Si, is presented. • Misfit dislocations are detected in the Si/GaAs magma interface. • The study demonstrates strain relaxation through twin formation in some nanowires. - Abstract: To integrate materials with large lattice mismatch as GaAs on silicon (Si) substrate, one possible approach, to improve the GaAs crystalline quality, is to use nanowires (NWs) technology. In the present contribution, NWs are grown on <111> oriented Si substrates by molecular beam epitaxy (MBE) using vapor-liquid-solid (VLS) method. Transmission electron microscopy (TEM) analyses show that NWs are mainly grown alternating wurtzite and zinc blend (ZB) phases, and only few are purely ZB. On the latter, High Resolution Electron Microscopy (HREM) evidences the presence of twins near the surface of the NW showing limited concordance with the calculations of Yuan (2013) [1], where {111} twin planes in a <111>-oriented GaAs NW attain attractive interactions mediated by surface strain. In addition, such twins allow slight strain relaxation and are probably induced by the local huge elastic strain observed by HREM in the lattice between the twin and the surface. The latter is attributed to some slight bending of the NW as shown by the inversion of the strain from one side to the other side of the NW.

  1. Epitaxial growth on porous GaAs substrates

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Nohavica, Dušan; Gladkov, Petar; Hulicius, Eduard; Pangrác, Jiří; Piksová, K.

    2013-01-01

    Roč. 16, č. 1 (2013), s. 59-64 ISSN 1631-0748 R&D Projects: GA ČR GAP102/10/1201; GA ČR GAP108/10/0253 Institutional support: RVO:67985882 ; RVO:68378271 Keywords : Electrochemical etching * Porous semiconductors * Epitaxial growth * GaAs Subject RIV: BH - Optics, Masers, Lasers; JA - Electronics ; Optoelectronics, Electrical Engineering (FZU-D) Impact factor: 1.483, year: 2013

  2. The lower yield point of InP and GaAs

    International Nuclear Information System (INIS)

    Siethoff, H.

    1987-01-01

    A study of the strain-rate and temperature dependence of the lower yield stress (τ ly ) in undoped InP and of the strain-rate dependence of τ ly in undoped and Zn-doped GaAs is reported. The deformation along (123) orientation was carried out in compression at constant strain rates ranging from 10 -5 to 10 -2 s -1 . The temperature range extended from 540 to 780 0 C. The activation energy and stress exponent of the dislocation velocity were calculated. Experiments have shown that τ ly of InP depends on temperature and strain rate in a manner similar to other semiconductors like Si and InSb, whereas τ ly of GaAs shows an unusual strain-rate dependence

  3. Electrons, holes, and excitons in GaAs polytype quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Climente, Juan I.; Segarra, Carlos; Rajadell, Fernando; Planelles, Josep, E-mail: josep.planelles@uji.es [Departament de Química Física i Analítica, Universitat Jaume I, E-12080 Castelló (Spain)

    2016-03-28

    Single and multi-band k⋅p Hamiltonians for GaAs crystal phase quantum dots are used to assess ongoing experimental activity on the role of such factors as quantum confinement, spontaneous polarization, valence band mixing, and exciton Coulomb interaction. Spontaneous polarization is found to be a dominating term. Together with the control of dot thickness [Vainorius et al., Nano Lett. 15, 2652 (2015)], it enables wide exciton wavelength and lifetime tunability. Several new phenomena are predicted for small diameter dots [Loitsch et al., Adv. Mater. 27, 2195 (2015)], including non-heavy hole ground state, strong hole spin admixture, and a type-II to type-I exciton transition, which can be used to improve the absorption strength and reduce the radiative lifetime of GaAs polytypes.

  4. Temperature dependence of magnetically dead layers in ferromagnetic thin-films

    Directory of Open Access Journals (Sweden)

    M. Tokaç

    2017-11-01

    Full Text Available Polarized neutron reflectometry has been used to study interface magnetism and magnetic dead layers in model amorphous CoFeB:Ta alloy thin-film multilayers with Curie temperatures tuned to be below room-temperature. This allows temperature dependent variations in the effective magnetic thickness of the film to be determined at temperatures that are a significant fraction of the Curie temperature, which cannot be achieved in the material systems used for spintronic devices. In addition to variation in the effective magnetic thickness due to compositional grading at the interface with the tantalum capping layer, the key finding is that at the interface between ferromagnetic film and GaAs(001 substrate local interfacial alloying creates an additional magnetic dead-layer. The thickness of this magnetic dead-layer is temperature dependent, which may have significant implications for elevated-temperature operation of hybrid ferromagnetic metal-semiconductor spintronic devices.

  5. Measurements with an ultrafast scanning tunnelling microscope on photoexcited semiconductor layers

    DEFF Research Database (Denmark)

    Keil, Ulrich Dieter Felix; Jensen, Jacob Riis; Hvam, Jørn Märcher

    1998-01-01

    Summary form only given. We demonstrate the use of a ultrafast scanning tunnelling microscopes (USTM) for detecting laser-induced field transients on semiconductor layers. In principle, the instrument can detect transient field changes thus far observed as far-field THz radiation in the near......-field regime and resolve small signal sources. For photoexcited low temperature (LT) GaAs we can explain the signal by a diffusion current driven by the laser-induced carrier density gradient...

  6. Preparation of ultra-thin and high-quality WO{sub 3} compact layers and comparision of WO{sub 3} and TiO{sub 2} compact layer thickness in planar perovskite solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Jincheng; Shi, Chengwu, E-mail: shicw506@foxmail.com; Chen, Junjun; Wang, Yanqing; Li, Mingqian

    2016-06-15

    In this paper, the ultra-thin and high-quality WO{sub 3} compact layers were successfully prepared by spin-coating-pyrolysis method using the tungsten isopropoxide solution in isopropanol. The influence of WO{sub 3} and TiO{sub 2} compact layer thickness on the photovoltaic performance of planar perovskite solar cells was systematically compared, and the interface charge transfer and recombination in planar perovskite solar cells with TiO{sub 2} compact layer was analyzed by electrochemical impedance spectroscopy. The results revealed that the optimum thickness of WO{sub 3} and TiO{sub 2} compact layer was 15 nm and 60 nm. The planar perovskite solar cell with 15 nm WO{sub 3} compact layer gave a 9.69% average and 10.14% maximum photoelectric conversion efficiency, whereas the planar perovskite solar cell with 60 nm TiO{sub 2} compact layer achieved a 11.79% average and 12.64% maximum photoelectric conversion efficiency. - Graphical abstract: The planar perovskite solar cell with 15 nm WO{sub 3} compact layer gave a 9.69% average and 10.14% maximum photoelectric conversion efficiency, whereas the planar perovskite solar cell with 60 nm TiO{sub 2} compact layer achieved a 11.79% average and 12.64% maximum photoelectric conversion efficiency. Display Omitted - Highlights: • Preparation of ultra-thin and high-quality WO{sub 3} compact layers. • Perovskite solar cell with 15 nm-thick WO{sub 3} compact layer achieved PCE of 10.14%. • Perovskite solar cell with 60 nm-thick TiO{sub 2} compact layer achieved PCE of 12.64%.

  7. Preparation, properties, and application characteristics of metastable layers of the Ti-Si-C-N system

    International Nuclear Information System (INIS)

    Fella, R.

    1992-10-01

    In the Ti-Si-C and Ti-Si-C-N systems, metastable layers were precipitated by means of non-reactive magnetron sputtering of hot-pressed two-phase TiC/SiC and TiN/SiC targets with 20 mole% and 50 mole% SiC. The preparation parameters were varied as follows: ion bombardment during precipitation (bias sputtering), substrate temperature, and annealing times when annealing amorphous 50%:50% TiC/SiC and 50%:50% TiN/SiC layers. Sputtering of targets containing 20% SiC was found to result in monophase fcc layers (NaCl structure). This was documented on the basis of X-ray and electron diffraction patterns. Direct precipitation of targets with 50 mole% SiC resulted in amorphous layers. Increasing the ion bombardment during accretion, raising the substrate temperature, and annealing amorphous 50%:50% TiC/SiC and 50%:50% TiN/SiC (layers precipitated directly) resulted in the crystallization of TiC and TiN nanocrystallites, respectively, imbedded in an amorphous SiC matrix. These crystallites were detected both by X-ray and by electron diffractions and by XPS studies. The XPS measurements of crystalline TiC and amorphous SiC reference layers demonstrated the existence of new kinds of carbon interface phases ('pseudocarbide layers') around TiC and amorphous SiC regions, respectively, which have a positive impact on the mechanical properties of the layers. The hardness of the layers can be correlated with the degrees of crystallization and texture. Adhesion and toughness are worse in SiC-bearing layers than PVD TiC and TiN layers, respectively. The application characteristics of the layers were determined by model wear tests relative to 100Cr6 by means of a pin/disk tribometer. N-bearing layers were found to have clearly higher friction coefficients and greater wear than layers without N. (orig.)

  8. Photon confinement in high-efficiency, thin-film III-V solar cells obtained by epitaxial lift-off

    International Nuclear Information System (INIS)

    Schermer, J.J.; Bauhuis, G.J.; Mulder, P.; Haverkamp, E.J.; Deelen, J. van; Niftrik, A.T.J. van; Larsen, P.K.

    2006-01-01

    Using the epitaxial lift-off (ELO) technique, a III-V device structure can be separated from its GaAs substrate by selective wet etching of a thin release layer. The thin-film structures obtained by the ELO process can be cemented or van der Waals bonded on arbitrary smooth surface carriers for further processing. It is shown that the ELO method, initially able to separate millimetre-sized GaAs layers with a lateral etch rate of about 1 mm/h, has been developed to a process capable to free the entire 2-in. epitaxial structures from their substrates with etch rates up to 30 mm/h. With these characteristics the method has a large potential for the production of high efficiency thin-film solar cells. By choosing the right deposition and ELO strategy, the thin-film III-V cells can be adequately processed on both sides allowing for an entire range of new cell structures. In the present work, the performance of semi-transparent bifacial solar cells, produced by the deposition of metal grid contacts on both sides, was evaluated. Reflection of light at the rear side of the bifacial GaAs solar cells was found to result in an enhanced collection probability of the photon-induced carriers compared to that of regular III-V cells on a GaAs substrate. To enhance this effect, thin-film GaAs cells with gold mirror back contacts were prepared. Even in their present premature stage of development, these single-junction thin-film cells reached a record efficiency of 24.5% which is already very close to the 24.9% efficiency that was obtained with a regular GaAs cell on a GaAs substrate. From this it could be concluded that, as a result of the photon confinement, ELO cells require a significantly thinner base layer than regular GaAs cells while at the same time they have the potential to reach a higher efficiency

  9. Anisotropic Pauli Spin Blockade of Holes in a GaAs Double Quantum Dot

    Science.gov (United States)

    Wang, Qingwen; Klochan, Oleh; Hung, Jo-Tzu; Culcer, Dimitrie; Farrer, Ian; Ritchie, David; Hamilton, Alex

    Electrically defined semiconductor quantum dots are appealing systems for spin manipulation and quantum information processing. Thanks to the weak hyperfine interaction and the strong spin-orbit interaction, heavy-holes in GaAs are promising candidates for all-electrical spin manipulation. However, making stable quantum dots in GaAs has only become possible recently, mainly because of difficulties in device fabrication and device stability. Here we present electrical transport measurements of heavy-holes in a lateral double quantum dot based on a GaAs /AlxGa1 - x As heterostructure. We observe clear Pauli spin blockade and show that the lifting of the spin blockade by an external magnetic field is extremely anisotropic. Numerical calculations of heavy-hole transport through a double quantum dot in the presence of strong spin-orbit interaction demonstrate quantitative agreement with experimental results, which indicates that the observed anisotropy can be explained by the anisotropic hole g-factor and the surface Dresselhaus spin-orbit coupling.

  10. Performance of Series Connected GaAs Photovoltaic Converters under Multimode Optical Fiber Illumination

    Directory of Open Access Journals (Sweden)

    Tiqiang Shan

    2014-01-01

    Full Text Available In many military and industrial applications, GaAs photovoltaic (PV converters are connected in series in order to generate the required voltage compatible with most common electronics. Multimode optical fibers are usually used to carry high-intensity laser and illuminate the series connected GaAs PV converters in real time. However, multimode optical fiber illumination has a speckled intensity pattern. The series connected PV array is extremely sensitive to nonuniform illumination; its performance is limited severely by the converter that is illuminated the least. This paper quantifies the effects of multimode optical fiber illumination on the performance of series connected GaAs PV converters, analyzes the loss mechanisms due to speckles, and discusses the maximum illumination efficiency. In order to describe the illumination dependent behavior detailedly, modeling of the series connected PV array is accomplished based on the equivalent circuit for PV cells. Finally, a series of experiments are carried out to demonstrate the theory analysis.

  11. Growth and characterization of CdTe absorbers on GaAs by MBE for high concentration PV solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ari, Ozan; Polat, Mustafa; Selamet, Yusuf [Department of Physics, Izmir Institute of Technology, Izmir 35430 (Turkey); Karakaya, Merve [Department of Material Science and Engineering, Izmir Institute of Technology, Izmir 35430 (Turkey)

    2015-11-15

    CdTe based II-VI absorbers are promising candidates for high concentration PV solar cells with an ideal band gap for AM1.5 solar radiation. In this study, we propose single crystal CdTe absorbers grown on GaAs substrates with a molecular beam epitaxy (MBE) which is a clean deposition technology. We show that high quality CdTe absorber layers can be grown with full width half maximum of X-ray diffraction rocking curves (XRD RC) as low as 227 arc-seconds with 0.5% thickness uniformity that a 2 μm layer is capable of absorbing 99% of AM1.5 solar radiation. Bandgap of the CdTe absorber is found as 1.483 eV from spetroscopic ellipsometry (SE) measurements. Also, high absorption coefficient is calculated from the results, which is ∝5 x 10{sup 5}cm{sup -1} in solar radiation spectrum. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Dutta, P.; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V.; Zheng, N.; Ahrenkiel, P.; Martinez, J.

    2014-01-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10 7  cm −2 . Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm 2 /V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  13. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, P., E-mail: pdutta2@central.uh.edu; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V. [Department of Mechanical Engineering, University of Houston, Houston, Texas 77204 (United States); Zheng, N.; Ahrenkiel, P. [Department of Nanoscience and Nanoengineering, South Dakota School of Mines and Technology, Rapid City, South Dakota 57701 (United States); Martinez, J. [Materials Evaluation Laboratory, NASA Johnson Space Center, Houston, Texas 77085 (United States)

    2014-09-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10{sup 7 }cm{sup −2}. Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm{sup 2}/V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  14. A reliable and economical method for gaining mouse embryonic fibroblasts capable of preparing feeder layers.

    Science.gov (United States)

    Jiang, Guangming; Wan, Xiaoju; Wang, Ming; Zhou, Jianhua; Pan, Jian; Wang, Baolong

    2016-08-01

    Mouse embryonic fibroblasts (MEFs) are widely used to prepare feeder layers for culturing embryonic stem cells (ESCs) or induced pluripotent stem cells (iPSCs) in vitro. Transportation lesions and exorbitant prices make the commercially obtained MEFs unsuitable for long term research. The aim of present study is to establish a method, which enables researchers to gain MEFs from mice and establish feeder layers by themselves in ordinary laboratories. MEFs were isolated from ICR mouse embryos at 12.5-17.5 day post-coitum (DPC) and cultured in vitro. At P2-P7, the cells were inactivated with mitomycin C or by X-ray irradiation. Then they were used to prepare feeder layers. The key factors of the whole protocol were analyzed to determine the optimal conditions for the method. The results revealed MEFs isolated at 12.5-13.5 DPC, and cultured to P3 were the best choice for feeder preparation, those P2 and P4-P5 MEFs were also suitable for the purpose. The P3-P5 MEFs treated with 10 μg/ml of mitomycin C for 3 h, or irradiated with X-ray at 1.5 Gy/min for 25 Gy were the most suitable feeder cells. Treating MEFs with 10 μg/ml of mitomycin C for 2.5 h, 15 μg/ml for 2.0 h, or irradiating the cells with 20 Gy of X-ray at 2.0 Gy/min could all serve as alternative methods for P3-P4 cells. Our study provides a reliable and economical way to obtain large amount of qualified MEFs for long term research of ESCs or iPSCs.

  15. Rapid thermal annealing of InAs/GaAs quantum dots under a GaAs proximity cap

    International Nuclear Information System (INIS)

    Babinski, Adam; Jasinski, J.; Bozek, R.; Szepielow, A.; Baranowski, J. M.

    2001-01-01

    The effect of postgrowth rapid thermal annealing (RTA) on GaAs proximity-capped structures with self-assembled InAs/GaAs quantum dots (QDs) is investigated using transmission electron microscopy (TEM) and photoluminescence (PL). As can be seen from the TEM images, QDs increase their lateral sizes with increasing annealing temperature (up to 700 C). QDs cannot be distinguished after RTA at temperature 800 C or higher, and substantial thickening of the wetting layer can be seen instead. The main PL peak blueshifts as a result of RTA. We propose that in the as-grown sample as well, as in samples annealed at temperatures up to 700 C, the peak is due to the QDs. After RTA at 800 C and higher the PL peak is due to a modified wetting layer. Relatively fast dissolution of QDs is explained in terms of strain-induced lateral Ga/In interdiffusion. It is proposed that such a process may be of importance in proximity-capped RTA, when no group-III vacancy formation takes place at the sample/capping interface

  16. Preparation and properties of blends composed of lignosulfonated layered double hydroxide/plasticized starch and thermoplastics.

    Science.gov (United States)

    Privas, Edwige; Leroux, Fabrice; Navard, Patrick

    2013-07-01

    Layered double hydroxide prepared with lignosulfonate (LDH/LS) can be easily dispersed down to the nanometric scale in thermoplastic starch, at concentration of 1 up to 4 wt% of LDH/LS. They can thus be used as a bio-based reinforcing agent of thermoplastic starch. Incorporation of LDH/LS in starch must be done using LDH/LS slurry instead of powder on order to avoid secondary particles aggregation, the water of the paste being used as the starch plasticizer. This reinforced starch was used for preparing a starch-polyolefine composite. LDH/LS-starch nanocomposites were mixed in a random terpolymer of ethylene, butyl acrylate (6%) and maleic anhydride (3%) at concentrations of 20 wt% and 40 wt%. With a 20% loading of (1 wt% LDH/LS in thermoplastic starch), the ternary copolymer is partially bio-based while keeping nearly its original processability and mechanical properties and improving oxygen barrier properties. The use of layered double hydroxides is also removing most odours linked to the lignin phase. Copyright © 2013 Elsevier Ltd. All rights reserved.

  17. RF-MMW Dipole Antenna Arrays From Laser Illuminated GaAs

    National Research Council Canada - National Science Library

    Umphenour, D

    1998-01-01

    High resistivity photoconductive Gallium Arsenide (GaAs) can be used as elemental Hertzian dipole antenna arrays in which the time varying dipole current is produced by temporally modulating a laser (0.63um...

  18. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    Science.gov (United States)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  19. Preparation and Characterization of TiO2/CdS Layers as Potential Photoelectrocatalytic Materials

    Directory of Open Access Journals (Sweden)

    Teofil-Danut Silipas

    2011-01-01

    Full Text Available The TiO2/CdS semiconductor composites were prepared on
    indium tin oxide (ITO substrates in di®erent mass proportions via wet-chemical techniques using bi-distilled water, acetyl-acetone, poly-propylene-glycol and Triton X-100 as additives. The composite layers were annealed in normal conditions at the temperature of 450±C, 120 min. with a rate of temperature increasing of 5±C/min. The structural and optical properties of all the TiO2/CdS ayers were characterized by X-ray di®raction, UV-VIS spectroscopy, spectrofluorimetry and FT/IR microscopy. The microstructural properties of the deposited TiO2/CdS layers can be modi¯ed by varying the mass proportions of TiO2:CdS. The good crystallinity level and the high optical adsorption of
    the TiO2/CdS layers make them attractive for photoelectrochemical cell applications.

  20. Density-dependent electron scattering in photoexcited GaAs

    DEFF Research Database (Denmark)

    Mics, Zoltán; D'’Angio, Andrea; Jensen, Søren A.

    2013-01-01

    —In a series of systematic optical pump - terahertz probe experiments we study the density-dependent electron scattering rate in photoexcited GaAs in a large range of carrier densities. The electron scattering time decreases by as much as a factor of 4, from 320 to 60 fs, as the electron density...