WorldWideScience

Sample records for gaas including surface

  1. Preferential adsorption of gallium on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires

    International Nuclear Information System (INIS)

    Shu Haibo; Chen Xiaoshuang; Ding Zongling; Dong Ruibin; Lu Wei

    2010-01-01

    The mechanism of the preferential adsorption of Ga on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires is studied by using first-principles calculations within density functional theory. The calculated results show that Au preadsorption on GaAs(111)B surface significantly enhances the stability of the Ga adatom in comparison with the adsorption of Ga on clean GaAs(111)B surface. The stabilization of the Ga adatom is due to charge transfers from the Ga 4p and 4s states to the Au 6s and As 4p states. The number of Ga adatoms stabilized on GaAs(111)B surfaces depends on the size of surface Au cluster. The reason is that Au acted as an electron acceptor on GaAs(111)B surface assists the charge transfer of Ga adatoms for filling the partial unoccupied bands of GaAs(111)B surface. Our results are helpful to understand the growth of Au-assisted GaAs nanowires.

  2. On the modelling of semi-insulating GaAs including surface tension and bulk stresses

    Energy Technology Data Exchange (ETDEWEB)

    Dreyer, W.; Duderstadt, F.

    2004-07-01

    Necessary heat treatment of single crystal semi-insulating Gallium Arsenide (GaAs), which is deployed in micro- and opto- electronic devices, generate undesirable liquid precipitates in the solid phase. The appearance of precipitates is influenced by surface tension at the liquid/solid interface and deviatoric stresses in the solid. The central quantity for the description of the various aspects of phase transitions is the chemical potential, which can be additively decomposed into a chemical and a mechanical part. In particular the calculation of the mechanical part of the chemical potential is of crucial importance. We determine the chemical potential in the framework of the St. Venant-Kirchhoff law which gives an appropriate stress/strain relation for many solids in the small strain regime. We establish criteria, which allow the correct replacement of the St. Venant-Kirchhoff law by the simpler Hooke law. The main objectives of this study are: (i) We develop a thermo-mechanical model that describes diffusion and interface motion, which both are strongly influenced by surface tension effects and deviatoric stresses. (ii) We give an overview and outlook on problems that can be posed and solved within the framework of the model. (iii) We calculate non-standard phase diagrams, i.e. those that take into account surface tension and non-deviatoric stresses, for GaAs above 786 C, and we compare the results with classical phase diagrams without these phenomena. (orig.)

  3. Terahertz emission from semi-insulating GaAs with octadecanthiol-passivated surface

    International Nuclear Information System (INIS)

    Wu, Xiaojun; Xu, Xinlong; Lu, Xinchao; Wang, Li

    2013-01-01

    Terahertz (THz) emission from octadecanthiol (ODT) passivated (1 0 0) surface of the semi-insulating GaAs was measured, and compared with those from the native oxidized and the fresh surfaces. It was shown that the self-assembled ODT monolayer can stabilize the GaAs (1 0 0) surface, and maintain a THz surface emission 1.4 times as efficient as the native oxidized surface under equal conditions. Surface passivation can reduce the built-in electric field in the depletion region of the GaAs (1 0 0), resulting in the suppression of the THz radiation to a different extent. Oxidation of GaAs surface reduces the THz amplitude mainly in the low-frequency region. These results indicate that GaAs can be made a more effective THz source by choosing molecular passivation technique. Conversely, the THz emission features such as polarity, amplitude, and phase from molecule-passivated surfaces may be used to characterize the attached molecules.

  4. Surface science analysis of GaAs photocathodes following sustained electron beam delivery

    Directory of Open Access Journals (Sweden)

    V. Shutthanandan

    2012-06-01

    Full Text Available Degradation of the photocathode materials employed in photoinjectors represents a challenge for sustained operation of nuclear physics accelerators and high power free electron lasers (FEL. Photocathode quantum efficiency degradation is due to residual gases in the electron source vacuum system being ionized and accelerated back to the photocathode. These investigations are a first attempt to characterize the nature of the photocathode degradation, and employ multiple surface and bulk analysis techniques to investigate damage mechanisms including sputtering of the Cs-oxidant surface monolayer, other surface chemistry effects, and ion implantation. Surface and bulk analysis studies were conducted on two GaAs photocathodes, which were removed from the JLab FEL DC photoemission gun after delivering electron beam, and two control samples. The analysis techniques include helium ion microscopy, Rutherford backscattering spectrometry (RBS, atomic force microscopy, and secondary ion mass spectrometry (SIMS. In addition, two high-polarization strained superlattice GaAs photocathode samples, one removed from the continuous electron beam accelerator facility (CEBAF photoinjector and one unused, were also analyzed using transmission electron microscopy (TEM and SIMS. It was found that heat cleaning the FEL GaAs wafer introduces surface roughness, which seems to be reduced by prolonged use. The bulk GaAs samples retained a fairly well organized crystalline structure after delivering beam but show evidence of Cs depletion on the surface. Within the precision of the SIMS and RBS measurements, the data showed no indication of hydrogen implantation or lattice damage from ion back bombardment in the bulk GaAs wafers. In contrast, SIMS and TEM measurements of the strained superlattice photocathode show clear crystal damage in the wafer from ion back bombardment.

  5. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    Science.gov (United States)

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  6. Effects of surface passivation on twin-free GaAs nanosheets.

    Science.gov (United States)

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  7. Periodic nanostructures fabricated on GaAs surface by UV pulsed laser interference

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Wei; Huo, Dayun; Guo, Xiaoxiang; Rong, Chen; Shi, Zhenwu, E-mail: zwshi@suda.edu.cn; Peng, Changsi, E-mail: changsipeng@suda.edu.cn

    2016-01-01

    Graphical abstract: - Highlights: • Periodic nanostructures were fabricated on GaAs wafers by four-beam laser interference patterning which have potential applications in many fields. • Significant different results were obtained on epi-ready and homo-epitaxial GaAs substrate surfaces. • Two-pulse patterning was carried out on homo-epitaxial GaAs substrate, a noticeable morphology transformation induced by the second pulse was observed. • Temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations. The calculation agrees well with the experiment results. - Abstract: In this paper, periodic nanostructures were fabricated on GaAs wafers by four-beam UV pulsed laser interference patterning. Significant different results were observed on epi-ready and homo-epitaxial GaAs substrate surfaces, which suggests GaAs oxide layer has an important effect on pulsed laser irradiation process. In the case of two-pulse patterning, a noticeable morphology transformation induced by the second pulse was observed on homo-epitaxial GaAs substrate. Based on photo-thermal mode, temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations.

  8. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    International Nuclear Information System (INIS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-01-01

    Molecular-Beam Epitaxy growth of multiple In 0.4 Ga 0.6 As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4 Ga 0.6 As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4 Ga 0.6 As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  9. Sulfidic photochemical passivation of GaAs surfaces in alcoholic solutions

    International Nuclear Information System (INIS)

    Simonsmeier, T.; Ivankov, A.; Bauhofer, W.

    2005-01-01

    We report on a remarkable enhancement of the passivation effect of sulfidic solutions through illumination with above band gap light. Luminescence measurements on GaAs surfaces which have been illuminated during chemical passivation reveal in comparison to nonilluminated samples a further reduction of their surface density of states as well as a significantly increased stability of the passivation. Investigations with photoelectron spectroscopy show that illumination leads to a nearly complete removal of oxides on the surface. Measurements on Schottky diodes which have been manufactured with photochemically passivated GaAs indicate a noticeable decrease in band bending and a depinning of the Fermi level

  10. Dynamical properties of tertiarybutylarsine on GaAs(0 0 1) surface

    CERN Document Server

    Ozeki, M; Tanaka, Y

    2002-01-01

    The dynamical properties of tertiarybutylarsine (TBA) was studied on GaAs(0 0 1) surface using a supersonic molecular beam. The temperature and incident energy dependence of the reflected beam revealed a reaction channel of TBA on GaAs surface with a large decrease in the activation energy from 2.7 to 1.8 eV as the incident energy increases from 0.04 to 2.5 eV.

  11. A new structure for comparing surface passivation materials of GaAs solar cells

    Science.gov (United States)

    Desalvo, Gregory C.; Barnett, Allen M.

    1989-01-01

    The surface recombination velocity (S sub rec) for bare GaAs is typically as high as 10 to the 6th power to 10 to the 7th power cm/sec, which dramatically lowers the efficiency of GaAs solar cells. Early attempts to circumvent this problem by making an ultra thin junction (xj less than .1 micron) proved unsuccessful when compared to lowering S sub rec by surface passivation. Present day GaAs solar cells use an GaAlAs window layer to passivate the top surface. The advantages of GaAlAs in surface passivation are its high bandgap energy and lattice matching to GaAs. Although GaAlAs is successful in reducing the surface recombination velocity, it has other inherent problems of chemical instability (Al readily oxidizes) and ohmic contact formation. The search for new, more stable window layer materials requires a means to compare their surface passivation ability. Therefore, a device structure is needed to easily test the performance of different passivating candidates. Such a test device is described.

  12. Nanoscale footprints of self-running gallium droplets on GaAs surface.

    Directory of Open Access Journals (Sweden)

    Jiang Wu

    Full Text Available In this work, the nanoscale footprints of self-driven liquid gallium droplet movement on a GaAs (001 surface will be presented and analyzed. The nanoscale footprints of a primary droplet trail and ordered secondary droplets along primary droplet trails are observed on the GaAs surface. A well ordered nanoterrace from the trail is left behind by a running droplet. In addition, collision events between two running droplets are investigated. The exposed fresh surface after a collision demonstrates a superior evaporation property. Based on the observation of droplet evolution at different stages as well as nanoscale footprints, a schematic diagram of droplet evolution is outlined in an attempt to understand the phenomenon of stick-slip droplet motion on the GaAs surface. The present study adds another piece of work to obtain the physical picture of a stick-slip self-driven mechanism in nanoscale, bridging nano and micro systems.

  13. X-ray in-situ study of copper electrodeposition on UHV prepared GaAs(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Gruender, Yvonne

    2008-06-02

    For this work a unique setup for in-situ electrochemical studies was employed and improved. This setup permits UHV preparation of the GaAs(001) surface with a defined surface termination (arsenic-rich or gallium-rich) and its characterization by SXRD in UHV, under ambient pressure in inert gas and in electrolyte under potential control without passing through air. The GaAs(001) surfaces were capped by amorphous arsenic. This permitted to ship them through ambient air. Afterwards smooth well defined GaAs(001) surfaces could be recovered by thermal annealing in UHV. A first investigation of the arsenic capped sample was done by atomic force microscopy (AFM) and Surface X-Ray Diffraction (SXRD). The non bulk like termination of the arsenic buried GaAs(001) surface was revealed. For the electrochemical metal deposition, arsenic terminated (2 x 4) reconstructed and gallium terminated (4 x 2) reconstructed GaAs(001) surfaces were employed. These surfaces were characterized by STM, LEED and a first time by SXRD. The surfaces are smooth, however, a higher degree of disorder than for MBE prepared reconstructed GaAs(001) is found. After exposure of the sample to nitrogen, the surfaces were then again studied by SXRD. These two steps characterizing the bare GaAs(001) surfaces permitted us to get a better knowledge of the starting surface and its influence on the later electrodeposited copper. At ambient pressure both reconstructions are lifted, but the surface is not bulk-like terminated as can be deduced from the crystal truncation rods. Epitaxial copper clusters grow upon electrodeposition on the UHV prepared GaAs(001) surface. The copper lattice is rotated and inclined with respect to the GaAs substrate lattice, leading to eight symmetry equivalent domains. The influence of the surface termination as well as the nucleation potential on the structure of the electrodeposited copper were investigated. The tilt and rotation angles do not depend on the deposition potential but

  14. Surface photovoltage spectroscopy of real n-type GaAs(110) surfaces

    International Nuclear Information System (INIS)

    El-Dessouki, M.S.; El-Guiziri, S.B.; Gobrial, F.Z.

    1989-10-01

    N-type GaAs single crystals cut parallel to the (110) plane and doped with phosphorus by ion beam implantation were used in the present study. Temperature dependence of the bulk electrical conductivity showed two distinct activated regions with activation energies Et 1 =0.75±0.04eV, and Et 2 =0.12±0.04eV. The first activation energy is probably that of deep phosphorous impurities, while the second was related to long range disorder in the sample near room temperature. Surface photovoltage studies at room temperature were carried out at atmospheric pressure and in vacuum for etched and unetched samples. For n-type GaAs etched surface, the experimentally observed surface states were not found to change their positions by changing the pressure. But in the case of etched samples the surface states showed some redistribution under vacuum. The time constants for the initial rise and fall of CPD by illumination and after switching it off, τ 1 and τ 2 , respectively, were found to depend on the illumination intensity and photon energy. Their values range between 4 and 15 s. (author). 31 refs, 6 figs, 1 tab

  15. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2008-12-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. It has been found that etching parameters can be controlled to produce a considerably low optical reflectivity porous GaAs layer, attractive for use in solar cells. In addition, it has been observed that the deposition of plasma polymerized HMDSO thin film on porous GaAs surface can be utilized to produce a surface with novel optical properties interesting for solar cells and optoelectronic devices. (author)

  16. Low-energy particle treatment of GaAs surface

    International Nuclear Information System (INIS)

    Pincik, E.; Ivanco, J.; Brunner, R.; Jergel, M.; Falcony, C.; Ortega, L.; Kucera, J. M.

    2002-01-01

    The paper presents results of a complex study of surface properties of high-doped (2x10 18 cm -3 ) and semi-insulating GaAs after an interaction with the particles coming from low-energy ion sources such as RF plasma and ion beams. The virgin samples were mechano-chemically polished liquid-encapsulated Czochralski-grown GaAs (100) oriented wafers. The crystals were mounted on the grounded electrode (holder). The mixture Ar+H 2 as well as O 2 and CF 4 were used as working gases: In addition, a combination of two different in-situ exposures was applied, such as e.g. hydrogen and oxygen. Structural, electrical and optical properties of the exposed surfaces were investigated using X-ray diffraction at grazing incidence, quasi-static and high-frequency C-V curve measurements, deep-level transient spectroscopy, photo-reflectance, and photoluminescence. Plasma and ion beam exposures were performed in a commercial RF capacitively coupled plasma equipment SECON XPL-200P and a commercial LPAI device, respectively. The evolution of surface properties as a function of the pressure of working gas and the duration of exposure was observed. (Authors)

  17. Gallium surface diffusion on GaAs (001) surfaces measured by crystallization dynamics of Ga droplets

    International Nuclear Information System (INIS)

    Bietti, Sergio; Somaschini, Claudio; Esposito, Luca; Sanguinetti, Stefano; Fedorov, Alexey

    2014-01-01

    We present accurate measurements of Ga cation surface diffusion on GaAs surfaces. The measurement method relies on atomic force microscopy measurement of the morphology of nano–disks that evolve, under group V supply, from nanoscale group III droplets, earlier deposited on the substrate surface. The dependence of the radius of such nano-droplets on crystallization conditions gives direct access to Ga diffusion length. We found an activation energy for Ga on GaAs(001) diffusion E A =1.31±0.15 eV, a diffusivity prefactor of D 0  = 0.53(×2.1±1) cm 2 s −1 that we compare with the values present in literature. The obtained results permit to better understand the fundamental physics governing the motion of group III ad–atoms on III–V crystal surfaces and the fabrication of designable nanostructures.

  18. Novel anti-reflection technology for GaAs single-junction solar cells using surface patterning and Au nanoparticles.

    Science.gov (United States)

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Kim, Sangin; Rotermund, Fabian; Lim, Hanjo; Lee, Jaejin

    2012-07-01

    Single-junction GaAs solar cell structures were grown by low-pressure MOCVD on GaAs (100) substrates. Micro-rod arrays with diameters of 2 microm, 5 microm, and 10 microm were fabricated on the surfaces of the GaAs solar cells via photolithography and wet chemical etching. The patterned surfaces were coated with Au nanoparticles using an Au colloidal solution. Characteristics of the GaAs solar cells with and without the micro-rod arrays and Au nanoparticles were investigated. The short-circuit current density of the GaAs solar cell with 2 microm rod arrays and Au nanoparticles increased up to 34.9% compared to that of the reference cell without micro-rod arrays and Au nanoparticles. The conversion efficiency of the GaAs solar cell that was coated with Au nanoparticles on the patterned surface with micro-rod arrays can be improved from 14.1% to 19.9% under 1 sun AM 1.5G illumination. These results show that micro-rod arrays and Au nanoparticle coating can be applied together in surface patterning to achieve a novel cost-effective anti-reflection technology.

  19. Low energy Ar ion bombardment damage of Si, GaAs, and InP surfaces

    International Nuclear Information System (INIS)

    Williams, R.S.

    1982-01-01

    Argon bombardment damage to (100) surfaces of Si, GaAs, and InP for sputter ion-gun potentials of 1, 2, and 3 kilovolts was studied using Rutherford backscattering. Initial damage rates and saturation damage levels were determined. Bombardment damage sensitivity increased for the sequence Si, GaAs, and InP. Saturation damage levels for Si and GaAs correspond reasonably to LSS projected range plus standard deviation estimates; damage to InP exceeded this level significantly. For an ion-gun potential of 3 keV, the initial sputter yield of P from an InP surface exceeded the sputter yield of In by four atoms per incident Ar projectile. (author)

  20. Arsenic ambient conditions preventing surface degradation of GaAs during capless annealing at high temperatures

    Science.gov (United States)

    Kang, C. H.; Kondo, K.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    Changes in surface morphology and composition caused by capless annealing of GaAs were studied as a function of annealing temperature, T(GaAs), and the ambient arsenic pressure controlled by the temperature, T(As), of an arsenic source in the annealing ampul. It was established that any degradation of the GaAs surface morphology could be completely prevented, providing that T(As) was more than about 0.315T(GaAs) + 227 C. This empirical relationship is valid up to the melting point temperature of GaAs (1238 C), and it may be useful in some device-processing steps.

  1. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  2. Promotion effect of monovalent metals (K and Cs) on the GaAs (110) surface oxidation

    International Nuclear Information System (INIS)

    Valeri, S.; Sberveglieri, P.; Angeli, E.

    1987-01-01

    The effect of thin (∼ 1 monolayer) overlayers of low electronegativity metals (Cs and K) on the RT oxidation behaviour of GaAs(110) cleavage surface is studied. This study was with Auger and Photoemission spectroscopies. Attention has been focused on the core-valence-valence and Auger lineshapes on the Ga and As 3d peaks. Presence of the alkali metal enhances the GaAs (110) oxidation rate several orders of magnitude above the clean surface value has been found. The range 0-100 Langmuir is investigated in detail. The oxidation process of the GaAs(110) surface in the presence of both K and Cs overlayer follows a multi-step kinetic and reaches a saturation at exposure lower than 100 Langmuir. Both Ga and As atoms are involved in the oxygen bonding. The metal enhanced semiconductor oxidation is generally reported to be a process involving predominantly the semiconductor surface atoms. However in the Cs - and K - GaAs case, an involvement of the alkali metal atoms too, reflected in the shape modification of their Auger line has been found. The promotion effect of K and Cs is discussed in terms of their low electronegativity and in comparison with the results recently reported in the literature for the other low electronegativity metals

  3. Surface segregation and the Al problem in GaAs quantum wells

    Science.gov (United States)

    Chung, Yoon Jang; Baldwin, K. W.; West, K. W.; Shayegan, M.; Pfeiffer, L. N.

    2018-03-01

    Low-defect two-dimensional electron systems (2DESs) are essential for studies of fragile many-body interactions that only emerge in nearly-ideal systems. As a result, numerous efforts have been made to improve the quality of modulation-doped AlxGa1 -xAs /GaAs quantum wells (QWs), with an emphasis on purifying the source material of the QW itself or achieving better vacuum in the deposition chamber. However, this approach overlooks another crucial component that comprises such QWs, the AlxGa1 -xAs barrier. Here we show that having a clean Al source and hence a clean barrier is instrumental to obtain a high-quality GaAs 2DES in a QW. We observe that the mobility of the 2DES in GaAs QWs declines as the thickness or Al content of the AlxGa1 -xAs barrier beneath the QW is increased, which we attribute to the surface segregation of oxygen atoms that originate from the Al source. This conjecture is supported by the improved mobility in the GaAs QWs as the Al cell is cleaned out by baking.

  4. Study on the GaAs(110) surface using emitted atom spectrometry

    International Nuclear Information System (INIS)

    Gayone, J.E.; Sanchez, E.A.; Grizzi, O.; Universidad Nacional de Cuyo, Mendoza

    1998-01-01

    The facilities implemented at Bariloche for the ion scattering spectrometry is described, and recent examples of the technique application to determine the atomic structure and the composition of metallic and semiconductor surfaces, pure and with different adsorbates. The surface analysis technique using emitted atom spectrometry is discussed. The sensitivity to the GaAs(110) surface atomic relaxation is presented, and the kinetic of hydrogen adsorption by the mentioned surface is studied

  5. The influence of surfaces on the transient terahertz conductivity and electron mobility of GaAs nanowires

    International Nuclear Information System (INIS)

    Joyce, Hannah J; Baig, Sarwat A; Parkinson, Patrick; Davies, Christopher L; Boland, Jessica L; Herz, Laura M; Johnston, Michael B; Tan, H Hoe; Jagadish, Chennupati

    2017-01-01

    Bare unpassivated GaAs nanowires feature relatively high electron mobilities (400–2100 cm 2 V −1 s −1 ) and ultrashort charge carrier lifetimes (1–5 ps) at room temperature. These two properties are highly desirable for high speed optoelectronic devices, including photoreceivers, modulators and switches operating at microwave and terahertz frequencies. When engineering these GaAs nanowire-based devices, it is important to have a quantitative understanding of how the charge carrier mobility and lifetime can be tuned. Here we use optical-pump–terahertz-probe spectroscopy to quantify how mobility and lifetime depend on the nanowire surfaces and on carrier density in unpassivated GaAs nanowires. We also present two alternative frameworks for the analysis of nanowire photoconductivity: one based on plasmon resonance and the other based on Maxwell–Garnett effective medium theory with the nanowires modelled as prolate ellipsoids. We find the electron mobility decreases significantly with decreasing nanowire diameter, as charge carriers experience increased scattering at nanowire surfaces. Reducing the diameter from 50 nm to 30 nm degrades the electron mobility by up to 47%. Photoconductivity dynamics were dominated by trapping at saturable states existing at the nanowire surface, and the trapping rate was highest for the nanowires of narrowest diameter. The maximum surface recombination velocity, which occurs in the limit of all traps being empty, was calculated as 1.3  ×  10 6 cm s −1 . We note that when selecting the optimum nanowire diameter for an ultrafast device, there is a trade-off between achieving a short lifetime and a high carrier mobility. To achieve high speed GaAs nanowire devices featuring the highest charge carrier mobilities and shortest lifetimes, we recommend operating the devices at low charge carrier densities. (paper)

  6. Preparation of GaAs photocathodes at low temperature

    International Nuclear Information System (INIS)

    Mulhollan, G.; Clendenin, J.; Tang, H.

    1996-10-01

    The preparation of an atomically clean surface is a necessary step in the formation of negative electron affinity (NEA) GaAs. Traditional methods to this end include cleaving, heat cleaning and epitaxial growth. Cleaving has the advantage of yielding a fresh surface after each cleave, but is limited to small areas and is not suitable for specialized structures. Heat cleaning is both simple and highly successful, so it is used as a preparation method in virtually all laboratories employing a NEA source on a regular basis. Due to its high cost and complexity, epitaxial growth of GaAs with subsequent in vacuo transfer is not a practical solution for most end users of GaAs as a NEA electron source. While simple, the heating cleaning process has a number of disadvantages. Here, a variety of cleaning techniques related to preparation of an atomically clean GaAs surface without heating to 600 C are discussed and evaluated

  7. Surface study of organopalladium molecules on S-terminated GaAs

    International Nuclear Information System (INIS)

    Konishi, Tomoya; Toujyou, Takashi; Ishikawa, Takuma; Teraoka, Teruki; Ueta, Yukiko; Kihara, Yoshifumi; Moritoki, Hideji; Tono, Tatsuo; Musashi, Mio; Tada, Takashi; Tsukamoto, Shiro; Nishiwaki, Nagatoshi; Fujikawa, Seiji; Takahasi, Masamitu; Bell, Gavin; Shimoda, Masahiko

    2011-01-01

    Organopalladium species ({Pd}) immobilized on an S-terminated GaAs substrate (S/GaAs) effectively catalyzes C-C bond formation in the Mizoroki-Heck reaction with cycle durability. However, the immobilizing mechanism of {Pd} is unknown. In this study, we deposited Pd(OCOCH 3 ) 2 on S/GaAs in two different methods, namely dry-physical vapor-deposition and wetchemical deposition, and compared the catalytic activities in the Mizoroki-Heck reaction. Also, S-termination and {Pd}-immobilization on GaAs grains were performed by the wet-chemical method to monitor the change in the surface chemical structure during the preparation process with diffuse reflectance Fourier transform infrared spectroscopy (FT-IR). FT-IR measurements implied that the immobilization of catalytic active {Pd} was related to the OH groups on the S-terminated surface. {Pd}-S/GaAs prepared dryphysically showed poor catalytic activity, because {Pd} was not immobilized under absence of OH groups. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2010-01-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. (author)

  9. Effects of surface states on device and interconnect isolation in GaAs MESFET and InP MISFET integrated circuits

    International Nuclear Information System (INIS)

    Hasegawa, H.; Kitagawa, T.; Masuda, H.; Yano, H.; Ohno, H.

    1985-01-01

    Surface electrical breakdown and side-gating which cause failure of device and interconnect isolation are investigated for GaAs MESFET and InP MISFET integrated circuit structures. Striking differences in behavior are observed between GaAs and InP as regards to the surface conduction, surface breakdown and side-gating. These differences are shown to be related to the surface state properties of the insulator-semiconductor interface. In GaAs, high density of surface states rather than bulk trap states control the surface I-V characteristics and side-gating, causing serious premature avalanche breakdown and triggering side-gating at a low nominal field intensity of 1-3 kV/cm. On the other hand, InP MISFET integrated circuits are virtually free from these premature breakdown and side-gating effect under normal dark operating condition because of very low surface state density

  10. The apparent effect of sample surface damage on the dielectric parameters of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A. [Physics Department, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)], E-mail: Japie.Engelbrecht@nmmu.ac.za; Hashe, N.G. [Physics Department, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Hillie, K.T. [CSIR-NML Laboratory, P.O. Box 395, Pretoria 0001 (South Africa); Claassens, C.H. [Physics Department, University of the Free State, Bloemfontein 9300 (South Africa)

    2007-12-15

    The dielectric and optical parameters determined by infrared reflectance spectroscopy and computer simulation of a set of GaAs substrates of various surface topologies are reported. The influence of surface damage on the parameters is noted.

  11. The apparent effect of sample surface damage on the dielectric parameters of GaAs

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Hashe, N.G.; Hillie, K.T.; Claassens, C.H.

    2007-01-01

    The dielectric and optical parameters determined by infrared reflectance spectroscopy and computer simulation of a set of GaAs substrates of various surface topologies are reported. The influence of surface damage on the parameters is noted

  12. Etching of GaAs substrates to create As-rich surface

    Indian Academy of Sciences (India)

    WINTEC

    during the manipulations of the substrate after the chemi- cal etching process. ... using the four techniques described in table 1 and for an. *Author for ... Etching of GaAs substrates to create As-rich surface. 563. Table 1. Treatment procedures used. Treatment. Techniques. 1st stage. 2nd stage. 3rd stage. 4th stage. 1. Treated ...

  13. Early stages of Cs adsorption mechanism for GaAs nanowire surface

    Science.gov (United States)

    Diao, Yu; Liu, Lei; Xia, Sihao; Feng, Shu

    2018-03-01

    In this study, the adsorption mechanism of Cs adatoms on the (100) surface of GaAs nanowire with [0001] growth direction is investigated utilizing first principles method based on density function theory. The adsorption energy, work function, atomic structure and electronic property of clean surface and Cs-covered surfaces with different coverage are discussed. Results show that when only one Cs is adsorbed on the surface, the most favorable adsorption site is BGa-As. With increasing Cs coverage, work function gradually decreases and gets its minimum at 0.75 ML, then rises slightly when Cs coverage comes to 1 ML, indicating the existence of 'Cs-kill' phenomenon. According to further analysis, Cs activation process can effectively reduce the work function due to the formation of a downward band bending region and surface dipole moment directing from Cs adatom to the surface. As Cs coverage increases, the conduction band minimum and valence band maximum both shift towards lower energy side, contributed by the orbital hybridization between Cs-5s, Cs-5p states and Ga-4p, As-4s, As-4p states near Fermi level. The theoretical calculations and analysis in this study can improve the Cs activation technology for negative electron affinity optoelectronic devices based on GaAs nanowires, and also provide a reference for the further Cs/O or Cs/NF3 activation process.

  14. Structure and homoepitaxial growth of GaAs(6 3 1)

    International Nuclear Information System (INIS)

    Mendez-Garcia, V.H.; Ramirez-Arenas, F.J.; Lastras-Martinez, A.; Cruz-Hernandez, E.; Pulzara-Mora, A.; Rojas-Ramirez, J.S.; Lopez-Lopez, M.

    2006-01-01

    We have studied the surface atomic structure of GaAs(6 3 1), and the GaAs growth by molecular beam epitaxy (MBE) on this plane. After the oxide desorption process at 585 deg. Creflection high-energy electron diffraction (RHEED) showed along the [-1 2 0] direction a 2x surface reconstruction for GaAs(6 3 1)A, and a 1x pattern was observed for GaAs(6 3 1)B. By annealing the substrates for 60 min, we observed that on the A surface appeared small hilly-like features, while on GaAs(6 3 1)B surface pits were formed. For GaAs(6 3 1)A, 500 nm-thick GaAs layers were grown at 585 deg. C. The atomic force microscopy (AFM) images at the end of growth showed the self-formation of nanoscale structures with a pyramidal shape enlarged along the [5-9-3] direction. Transversal views of the bulk-truncated GaAs(6 3 1) surface model showed arrays of atomic grooves along this direction, which could influence the formation of the pyramidal structures

  15. Angular dependence of Auger signals from a GaAs (111) surface

    International Nuclear Information System (INIS)

    Barnard, W.O.

    1984-03-01

    This dissertation is concerned with the angular dependence of the L 3 M 4 M 4 1067 eV Ga and L 3 M 4 M 4 1228 eV As Auger electron signals from a (111) GaAs surface, using a system which is equipped with a cylindrical mirror analyser. Following a detailed discussion of the Auger process, a review is given of angular effects in the emission excitation and detection of Auger signals. Present theories are discussed and an empirical theory is developed to test the experimental results obtained in this study. The experimental procedures and equipment used are presented. It was found that the Auger signals show a strong variation with the angle of rotation about the normal of a GaAs surface. Furthermore, the nature of the angular spectra of the Ga and As signals are interchanged when the electron beam incident surface is changed from (111) to (111). The main features of the angular variation of the quasi-elastic backscattered signal is reflected in the corresponding Ga and As Auger angular spectra. The angular dependence of the quasi-elastic backscattered signal can be explained semi-quantitatively in terms of the empirical theory. Theoretical arguments are presented which suggest that the Auger signals should show an angular dependence similar to the quasi-elastic backscattered signal. Evidence was found that geometric screening-off of underlying atoms by surface and near surface atoms influence the Auger yield

  16. Synchrotron photoemission spectroscopy study of ammonium hydroxide etching to prepare well-ordered GaAs(1 0 0) surfaces

    International Nuclear Information System (INIS)

    Lebedev, Mikhail V.; Ensling, David; Hunger, Ralf; Mayer, Thomas; Jaegermann, Wolfram

    2004-01-01

    Synchrotron-induced photoelectron spectroscopy was used to investigate the native-oxide-covered GaAs(1 0 0) surface and changes induced by etching with aqueous ammonia solution and by annealing in vacuum. The etching step removes arsenic and gallium oxides from the surface and the surface gets covered by elemental arsenic and tiny amounts of gallium suboxide. The surface oxygen content is reduced by an order of magnitude after etching, whereas the surface carbon content is somewhat increased. Annealing of this surface at 450 deg. C results in the disappearance of elemental arsenic and a considerable decrease in surface carbon and oxygen contents. The valence band spectra exhibit clear features typical for As-terminated GaAs(1 0 0) surfaces, as also obtained after As decapping

  17. Surface passivation of liquid phase epitaxial GaAs

    International Nuclear Information System (INIS)

    Alexiev, D.; Butcher, K.S.A.; Mo, L.; Edmondson, M.

    1995-10-01

    Passivation of the liquid phase epitaxial GaAs surface was attempted using aqueous P 2 S 5 -NH 4 OH, (NH 4 ) 2 S x and plasma nitrogenation and hydrogenation. Results indicate that plasma nitrogenation with pretreatment of plasma hydrogenation produced consistent reduction in reverse leakage current at room temperature for all p and n type Schottky diodes. Some diodes showed an order of magnitude improvement in current density. (NH 4 ) 2 S x passivation also results in improved I-V characteristics, though the long term stability of this passivation is questionable. 26 refs., 6 figs

  18. Design optimization of GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyanag; Jiang Lan; Chen Xuyuan

    2011-01-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm -2 63 Ni, the open circuit voltage of the optimized batteries is about ∼0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P + PN + junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm -2 , which indicates a carrier diffusion length of less than 1 μm. The overall results show that multi-layer P + PN + junctions are the preferred structures for GaAs betavoltaic battery design.

  19. Atomic-scale luminescence measurement and theoretical analysis unveiling electron energy dissipation at a p-type GaAs(110) surface

    International Nuclear Information System (INIS)

    Imada, Hiroshi; Miwa, Kuniyuki; Jung, Jaehoon; Shimizu, Tomoko K; Kim, Yousoo; Yamamoto, Naoki

    2015-01-01

    Luminescence of p-type GaAs was induced by electron injection from the tip of a scanning tunnelling microscope into a GaAs(110) surface. Atomically-resolved photon maps revealed a significant reduction in luminescence intensity at surface electronic states localized near Ga atoms. Theoretical analysis based on first principles calculations and a rate equation approach was performed to describe the perspective of electron energy dissipation at the surface. Our study reveals that non-radiative recombination through the surface states (SS) is a dominant process for the electron energy dissipation at the surface, which is suggestive of the fast scattering of injected electrons into the SS. (paper)

  20. A new high-efficiency GaAs solar cell structure using a heterostructure back-surface field

    Science.gov (United States)

    Gale, R. P.; Fan, J. C. C.; Turner, G. W.; Chapman, R. L.

    1984-01-01

    Shallow-homojunction GaAs solar cells are fabricated with a back-surface field (BSF) produced by a GaAs/Al(0.2)Ga(0.8)As heterostructure. These cells exhibit higher open-circuit voltages and conversion efficiencies than control cells made with a p-GaAs/p(+)-GaAs BSF. Conversion efficiencies of over 22 percent (AM1, total area) have been obtained with this new structure. The use of a higher bandgap material below the active region not only provides an enhanced BSF but will also permit the implementation of two solar-cell designs: a GaAs cell with a back-surface reflector and an AlGaAs cell that can be used as the upper cell in tandem configurations.

  1. Ab initio study of atomic disorder on as-rich GaAs(111)A surface

    Czech Academy of Sciences Publication Activity Database

    Romanyuk, Olexandr; Mutombo, Pingo; Grosse, F.

    2015-01-01

    Roč. 641, Nov (2015), s. 330-335 ISSN 0039-6028 R&D Projects: GA ČR GPP204/10/P028 Grant - others:AVČR(CZ) M100101201 Institutional support: RVO:68378271 Keywords : GaAs(111) * surface reconstructions * surface kinetics * density functional theory Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.931, year: 2015

  2. Nano-scale patterning on sulfur terminated GaAs (0 0 1) surface by scanning tunneling microscope

    International Nuclear Information System (INIS)

    Yagishita, Yuki; Toda, Yusuke; Hirai, Masakazu; Fujishiro, Hiroki Inomata

    2004-01-01

    We perform nano-scale patterning on a sulfur (S) terminated GaAs (0 0 1) surface by a scanning tunneling microscope (STM) in ultra-high vacuum (UHV). A multi-layer of S deposited by using (NH 4 ) 2 S x solution is changed to a mono-layer after annealing at 560 deg. C for 15 h, which terminates the GaAs (0 0 1) surface. Groove structures with about 0.23 nm in depth and about 5 nm in width are patterned successfully on the S-terminated surface. We investigate dependences of both depth and width of the patterned groove on the tunneling current and the scanning speed of tip. It is observed that topmost S atoms are extracted together with first-layer Ga atoms, because of the larger binding energy of S-Ga bond

  3. Nitridation of porous GaAs by an ECR ammonia plasma

    International Nuclear Information System (INIS)

    Naddaf, M; Hullavarad, S S; Ganesan, V; Bhoraskar, S V

    2006-01-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy

  4. Nitridation of porous GaAs by an ECR ammonia plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Department of Physics, Atomic Energy Commission of Syria, PO Box 6091, Damascus (Syrian Arab Republic); Hullavarad, S S [Center for Superconductivity Research, Department of Physics, University of Maryland, College Park, MD 20742 (United States); Ganesan, V [Inter University Consortium, Indore (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2006-02-15

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  5. Nitridation of porous GaAs by an ECR ammonia plasma

    Science.gov (United States)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  6. Simulated non-contact atomic force microscopy for GaAs surfaces based on real-space pseudopotentials

    International Nuclear Information System (INIS)

    Kim, Minjung; Chelikowsky, James R.

    2014-01-01

    We simulate non-contact atomic force microscopy (AFM) with a GaAs(1 1 0) surface using a real-space ab initio pseudopotential method. While most ab initio simulations include an explicit model for the AFM tip, our method does not introduce the tip modeling step. This approach results in a considerable reduction of computational work, and also provides complete AFM images, which can be directly compared to experiment. By analyzing tip-surface interaction forces in both our results and previous ab initio simulations, we find that our method provides very similar force profile to the pure Si tip results. We conclude that our method works well for systems in which the tip is not chemically active.

  7. Mechanical response of wall-patterned GaAs surface

    International Nuclear Information System (INIS)

    Le Bourhis, E.; Patriarche, G.

    2005-01-01

    Wall-patterned GaAs surfaces have been elaborated by photolithography and dry etching. Different surfaces were produced in order to change the aspect ratio of the walls formed at the substrate surface. The mechanical behaviour of individual walls was investigated by nanoindentation and the responses were compared to that of a standard bulk reference (flat surface). Deviation from the bulk response is detected in a load range of 1-25 mN depending on the aspect ratio of the walls. A central plastic zone criterion is proposed in view of transmission electron microscopy images of indented walls and allows the prediction of the response deviation of a given wall if its width is known. The mechanical response of the different types of walls is further investigated in terms of stiffness, total penetration of indenter and apparent hardness, and is scanned in relation to the proximity of a wall side. Overall results show that contact stiffness remains almost unaffected by aspect ratio, while penetration drastically increases because of the free sides of the wall as compared to a flat surface (bulk substrate). The application of substrate patterning for optoelectronic devices is discussed in the perspective of eliminating residual dislocations appearing in mismatched structures

  8. Surface-related reduction of photoluminescence in GaAs quantum wires and its recovery by new passivation

    International Nuclear Information System (INIS)

    Shiozaki, Nanako; Anantathanasarn, Sanguan; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    Etched GaAs quantum wires (QWRs) and selectively grown (SG) QWRs were fabricated, and dependence of their photoluminescence (PL) properties on QWR width (W) and QWR distance to surface (d) were investigated. PL intensity greatly reduced with reduction of W and d, due to non-radiative recombination through surface states. Surface passivation by growing a Si interface control layer (Si-ICL) on group III-terminated surfaces greatly improved PL properties

  9. Atomic structure of the GaAs(001)-c(4x4) surface: first-principles evidence for diversity of heterodimer motifs.

    Science.gov (United States)

    Penev, E; Kratzer, P; Scheffler, M

    2004-10-01

    The GaAs(001)-c(4x4) surface was studied using ab initio atomistic thermodynamics based on density-functional theory calculations. We demonstrate that in a range of stoichiometries, between those of the conventional three As-dimer and the new three Ga-As-dimer models, there exists a diversity of atomic structures featuring Ga-As heterodimers. These results fully explain the experimental scanning tunneling microscopy images and are likely to be relevant also to the c(4x4)-reconstructed (001) surfaces of other III-V semiconductors.

  10. Creation of oxygen-enriched layers at the surface of GaAs single crystal

    International Nuclear Information System (INIS)

    Kulik, M.; Maczka, D.; Kobzev, A.P.

    1999-01-01

    The optical properties and the element depth profiles at the (100) plane high resistant and noncomposite GaAs single crystals implanted with In ions were investigated. The results have been compared with those obtained for virgin samples. The optic properties for all of the samples (implanted and not implanted, annealed and not annealed) have been measured using the ellipsometric method. The element depth profiles for the same samples have been obtained by the RBS and NRA techniques. It has been shown that the post-implantation annealing at a temperature more than 600 deg C leads to a ten time increase in contents of oxygen atoms in the implanted layer with respect to the not annealed sample. The thickness of the transparence layer at the surface of GaAs single crystal increases also after implantation with In ions and subsequent annealing

  11. Origins of ion irradiation-induced Ga nanoparticle motion on GaAs surfaces

    International Nuclear Information System (INIS)

    Kang, M.; Wu, J. H.; Chen, H. Y.; Thornton, K.; Goldman, R. S.; Sofferman, D. L.; Beskin, I.

    2013-01-01

    We have examined the origins of ion irradiation-induced nanoparticle (NP) motion. Focused-ion-beam irradiation of GaAs surfaces induces random walks of Ga NPs, which are biased in the direction opposite to that of ion beam scanning. Although the instantaneous NP velocities are constant, the NP drift velocities are dependent on the off-normal irradiation angle, likely due to a difference in surface non-stoichiometry induced by the irradiation angle dependence of the sputtering yield. It is hypothesized that the random walks are initiated by ion irradiation-induced thermal fluctuations, with biasing driven by anisotropic mass transport

  12. Picosecond relaxation of X-ray excited GaAs

    Czech Academy of Sciences Publication Activity Database

    Tkachenko, V.; Medvedev, Nikita; Lipp, V.; Ziaja, B.

    2017-01-01

    Roč. 24, Sep (2017), s. 15-21 ISSN 1574-1818 Institutional support: RVO:68378271 Keywords : GaAS * X-ray excitation * picosecond relaxation Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 0.908, year: 2016

  13. Comparison of different pathways in metamorphic graded buffers on GaAs substrate: Indium incorporation with surface roughness

    International Nuclear Information System (INIS)

    Kumar, Rahul; Mukhopadhyay, P.; Bag, A.; Jana, S. Kr.; Chakraborty, A.; Das, S.; Mahata, M. Kr.; Biswas, D.

    2015-01-01

    Highlights: • In(Al,Ga)As metamorphic buffers on GaAs have been grown. • Surface morphology, strain relaxation and compositional variation have been studied. • Al containing buffers shows inferior surface roughness. • Surface roughness modulates the indium incorporation rate. - Abstract: In this work, compositionally graded In(Al,Ga)As metamorphic buffers (MBs) on GaAs substrate have been grown by MBE through three different paths. A comparative study has been done to comprehend the effect of underlying MB on the constant composition InAlAs healing layer by analyzing the relaxation behaviour, composition and surface morphology of the grown structures. The compositional variation between the constant composition healing layers on top of graded MB has been observed in all three samples although the growth conditions have been kept same. Indium incorporation rate has been found to be dependent on underlying MB. By combining the result of atomic force microscopy, photo-luminescence and X-ray reciprocal space mapping, varying surface roughness has been proposed as the probable driving force behind different Indium incorporation rate

  14. Comparison of different pathways in metamorphic graded buffers on GaAs substrate: Indium incorporation with surface roughness

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Rahul, E-mail: rkp203@gmail.com [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Mukhopadhyay, P. [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur 721302 (India); Bag, A.; Jana, S. Kr. [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Chakraborty, A. [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India); Das, S.; Mahata, M. Kr. [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Biswas, D. [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India)

    2015-01-01

    Highlights: • In(Al,Ga)As metamorphic buffers on GaAs have been grown. • Surface morphology, strain relaxation and compositional variation have been studied. • Al containing buffers shows inferior surface roughness. • Surface roughness modulates the indium incorporation rate. - Abstract: In this work, compositionally graded In(Al,Ga)As metamorphic buffers (MBs) on GaAs substrate have been grown by MBE through three different paths. A comparative study has been done to comprehend the effect of underlying MB on the constant composition InAlAs healing layer by analyzing the relaxation behaviour, composition and surface morphology of the grown structures. The compositional variation between the constant composition healing layers on top of graded MB has been observed in all three samples although the growth conditions have been kept same. Indium incorporation rate has been found to be dependent on underlying MB. By combining the result of atomic force microscopy, photo-luminescence and X-ray reciprocal space mapping, varying surface roughness has been proposed as the probable driving force behind different Indium incorporation rate.

  15. Transient surface states during the CBE growth of GaAs

    Science.gov (United States)

    Farrell, T.; Hill, D.; Joyce, T. B.; Bullough, T. J.; Weightman, P.

    1997-05-01

    We report the occurrence of a transient surface state during the initial stages of CBE GaAs(0 0 1) growth. The state was detected in real-time reflectance ( R) and reflectance anisotropy spectroscopy (RAS) growth monitoring. At low growth rates, less than 1 μm/h, beam equivalent pressure (BEP) of triethylgallium (TEG) BEPs there was a rapid increase in R at all monitoring wavelengths, followed by a monotonic decay to its pre-growth value. This transient increase in R was accompanied by a change in the RAS signal, the magnitude and sign of which varied with wavelength. The initial increase in R is shown to be associated with the development of a metallic-like surface whereas the changes in the RAS signal are consistent with the formation of Ga dimers.

  16. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  17. Surface barrier analysis of semi-insulating and n{sup +}-type GaAs(0 0 1) following passivation with n-alkanethiol SAMs

    Energy Technology Data Exchange (ETDEWEB)

    Marshall, Gregory M. [Laboratory for Quantum Semiconductors and Photon-Based BioNanotechnology, Department of Electrical and Computer Engineering, Universite de Sherbrooke, Sherbrooke, Quebec, J1K 2R1 (Canada); Institute for Chemical Process and Environmental Technology, National Research Council of Canada, Ottawa, Ontario, K1A 0R6 (Canada); Bensebaa, Farid [Institute for Chemical Process and Environmental Technology, National Research Council of Canada, Ottawa, Ontario, K1A 0R6 (Canada); Dubowski, Jan J., E-mail: jan.j.dubowski@usherbrooke.ca [Laboratory for Quantum Semiconductors and Photon-Based BioNanotechnology, Department of Electrical and Computer Engineering, Universite de Sherbrooke, Sherbrooke, Quebec, J1K 2R1 (Canada)

    2011-02-15

    The surface Fermi level of semi-insulating and n{sup +}-type GaAs(0 0 1) was determined before and after passivation with n-alkanethiol self-assembled monolayers (SAMs) by X-ray photoelectron spectroscopy. Fermi level positioning was achieved using Au calibration pads integrated directly onto the GaAs surface, prior to SAM deposition, in order to provide a surface equipotential binding energy reference. Fermi level pinning within 50 meV and surface barrier characteristics according to the Advanced Unified Defect Model were observed. Our results demonstrate the effectiveness of the Au integration technique for the determination of band-edge referenced Fermi level positions and are relevant to an understanding of emerging technologies based on the molecular-semiconductor junction.

  18. Ab initio structural and vibrational properties of GaAs diamondoids and nanocrystals

    Directory of Open Access Journals (Sweden)

    Mudar Ahmed Abdulsattar

    2014-12-01

    Full Text Available Gallium arsenide diamondoids structural and vibrational properties are investigated using density functional theory at the PBE/6-31(d level and basis including polarization functions. Variation of energy gap as these diamondoids increase in size is seen to follow confinement theory for diamondoids having nearly equiaxed dimensions. Density of energy states transforms from nearly single levels to band structure as we reach larger diamondoids. Bonds of surface hydrogen with As atoms are relatively localized and shorter than that bonded to Ga atoms. Ga-As bonds have a distribution range of values due to surface reconstruction and effect of bonding to hydrogen atoms. Experimental bulk Ga-As bond length (2.45 Å is within this distribution range. Tetrahedral and dihedral angles approach values of bulk as we go to higher diamondoids. Optical-phonon energy of larger diamondoids stabilizes at 0.037 eV (297 cm-1 compared to experimental 0.035 eV (285.2 cm-1. Ga-As force constant reaches 1.7 mDyne/Å which is comparable to Ga-Ge force constant (1.74 mDyne/Å. Hydrogen related vibrations are nearly constant and serve as a fingerprint of GaAs diamondoids while Ga-As vibrations vary with size of diamondoids.

  19. Annealing-induced Fe oxide nanostructures on GaAs

    OpenAIRE

    Lu, Y X; Ahmad, E; Xu, Y B; Thompson, S M

    2005-01-01

    We report the evolution of Fe oxide nanostructures on GaAs(100) upon pre- and post-growth annealing conditions. GaAs nanoscale pyramids were formed on the GaAs surface due to wet etching and thermal annealing. An 8.0-nm epitaxial Fe film was grown, oxidized, and annealed using a gradient temperature method. During the process the nanostripes were formed, and the evolution has been demonstrated using transmission and reflection high energy electron diffraction, and scanning electron microscopy...

  20. Lithium compensation of GaAs

    International Nuclear Information System (INIS)

    Alexiev, D.; Tavendale, A.J.

    1988-08-01

    Defects generated following Li diffusion into GaAs were studied by optical deep level transient spectroscopy (ODLTS) and deep level transient spectroscopy (DLTS). In an exploratory series of experiments, the effect of Li diffusion on existing trap spectra, defect generation and as a means for the compensation of GaAs was studied. The variables included diffusion temperature, initial trap spectra of GaAs and annealing periods. Detailed measurements of trap energies were made

  1. Attractive interaction between Mn atoms on the GaAs(110) surface observed by scanning tunneling microscopy.

    Science.gov (United States)

    Taninaka, Atsushi; Yoshida, Shoji; Kanazawa, Ken; Hayaki, Eiko; Takeuchi, Osamu; Shigekawa, Hidemi

    2016-06-16

    Scanning tunneling microscopy/spectroscopy (STM/STS) was carried out to investigate the structures of Mn atoms deposited on a GaAs(110) surface at room temperature to directly observe the characteristics of interactions between Mn atoms in GaAs. Mn atoms were paired with a probability higher than the random distribution, indicating an attractive interaction between them. In fact, re-pairing of unpaired Mn atoms was observed during STS measurement. The pair initially had a new structure, which was transformed during STS measurement into one of those formed by atom manipulation at 4 K. Mn atoms in pairs and trimers were aligned in the direction, which is theoretically predicted to produce a high Curie temperature.

  2. Comparison of the reactivity of alkyl and alkyl amine precursors with native oxide GaAs(100) and InAs(100) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Henegar, A.J., E-mail: henegar1@umbc.edu; Gougousi, T., E-mail: gougousi@umbc.edu

    2016-12-30

    Graphical abstract: The interaction of the native oxides of GaAs(100) and InAs(100) with alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition (ALD) of Al{sub 2}O{sub 3} and TiO{sub 2} is compared. Al{sub 2}O{sub 3} if found to be a significantly better barrier against the transport of the surface native oxide during the film deposition as well as after post-deposition heat treatment. This superior blocking ability also limits the removal of the native oxides during the Al{sub 2}O{sub 3} ALD process. - Highlights: • Native oxide diffusion is required for continuous native oxide removal. • The diffusion barrier capabilities of Al{sub 2}O{sub 3} limits native oxide removal during ALD. • Arsenic oxide exhibits higher mobility from InAs compared to GaAs substrates. • Oxygen scavenging from the surface by trimethyl aluminum is confirmed. - Abstract: In this manuscript we compare the interaction of alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition with III-V native oxides. For that purpose we deposit Al{sub 2}O{sub 3} and TiO{sub 2}, using H{sub 2}O as the oxidizer, on GaAs(100) and InAs(100) native oxide surfaces. We find that there are distinct differences in the behavior of the two films. For the Al{sub 2}O{sub 3} ALD very little native oxide removal happens after the first few ALD cycles while the interaction of the alkyl amine precursor for TiO{sub 2} and the native oxides continues well after the surface has been covered with 2 nm of TiO{sub 2}. This difference is traced to the superior properties of Al{sub 2}O{sub 3} as a diffusion barrier. Differences are also found in the behavior of the arsenic oxides of the InAs and GaAs substrates. The arsenic oxides from the InAs surface are found to mix more efficiently in the growing dielectric film than those from the GaAs surface. This difference is attributed to

  3. Comparison of the reactivity of alkyl and alkyl amine precursors with native oxide GaAs(100) and InAs(100) surfaces

    International Nuclear Information System (INIS)

    Henegar, A.J.; Gougousi, T.

    2016-01-01

    Graphical abstract: The interaction of the native oxides of GaAs(100) and InAs(100) with alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition (ALD) of Al_2O_3 and TiO_2 is compared. Al_2O_3 if found to be a significantly better barrier against the transport of the surface native oxide during the film deposition as well as after post-deposition heat treatment. This superior blocking ability also limits the removal of the native oxides during the Al_2O_3 ALD process. - Highlights: • Native oxide diffusion is required for continuous native oxide removal. • The diffusion barrier capabilities of Al_2O_3 limits native oxide removal during ALD. • Arsenic oxide exhibits higher mobility from InAs compared to GaAs substrates. • Oxygen scavenging from the surface by trimethyl aluminum is confirmed. - Abstract: In this manuscript we compare the interaction of alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition with III-V native oxides. For that purpose we deposit Al_2O_3 and TiO_2, using H_2O as the oxidizer, on GaAs(100) and InAs(100) native oxide surfaces. We find that there are distinct differences in the behavior of the two films. For the Al_2O_3 ALD very little native oxide removal happens after the first few ALD cycles while the interaction of the alkyl amine precursor for TiO_2 and the native oxides continues well after the surface has been covered with 2 nm of TiO_2. This difference is traced to the superior properties of Al_2O_3 as a diffusion barrier. Differences are also found in the behavior of the arsenic oxides of the InAs and GaAs substrates. The arsenic oxides from the InAs surface are found to mix more efficiently in the growing dielectric film than those from the GaAs surface. This difference is attributed to lower native oxide stability as well as an initial diffusion path formation by the indium oxides.

  4. Modified energetics and growth kinetics on H-terminated GaAs (110)

    International Nuclear Information System (INIS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Tejedor, P.; Lorbek, S.; Hlawacek, G.; Teichert, C.

    2013-01-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As 4 , has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å 2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As 4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed

  5. Modified energetics and growth kinetics on H-terminated GaAs (110)

    Energy Technology Data Exchange (ETDEWEB)

    Galiana, B. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Departamento de Física, Universidad Carlos III de Madrid, Avenida de la Universidad 30, 28911 Madrid (Spain); Benedicto, M.; Díez-Merino, L.; Tejedor, P. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Lorbek, S.; Hlawacek, G.; Teichert, C. [Institut für Physik, Montanuniversität Leoben, Franz Josef St., 18A-8700 Leoben (Austria)

    2013-10-28

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As{sub 4}, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å{sup 2} measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As{sub 4} molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  6. Scanning tunnelling microscope imaging of nanoscale electron density gradients on the surface of GaAs

    International Nuclear Information System (INIS)

    Hamilton, B; Jacobs, J; Missous, M

    2003-01-01

    This paper is concerned with the scanning tunnelling microscope tunnelling conditions needed to produce constant current images dominated either by surface topology or by electronic effects. A model experimental structure was produced by cleaving a GaAs multiδ-doped layer in UHV and so projecting a spatially varying electron gas density onto the (110) surface. This cross sectional electron density varies on a nanometre scale in the [100] growth direction. The electronic structure and tunnelling properties of this system were modelled, and the tunnelling conditions favouring sensitivity to the surface electron gas density determined

  7. Molecular-beam epitaxy on shallow mesa gratings patterned on GaAs(311)A and (100) substrates

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Schönherr, H.-P.; Ploog, K.H.

    2002-01-01

    We report on the morphology and properties of the surface formed by molecular-beam epitaxy on shallow mesa gratings on patterned GaAs(311)A and GaAs(100). On GaAs(311)A substrates, the corrugated surface formed after GaAs growth on shallow mesa gratings along [011] is composed of monolayer high

  8. Atomic hydrogen cleaning of GaAs photocathodes

    International Nuclear Information System (INIS)

    Poelker, M.; Price, J.; Sinclair, C.

    1997-01-01

    It is well known that surface contaminants on semiconductors can be removed when samples are exposed to atomic hydrogen. Atomic H reacts with oxides and carbides on the surface, forming compounds that are liberated and subsequently pumped away. Experiments at Jefferson lab with bulk GaAs in a low-voltage ultra-high vacuum H cleaning chamber have resulted in the production of photocathodes with high photoelectron yield (i.e., quantum efficiency) and long lifetime. A small, portable H cleaning apparatus also has been constructed to successfully clean GaAs samples that are later removed from the vacuum apparatus, transported through air and installed in a high-voltage laser-driven spin-polarized electron source. These results indicate that this method is a versatile and robust alternative to conventional wet chemical etching procedures usually employed to clean bulk GaAs

  9. Performance of a GaAs electron source

    International Nuclear Information System (INIS)

    Calabrese, R.; Ciullo, G.; Della Mea, G.; Egeni, G.P.; Guidi, V.; Lamanna, G.; Lenisa, P.; Maciga, B.; Rigato, V.; Rudello, V.; Tecchio, L.; Yang, B.; Zandolin, S.

    1994-01-01

    We discuss the performance improvement of a GaAs electron source. High quantum yield (14%) and constant current extraction (1 mA for more than four weeks) are achieved after a little initial decay. These parameters meet the requirements for application of the GaAs photocathode as a source for electron cooling devices. We also present the preliminary results of a surface analysis experiment, carried out by means of the RBS technique to check the hypothesis of cesium evaporation from the surface when the photocathode is in operation. (orig.)

  10. Structural Evolution During Formation and Filling of Self-patterned Nanoholes on GaAs (100 Surfaces

    Directory of Open Access Journals (Sweden)

    Zhou Lin

    2008-01-01

    Full Text Available Abstract Nanohole formation on an AlAs/GaAs superlattice gives insight to both the “drilling” effect of Ga droplets on AlAs as compared to GaAs and the hole-filling process. The shape and depth of the nanoholes formed on GaAs (100 substrates has been studied by the cross-section transmission electron microscopy. The Ga droplets “drill” through the AlAs layer at a much slower rate than through GaAs due to differences in activation energy. Refill of the nanohole results in elongated GaAs mounds along the [01−1] direction. As a result of capillarity-induced diffusion, GaAs favors growth inside the nanoholes, which provides the possibility to fabricate GaAs and AlAs nanostructures.

  11. Substitutional Co dopant on the GaAs(110) surface: A first principles study

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Zhou; Yi, Zhijun, E-mail: zhijunyi@cumt.edu.cn

    2016-12-01

    Using the first principles ground state method, the electronic properties of single Co dopant replacing one Ga atom on the GaAs(110) surface are studied. Our calculated local density of states (LDOS) at Co site presents several distinct peaks above the valence band maximum (VBM), and this agrees with recent experiments. Moreover, the calculated STM images at bias voltages of 2 eV and −2 eV also agree with experiments. We discussed the origin of Co impurity induced distinct peaks, which can be characterized with the hybridization between Co d orbitals and p-like orbitals of surface As and Ga atoms.

  12. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  13. Nanoripple formation on GaAs (001) surface by reverse epitaxy during ion beam sputtering at elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Chowdhury, Debasree; Ghose, Debabrata, E-mail: debabrata1.ghose@gmail.com

    2016-11-01

    Highlights: • GaAs (001) surfaces are sputtered by 1 keV Ar{sup +} at sample temperature of 450 °C. • Highly ordered defect-free ripples develop at near-normal incidence angles (θ ≈ 0–25{sup 0}). • Concurrent sample rotation does not alter the ripple orientation with respect to the ion beam. • At grazing incidence angles anisotropic structure is formed. • Concurrent sample rotation shows that the structure orientation depends on the beam direction. - Abstract: Self-organized pattern formation by the process of reverse epitaxial growth has been investigated on GaAs (001) surfaces during 1 keV Ar{sup +} bombardment at target temperature of 450 °C for a wide range of incident angles. Highly ordered ripple formation driven by diffusion instability is evidenced at near normal incidence angles. Concurrent sample rotation shows that the ripple morphology and its orientation do not depend on the incident beam direction; rather they are determined by the symmetry of the crystal face.

  14. A new theoretical approach to adsorption desorption behavior of Ga on GaAs surfaces

    Science.gov (United States)

    Kangawa, Y.; Ito, T.; Taguchi, A.; Shiraishi, K.; Ohachi, T.

    2001-11-01

    We propose a new theoretical approach for studying adsorption-desorption behavior of atoms on semiconductor surfaces. The new theoretical approach based on the ab initio calculations incorporates the free energy of gas phase; therefore we can calculate how adsorption and desorption depends on growth temperature and beam equivalent pressure (BEP). The versatility of the new theoretical approach was confirmed by the calculation of Ga adsorption-desorption transition temperatures and transition BEPs on the GaAs(0 0 1)-(4×2)β2 Ga-rich surface. This new approach is feasible to predict how adsorption and desorption depend on the growth conditions.

  15. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. GaAs nanopillar-array solar cells employing in situ surface passivation

    Science.gov (United States)

    Mariani, Giacomo; Scofield, Adam C.; Hung, Chung-Hong; Huffaker, Diana L.

    2013-01-01

    Arrays of III–V direct-bandgap semiconductor nanopillars represent promising photovoltaic candidates due to their inherent high optical absorption coefficients and minimized reflection arising from light trapping, efficient charge collection in the radial direction and the ability to synthesize them on low-cost platforms. However, the increased surface area results in surface states that hamper the power conversion efficiency. Here, we report the first demonstration of GaAs nanopillar-array photovoltaics employing epitaxial passivation with air mass 1.5 global power conversion efficiencies of 6.63%. High-bandgap epitaxial InGaP shells are grown in situ and cap the radial p–n junctions to alleviate surface-state effects. Under light, the photovoltaic devices exhibit open-circuit voltages of 0.44 V, short-circuit current densities of 24.3 mA cm−2 and fill factors of 62% with high external quantum efficiencies >70% across the spectral regime of interest. A novel titanium/indium tin oxide annealed alloy is exploited as transparent ohmic anode. PMID:23422665

  17. The effect of the In concentration on the surface morphology of InGaAs-GaAs heterostructures grown by MBE on GaAs substrate

    International Nuclear Information System (INIS)

    Gómez-Barojas, E; Serrano-Rojas, R M; Rodríguez-Moreno, M A; Santamaría-Juárez, G; Silva-González, R; a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" data-affiliation=" (Instituto de Investigación en Comunicación Óptica. Universidad Autónoma de San Luis Potosí, Av. Karakorum 1470, Col. Lomas Altas 4a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" >Vidal-Borbolla, M A

    2014-01-01

    A set of 3 heterostructures were formed by 10 periods of InGaAs-GaAs epitaxially grown on GaAs substrate by means of a molecular beam epitaxial system. Scanning electron microscopy (SEM) cross section images at high magnification show that the heterostructures present good periodicity. SEM micrographs of the surface morphology chemically etched show the coalescence effect of In due to an unequal etching rate of In and GaAs. Auger electron spectroscopy (AES) depth profiles show that the first GaAs layers in the 3 samples are off-stoichiometric and that the alloy layers present In square and triangular depth profiles

  18. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    Science.gov (United States)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  19. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    Science.gov (United States)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  20. Ultra-thin flexible GaAs photovoltaics in vertical forms printed on metal surfaces without interlayer adhesives

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Juho; Song, Kwangsun; Kim, Namyun; Lee, Jongho, E-mail: jong@gist.ac.kr [School of Mechanical Engineering, Gwangju Institute of Science and Technology (GIST), Gwangju 61005 (Korea, Republic of); Research Institute for Solar and Sustainable Energies (RISE), Gwangju Institute of Science and Technology (GIST), Gwangju 61005 (Korea, Republic of); Hwang, Jeongwoo [Photonic Bio Research Center, Korea Photonics Technology Institute (KOPTI), 9 Cheomdanventure-ro 108beon-gil, Gwangju 61007 (Korea, Republic of); Shin, Jae Cheol [Department of Physics, Yeungnam University, Gyeongsan, Gyeongbuk 38541 (Korea, Republic of)

    2016-06-20

    Wearable flexible electronics often require sustainable power sources that are also mechanically flexible to survive the extreme bending that accompanies their general use. In general, thinner microelectronic devices are under less strain when bent. This paper describes strategies to realize ultra-thin GaAs photovoltaics through the interlayer adhesiveless transfer-printing of vertical-type devices onto metal surfaces. The vertical-type GaAs photovoltaic devices recycle reflected photons by means of bottom electrodes. Systematic studies with four different types of solar microcells indicate that the vertical-type solar microcells, at only a quarter of the thickness of similarly designed lateral-type cells, generate a level of electric power similar to that of thicker cells. The experimental results along with the theoretical analysis conducted here show that the ultra-thin vertical-type solar microcells are durable under extreme bending and thus suitable for use in the manufacturing of wearable flexible electronics.

  1. Epitaxial ferromagnetic Fe3Si on GaAs(111)A with atomically smooth surface and interface

    International Nuclear Information System (INIS)

    Liu, Y. C.; Hung, H. Y.; Kwo, J.; Chen, Y. W.; Lin, Y. H.; Cheng, C. K.; Hong, M.; Tseng, S. C.; Hsu, C. H.; Chang, M. T.; Lo, S. C.

    2015-01-01

    Single crystal ferromagnetic Fe 3 Si(111) films were grown epitaxially on GaAs(111)A by molecular beam epitaxy. These hetero-structures possess extremely low surface roughness of 1.3 Å and interfacial roughness of 1.9 Å, measured by in-situ scanning tunneling microscope and X-ray reflectivity analyses, respectively, showing superior film quality, comparing to those attained on GaAs(001) in previous publications. The atomically smooth interface was revealed by the atomic-resolution Z (atomic number)-contrast scanning transmission electron microscopy (STEM) images using the correction of spherical aberration (Cs)-corrected electron probe. Excellent crystallinity and perfect lattice match were both confirmed by high resolution x-ray diffraction. Measurements of magnetic property for the Fe 3 Si/GaAs(111) yielded a saturation moment of 990 emu/cm 3 with a small coercive field ≤1 Oe at room temperature

  2. Peeled film GaAs solar cell development

    International Nuclear Information System (INIS)

    Wilt, D.M.; Thomas, R.D.; Bailey, S.G.; Brinker, D.J.; DeAngelo, F.L.

    1990-01-01

    Thin film, single crystal gallium arsenide (GaAs) solar cells could exhibit a specific power approaching 700 W/Kg including coverglass. A simple process has been described whereby epitaxial GaAs layers are peeled from a reusable substrate. This process takes advantage of the extreme selectivity (>10 6 ) of the etching rate of aluminum arsenide (AlAs) over GaAs in dilute hydrofloric acid (HF). The intent of this work is to demonstrate the feasibility of using the peeled film technique to fabricate high efficiency, low mass GaAs solar cells. We have successfully produced a peeled film GaAs solar cell. The device, although fractured and missing the aluminum gallium arsenide (Al x Ga 1 - x As) window and antireflective (AR) coating, had a Voc of 874 mV and a fill factor of 68% under AMO illumination

  3. Direct investigation of (sub-) surface preparation artifacts in GaAs based materials by FIB sectioning

    Energy Technology Data Exchange (ETDEWEB)

    Belz, Jürgen; Beyer, Andreas; Torunski, Torsten; Stolz, Wolfgang; Volz, Kerstin

    2016-04-15

    The introduction of preparation artifacts is almost inevitable when producing samples for (scanning) transmission electron microscopy ((S)TEM). These artifacts can be divided in extrinsic artifacts like damage processes and intrinsic artifacts caused by the deviations from the volume strain state in thin elastically strained material systems. The reduction and estimation of those effects is of great importance for the quantitative analysis of (S)TEM images. Thus, optimized ion beam preparation conditions are investigated for high quality samples. Therefore, the surface topology is investigated directly with atomic force microscopy (AFM) on the actual TEM samples. Additionally, the sectioning of those samples by a focused ion beam (FIB) is used to investigate the damage depth profile directly in the TEM. The AFM measurements show good quantitative agreement of sample height modulation due to strain relaxation to finite elements simulations. Strong indications of (sub-) surface damage by ion beams are observed. Their influence on high angle annular dark field (HAADF) imaging is estimated with focus on thickness determination by absolute intensity methods. Data consolidation of AFM and TEM measurements reveals a 3.5 nm surface amorphization, negligible surface roughness on the scale of angstroms and a sub-surface damage profile in the range of up to 8.0 nm in crystalline gallium arsenide (GaAs) and GaAs-based ternary alloys. A correction scheme for thickness evaluation of absolute HAADF intensities is proposed and applied for GaAs based materials. - Highlights: • The damage by Ar-ion milling during TEM sample preparation is investigated directly. • After FIB sectioning damage and deep disorder of c-GaAs is seen in cross-section. • The influence of such disorder on conventional ADF measurements is estimated. • A correction for HAADF measurements is proposed with focus on thickness estimations.

  4. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  5. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  6. Coalescence of GaAs on (001) Si nano-trenches based on three-stage epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    He, Yunrui; Wang, Jun, E-mail: wangjun12@bupt.edu.cn; Hu, Haiyang; Wang, Qi; Huang, Yongqing; Ren, Xiaomin [State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, Beijing 100876 (China)

    2015-05-18

    The coalescence of selective area grown GaAs regions has been performed on patterned 1.8 μm GaAs buffer layer on Si via metal-organic chemical vapor deposition. We propose a promising method of three-stage epitaxial lateral overgrowth (ELO) to achieve uniform coalescence and flat surface. Rough surface caused by the coalescence of different growth fronts is smoothened by this method. Low root-mean-square surface roughness of 6.29 nm has been obtained on a 410-nm-thick coalesced ELO GaAs layer. Cross-sectional transmission electron microscope study shows that the coalescence of different growth fronts will induce some new dislocations. However, the coalescence-induced dislocations tend to mutually annihilate and only a small part of them reach the GaAs surface. High optical quality of the ELO GaAs layer has been confirmed by low temperature (77 K) photoluminescence measurements. This research promises a very large scale integration platform for the monolithic integration of GaAs-based device on Si.

  7. Lightweight, Light-Trapped, Thin GaAs Solar Cells for Spacecraft Applications.

    Science.gov (United States)

    1995-10-05

    improve the efficiency of this type of cell. 2 The high efficiency and light weight of the cover glass supported GaAs solar cell can have a significant...is a 3-mil cover glass and 1-mil silicone adhesive on the front surface of the GaAs solar cell. Power Output 3000 400 -{ 2400 { N 300 S18200 W/m2...the ultra-thin, light-trapped GaAs solar ceill 3. Incorporate light trapping. 0 external quantum efficiency at 850 nm increased by 5.2% 4. Develop

  8. Comparative research on the transmission-mode GaAs photocathodes of exponential-doping structures

    International Nuclear Information System (INIS)

    Chen Liang; Qian Yun-Sheng; Zhang Yi-Jun; Chang Ben-Kang

    2012-01-01

    Early research has shown that the varied doping structures of the active layer of GaAs photocathodes have been proven to have a higher quantum efficiency than uniform doping structures. On the basis of our early research on the surface photovoltage of GaAs photocathodes, and comparative research before and after activation of reflection-mode GaAs photocathodes, we further the comparative research on transmission-mode GaAs photocathodes. An exponential doping structure is the typical varied doping structure that can form a uniform electric field in the active layer. By solving the one-dimensional diffusion equation for no equilibrium minority carriers of transmission-mode GaAs photocathodes of the exponential doping structure, we can obtain the equations for the surface photovoltage (SPV) curve before activation and the spectral response curve (SRC) after activation. Through experiments and fitting calculations for the designed material, the body-material parameters can be well fitted by the SPV before activation, and proven by the fitting calculation for SRC after activation. Through the comparative research before and after activation, the average surface escape probability (SEP) can also be well fitted. This comparative research method can measure the body parameters and the value of SEP for the transmission-mode GaAs photocathode more exactly than the early method, which only measures the body parameters by SRC after activation. It can also help us to deeply study and exactly measure the parameters of the varied doping structures for transmission-mode GaAs photocathodes, and optimize the Cs-O activation technique in the future. (electromagnetism, optics, acoustics, heat transfer, classical mechanics, and fluid dynamics)

  9. Electrical and optical characterization of surface passivation in GaAs nanowires.

    Science.gov (United States)

    Chang, Chia-Chi; Chi, Chun-Yung; Yao, Maoqing; Huang, Ningfeng; Chen, Chun-Chung; Theiss, Jesse; Bushmaker, Adam W; Lalumondiere, Stephen; Yeh, Ting-Wei; Povinelli, Michelle L; Zhou, Chongwu; Dapkus, P Daniel; Cronin, Stephen B

    2012-09-12

    We report a systematic study of carrier dynamics in Al(x)Ga(1-x)As-passivated GaAs nanowires. With passivation, the minority carrier diffusion length (L(diff)) increases from 30 to 180 nm, as measured by electron beam induced current (EBIC) mapping, and the photoluminescence (PL) lifetime increases from sub-60 ps to 1.3 ns. A 48-fold enhancement in the continuous-wave PL intensity is observed on the same individual nanowire with and without the Al(x)Ga(1-x)As passivation layer, indicating a significant reduction in surface recombination. These results indicate that, in passivated nanowires, the minority carrier lifetime is not limited by twin stacking faults. From the PL lifetime and minority carrier diffusion length, we estimate the surface recombination velocity (SRV) to range from 1.7 × 10(3) to 1.1 × 10(4) cm·s(-1), and the minority carrier mobility μ is estimated to lie in the range from 10.3 to 67.5 cm(2) V(-1) s(-1) for the passivated nanowires.

  10. Epitaxial ferromagnetic Fe{sub 3}Si on GaAs(111)A with atomically smooth surface and interface

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Y. C.; Hung, H. Y.; Kwo, J., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [Department of Physics, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Chen, Y. W.; Lin, Y. H.; Cheng, C. K.; Hong, M., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [Graduate Institute of Applied Physics and Department of Physics, National Taiwan University, Taipei 10617, Taiwan (China); Tseng, S. C.; Hsu, C. H., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China); Chang, M. T.; Lo, S. C. [Material and Chemical Research Laboratories, Industrial Technology Research Institute, Hsinchu 31040, Taiwan (China)

    2015-09-21

    Single crystal ferromagnetic Fe{sub 3}Si(111) films were grown epitaxially on GaAs(111)A by molecular beam epitaxy. These hetero-structures possess extremely low surface roughness of 1.3 Å and interfacial roughness of 1.9 Å, measured by in-situ scanning tunneling microscope and X-ray reflectivity analyses, respectively, showing superior film quality, comparing to those attained on GaAs(001) in previous publications. The atomically smooth interface was revealed by the atomic-resolution Z (atomic number)-contrast scanning transmission electron microscopy (STEM) images using the correction of spherical aberration (Cs)-corrected electron probe. Excellent crystallinity and perfect lattice match were both confirmed by high resolution x-ray diffraction. Measurements of magnetic property for the Fe{sub 3}Si/GaAs(111) yielded a saturation moment of 990 emu/cm{sup 3} with a small coercive field ≤1 Oe at room temperature.

  11. Pump-probe studies of travelling coherent longitudinal acoustic phonon oscillations in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Y.; Qi, J.; Tolk, Norman [Department of Physics and Astronomy, Vanderbilt University, Nashville, TN, 37235 (United States); Miller, J. [Naval air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Cho, Y.J.; Liu, X.; Furdyna, J.K. [Department of Physics, University of Notre Dame, Notre Dame, IN 46556 (United States); Shahbazyan, T.V. [Department of Physics, Jackson State University, MS 39217 (United States)

    2008-07-01

    We report comprehensive studies of long-lived oscillations in femtosecond optical pump-probe measurements on GaAs based systems. The oscillations arise from a photo-generated coherent longitudinal acoustic phonon wave at the sample surface, which subsequently travels from the surface into the GaAs substrate, thus providing information on the optical properties of the material as a function of time/depth. Wavelength-dependent studies of the oscillations near the bandgap of GaAs indicate strong correlations to the optical properties of GaAs. We also use the coherent longitudinal acoustic phonon waves to probe a thin buried Ga{sub 0.1}In{sub 0.9}As layers non-invasively. The observed phonon oscillations experience a reduction in amplitude and a phase change at wavelengths near the bandgap of the GaAs, when it passes through the thin Ga{sub x}In{sub 1-x}As layer. The layer depth and thicknesses can be extracted from the oscillation responses. A model has been developed that satisfactorily characterizes the experimental results. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. The effect of (NH4)2Sx passivation on the (311)A GaAs surface and its use in AlGaAs/GaAs heterostructure devices.

    Science.gov (United States)

    Carrad, D J; Burke, A M; Reece, P J; Lyttleton, R W; Waddington, D E J; Rai, A; Reuter, D; Wieck, A D; Micolich, A P

    2013-08-14

    We have studied the efficacy of (NH4)2Sx surface passivation on the (311)A GaAs surface. We report XPS studies of simultaneously-grown (311)A and (100) heterostructures showing that the (NH4)2Sx solution removes surface oxide and sulfidizes both surfaces. Passivation is often characterized using photoluminescence measurements; we show that while (NH4)2Sx treatment gives a 40-60 ×  increase in photoluminescence intensity for the (100) surface, an increase of only 2-3 ×  is obtained for the (311)A surface. A corresponding lack of reproducible improvement in the gate hysteresis of (311)A heterostructure transistor devices made with the passivation treatment performed immediately prior to gate deposition is also found. We discuss possible reasons why sulfur passivation is ineffective for (311)A GaAs, and propose alternative strategies for passivation of this surface.

  13. The effect of (NH4)2Sx passivation on the (311)A GaAs surface and its use in AlGaAs/GaAs heterostructure devices

    International Nuclear Information System (INIS)

    Carrad, D J; Burke, A M; Reece, P J; Lyttleton, R W; Waddington, D E J; Micolich, A P; Rai, A; Reuter, D; Wieck, A D

    2013-01-01

    We have studied the efficacy of (NH 4 ) 2 S x surface passivation on the (311)A GaAs surface. We report XPS studies of simultaneously-grown (311)A and (100) heterostructures showing that the (NH 4 ) 2 S x solution removes surface oxide and sulfidizes both surfaces. Passivation is often characterized using photoluminescence measurements; we show that while (NH 4 ) 2 S x treatment gives a 40–60 × increase in photoluminescence intensity for the (100) surface, an increase of only 2–3 × is obtained for the (311)A surface. A corresponding lack of reproducible improvement in the gate hysteresis of (311)A heterostructure transistor devices made with the passivation treatment performed immediately prior to gate deposition is also found. We discuss possible reasons why sulfur passivation is ineffective for (311)A GaAs, and propose alternative strategies for passivation of this surface. (paper)

  14. Formation of the InAs-, InSb-, GaAs-, and GaSb-polished surface

    Science.gov (United States)

    Levchenko, Iryna; Tomashyk, Vasyl; Stratiychuk, Iryna; Malanych, Galyna; Korchovyi, Andrii; Kryvyi, Serhii; Kolomys, Oleksandr

    2018-04-01

    The features of the InAs, InSb, GaAs, and GaSb ultra-smooth surface have been investigated using chemical-mechanical polishing with the (NH4)2Cr2O7-HBr-CH2(OH)CH2(OH)-etching solutions. The etching rate of the semiconductors has been measured as a function of the solution saturation by organic solvent (ethylene glycol). It was found that mechanical effect significantly increases the etching rate from 1.5 to 57 µm/min, and the increase of the organic solvent concentration promotes the decrease of the damaged layer-removing rate. According to AFM, RS, HRXRD results, the treatment with the (NH4)2Cr2O7-HBr-ethylene glycol solutions produces the clean surface of the nanosize level (R a < 0.5 nm).

  15. Testing a GaAs cathode in SRF gun

    International Nuclear Information System (INIS)

    Wang, E.; Kewisch, J.; Ben-Zvi, I.; Burrill, A.; Rao, T.; Wu, Q.; Holmes, D.

    2011-01-01

    RF electron guns with a strained superlattice GaAs cathode are expected to generate polarized electron beams of higher brightness and lower emittance than do DC guns, due to their higher field gradient at the cathode's surface and lower cathode temperature. We plan to install a bulk GaAs:Cs in a SRF gun to evaluate the performance of both the gun and the cathode in this environment. The status of this project is: In our 1.3 GHz 1/2 cell SRF gun, the vacuum can be maintained at nearly 10 -12 Torr because of cryo-pumping at 2K. With conventional activation of bulk GaAs, we obtained a QE of 10% at 532 nm, with lifetime of more than 3 days in the preparation chamber and have shown that it can survive in transport from the preparation chamber to the gun. The beam line has been assembled and we are exploring the best conditions for baking the cathode under vacuum. We report here the progress of our test of the GaAs cathode in the SRF gun. Future particle accelerators, such as eRHIC and the ILC require high-brightness, high-current polarized electrons. Strained superlattice GaAs:Cs has been shown to be an efficient cathode for producing polarized electrons. Activation of GaAs with Cs,O(F) lowers the electron affinity and makes it energetically possible for all the electrons, excited into the conduction band that drift or diffuse to the emission surface, to escape into the vacuum. Presently, all operating polarized electron sources, such as the CEBAF, are DC guns. In these devices, the excellent ultra-high vacuum extends the lifetime of the cathode. However, the low field gradient on the photocathode's emission surface of the DC guns limits the beam quality. The higher accelerating gradients, possible in the RF guns, generate a far better beam. Until recently, most RF guns operated at room temperature, limiting the vacuum to ∼10 -9 Torr. This destroys the GaAs's NEA surface. The SRF guns combine the excellent vacuum conditions of DC guns and the high accelerating

  16. Panel fabrication utilizing GaAs solar cells

    Science.gov (United States)

    Mardesich, N.

    1984-01-01

    The development of the GaAs solar cells for space applications is described. The activities in the fabrication of GaAs solar panels are outlined. Panels were fabricated while introducing improved quality control, soldering laydown and testing procedures. These panels include LIPS II, San Marco Satellite, and a low concentration panel for Rockwells' evaluation. The panels and their present status are discussed.

  17. Study of the process of positron annihilation in GaAs disturbed surface layers

    International Nuclear Information System (INIS)

    Vorob'ev, A.A.; Aref'ev, K.P.; Vorob'ev, S.A.; Karetnikov, A.S.; Prokop'ev, E.P.; Kuznetsov, Yu.N.; Khashimov, F.R.; Markova, T.I.

    1977-01-01

    The effect was investigated of single-crystal semiconductor surface treatment types on positron annihilation characteristics. CaAs single-crystal specimens were investigated with the following surface treatment types: (a) polishing with Al 2 O 3 abrasive powder water suspension; (b) mechanical polishing with diamond paste; (c) mechanical chemical polishing with Al 2 O 3 or ZrO 2 suspensions; (d) chemical polishing with the 1HF:3HNO 3 :2H 2 O mixture. The investigation of annihilation was performed by the method of distinguishing the narrow component Isub(N) from correlation curves in 14.5 kOc statical magnetic field and by that of measuring the relative value of friquantuum annihilation Psub(3γ). The maximum Isub(N) and Psub(3γ) values are shown to occur in GaAs specimens with the (d) type of treatment. The experimental data provided a conclusion about the presence of a maximum thickness oxide layer of complex composition on the surface of the specimens compared with oxide layer thicknesses on the surface of specimens with (a), (b), and (c) treatmens. It is concluded that the positron annihilation method may be successfully used for the study of semiconductor material oxide layers

  18. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    Science.gov (United States)

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  19. Optical pumping of hot phonons in GaAs

    International Nuclear Information System (INIS)

    Collins, C.L.; Yu, P.Y.

    1982-01-01

    Optical pumping of hot LO phonons in GaAs has been studied as a function of the excitation photon frequency. The experimental results are in good agreement with a model calculation which includes both inter- and intra-valley electron-phonon scatterings. The GAMMA-L and GAMMA-X intervalley electron-phonon interactions in GaAs have been estimated

  20. Directional and dynamic modulation of the optical emission of an individual GaAs nanowire using surface acoustic waves.

    Science.gov (United States)

    Kinzel, Jörg B; Rudolph, Daniel; Bichler, Max; Abstreiter, Gerhard; Finley, Jonathan J; Koblmüller, Gregor; Wixforth, Achim; Krenner, Hubert J

    2011-04-13

    We report on optical experiments performed on individual GaAs nanowires and the manipulation of their temporal emission characteristics using a surface acoustic wave. We find a pronounced, characteristic suppression of the emission intensity for the surface acoustic wave propagation aligned with the axis of the nanowire. Furthermore, we demonstrate that this quenching is dynamical as it shows a pronounced modulation as the local phase of the surface acoustic wave is tuned. These effects are strongly reduced for a surface acoustic wave applied in the direction perpendicular to the axis of the nanowire due to their inherent one-dimensional geometry. We resolve a fully dynamic modulation of the nanowire emission up to 678 MHz not limited by the physical properties of the nanowires.

  1. Negative ion formation in the scattering of state-selected NO+ on GaAs(110)

    International Nuclear Information System (INIS)

    Martin, J.S.; Greeley, J.N.; Morris, J.R.; Ferenchok, B.T.; Jacobs, D.C.

    1993-01-01

    A hyperthermal beam of state-selected NO + X 1 Σ + (v,j) impinges on a clean, well characterized GaAs(110) surface. The resulting two-electron transfer products NO-and O- are independently interrogated with a novel ion imaging technique as a function of NO + translational and vibrational energies. The products are shown to have different appearance thresholds, product translational energy distributions, and NO + vibrational energy dependencies. Most notably, vibrational energy is an order of magnitude more effective that translational energy in activating O- formation at a collision energy of 45 eV. The O- angular distribution exhibits a correlation with translational energy which is asymmetric about the surface normal. These results suggest that the probability of O- formation is dependent on the molecules point of impact with the GaAs (110) surface. The dynamical features of the NO + /GaAs(110) reaction will be discussed in terms of the three independent coordinates addressed in this experiment: the diatom internuclear separation, the molecule-surface distance, and the surface impact parameter

  2. Structural and electronic properties of isovalent boron atoms in GaAs

    Science.gov (United States)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  3. Temperature conditions for GaAs nanowire formation by Au-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Tchernycheva, M; Harmand, J C; Patriarche, G; Travers, L; Cirlin, G E

    2006-01-01

    Molecular beam epitaxial growth of GaAs nanowires using Au particles as a catalyst was investigated. Prior to the growth during annealing, Au alloyed with Ga coming from the GaAs substrate, and melted. Phase transitions of the resulting particles were observed in situ by reflection high-energy electron diffraction (RHEED). The temperature domain in which GaAs nanowire growth is possible was determined. The lower limit of this domain (320 deg. C) is close to the observed catalyst solidification temperature. Below this temperature, the catalyst is buried by GaAs growth. Above the higher limit (620 deg. C), the catalyst segregates on the surface with no significant nanowire formation. Inside this domain, the influence of growth temperature on the nanowire morphology and crystalline structure was investigated in detail by scanning electron microscopy and transmission electron microscopy. The correlation of the nanowire morphology with the RHEED patterns observed during the growth was established. Wurtzite GaAs was found to be the dominant crystal structure of the wires

  4. Comparisons of single event vulnerability of GaAs SRAMS

    Science.gov (United States)

    Weatherford, T. R.; Hauser, J. R.; Diehl, S. E.

    1986-12-01

    A GaAs MESFET/JFET model incorporated into SPICE has been used to accurately describe C-EJFET, E/D MESFET and D MESFET/resistor GaAs memory technologies. These cells have been evaluated for critical charges due to gate-to-drain and drain-to-source charge collection. Low gate-to-drain critical charges limit conventional GaAs SRAM soft error rates to approximately 1E-6 errors/bit-day. SEU hardening approaches including decoupling resistors, diodes, and FETs have been investigated. Results predict GaAs RAM cell critical charges can be increased to over 0.1 pC. Soft error rates in such hardened memories may approach 1E-7 errors/bit-day without significantly reducing memory speed. Tradeoffs between hardening level, performance and fabrication complexity are discussed.

  5. Change of the work function and potential barrier transparency of W(100) and GaAs(110) single crystals during removing the inherent surface oxide layer

    International Nuclear Information System (INIS)

    Asalkhanov, Yu.I.; Saneev, Eh.L.

    2002-01-01

    Changes of current voltage characteristics of slow monoenergetic electron beam through the surfaces of W(100) and GaAs(100) single crystals have been measured in the process of surface oxide layers elimination. It is shown that work function is decreased and transparency coefficient of surface potential barrier is increased under increasing the temperature of vacuum annealing. Peculiarities of surface potential change under oxide layer elimination in metals and semiconductors are discussed [ru

  6. Studying the InAs quantum points on the vicinal surface of a GaAs crystal by the atomic force microscopy

    CERN Document Server

    Evtikhiev, V P; Kotelnikov, E Y; Matveentsev, A V; Titkov, A N; Shkolnik, A S

    2002-01-01

    The methodology for processing the images, obtained through the atomic force microscopy, is proposed. It is shown by the concrete example, how the parameters of the InAs clusters on the vicinal surface of the GaAs crystal are determined. This makes it possible to calculate the energy levels of the electrons and holes in the quantum point with application of the previously developed cluster spherical model

  7. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Balderas-Navarro, R.E. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Facultad de Ciencias, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico)

    2008-07-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E{sub 1} optical transition as a probe. We follow the kinetics of the deposition of GaAs and In{sub 0.3}Ga{sub 0.7}As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As{sub 4} or As{sub 2} flux pressure of 5 x 10{sup -6} Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    International Nuclear Information System (INIS)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F.; Balderas-Navarro, R.E.

    2008-01-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E 1 optical transition as a probe. We follow the kinetics of the deposition of GaAs and In 0.3 Ga 0.7 As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As 4 or As 2 flux pressure of 5 x 10 -6 Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Twins and strain relaxation in zinc-blende GaAs nanowires grown on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Piñero, J.C., E-mail: josecarlos.pinero@uca.es [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Araújo, D.; Pastore, C.E.; Gutierrez, M. [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Frigeri, C. [Istituto CNR-IMEM Parco Area delle Scienze 37/A, Fontanini, 43010, Parma (Italy); Benali, A.; Lelièvre, J.F.; Gendry, M. [INL-Institut des Nanotechnologies de Lyon, UMR 5270 Ecole Centrale de Lyon 36, Avenue Guy de Collongue, 69134, Ecully Cedex (France)

    2017-02-15

    Highlights: • A TEM-HREM study of GaAs nanowires, growth over Si, is presented. • Misfit dislocations are detected in the Si/GaAs magma interface. • The study demonstrates strain relaxation through twin formation in some nanowires. - Abstract: To integrate materials with large lattice mismatch as GaAs on silicon (Si) substrate, one possible approach, to improve the GaAs crystalline quality, is to use nanowires (NWs) technology. In the present contribution, NWs are grown on <111> oriented Si substrates by molecular beam epitaxy (MBE) using vapor-liquid-solid (VLS) method. Transmission electron microscopy (TEM) analyses show that NWs are mainly grown alternating wurtzite and zinc blend (ZB) phases, and only few are purely ZB. On the latter, High Resolution Electron Microscopy (HREM) evidences the presence of twins near the surface of the NW showing limited concordance with the calculations of Yuan (2013) [1], where {111} twin planes in a <111>-oriented GaAs NW attain attractive interactions mediated by surface strain. In addition, such twins allow slight strain relaxation and are probably induced by the local huge elastic strain observed by HREM in the lattice between the twin and the surface. The latter is attributed to some slight bending of the NW as shown by the inversion of the strain from one side to the other side of the NW.

  10. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    Science.gov (United States)

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  11. Electric characterization of GaAs deposited on porous silicon by electrodeposition technique

    International Nuclear Information System (INIS)

    Lajnef, M.; Chtourou, R.; Ezzaouia, H.

    2010-01-01

    GaAs thin films were synthesized on porous Si substrate by the electrodeposition technique. The X-ray diffraction studies showed that the as-grown films were crystallised in mixed phase nature orthorhombic and cubic of GaAs. The GaAs film was then electrically characterized using current-voltage (I-V) and capacitance-voltage (C-V) techniques by the way of Al/GaAs Schottky junctions. The electric analysis allowed us to determine the n factor and the barrier height φ b0 parameters of Al/GaAs Schottky junctions. The (C-V) characteristics were recorded at frequency signal 1 MHz in order to identify the effect of the surface states on the behaviour of the capacitance of the device.

  12. Sulfur passivation of semi-insulating GaAs: Transition from Coulomb blockade to weak localization regime

    Energy Technology Data Exchange (ETDEWEB)

    Bagraev, N. T., E-mail: Bagraev@mail.ioffe.ru [Ioffe Institute (Russian Federation); Chaikina, E. I. [Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Division de Fisica Aplicada (Mexico); Danilovskii, E. Yu.; Gets, D. S.; Klyachkin, L. E.; L’vova, T. V.; Malyarenko, A. M. [Ioffe Institute (Russian Federation)

    2016-04-15

    The sulfur passivation of the semi-insulating GaAs bulk (SI GaAs) grown in an excess phase of arsenic is used to observe the transition from the Coulomb blockade to the weak localization regime at room temperature. The I–V characteristics of the SI GaAs device reveal nonlinear behavior that appears to be evidence of the Coulomb blockade process as well as the Coulomb oscillations. The sulfur passivation of the SI GaAs device surface results in enormous transformation of the I–V characteristics that demonstrate the strong increase of the resistance and Coulomb blockade regime is replaced by the electron tunneling processes. The results obtained are analyzed within frameworks of disordering SI GaAs surface that is caused by inhomogeneous distribution of the donor and acceptor anti-site defects which affects the conditions of quantum- mechanical tunneling. Weak localization processes caused by the preservation of the Fermi level pinning are demonstrated by measuring the negative magnetoresistance in weak magnetic fields at room temperature. Finally, the studies of the magnetoresistance at higher magnetic fields reveal the h/2e Aharonov–Altshuler–Spivak oscillations with the complicated behavior due to possible statistical mismatch of the interference paths in the presence of different microdefects.

  13. Paths to light trapping in thin film GaAs solar cells.

    Science.gov (United States)

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  14. Interplay between tip-induced band bending and voltage-dependent surface corrugation on GaAs(110) surfaces

    NARCIS (Netherlands)

    Raad, de G.J.; Bruls, D.M.; Koenraad, P.M.; Wolter, J.H.

    2002-01-01

    Atomically resolved, voltage-dependent scanning tunneling microscopy (STM) images of GaAs(110) are compared to the results of a one-dimensional model used to calculate the amount of tip-induced band bending for a tunneling junction between a metal and a semiconductor. The voltage-dependent changes

  15. Direct Growth of High-Quality InP Layers on GaAs Substrates by MOCVD

    Directory of Open Access Journals (Sweden)

    K. F. Yarn

    2003-01-01

    group V partial pressure, growth rate and V/III ratios. A mirror-like, uniform surface and high crystal quality of the metamorphic buffer layer directly grown on a GaAs substrate can be achieved. Finally, to investigate the performance of the metamorphic microwave devices, we also fabricate the InAlAs/InGaAs metamorphic HEMT on GaAs substrates.

  16. Single-Crystal Y2O3 Epitaxially on GaAs(001 and (111 Using Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Y. H. Lin

    2015-10-01

    Full Text Available Single-crystal atomic-layer-deposited (ALD Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films 2 nm thick were epitaxially grown on molecular beam epitaxy (MBE GaAs(001-4 \\(\\times\\ 6 and GaAs(111A-2 \\(\\times\\ 2 reconstructed surfaces. The in-plane epitaxy between the ALD-oxide films and GaAs was observed using \\textit{in-situ} reflection high-energy electron diffraction in our uniquely designed MBE/ALD multi-chamber system. More detailed studies on the crystallography of the hetero-structures were carried out using high-resolution synchrotron radiation X-ray diffraction. When deposited on GaAs(001, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are of a cubic phase and have (110 as the film normal, with the orientation relationship being determined: Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(110\\[\\(001\\][\\(\\overline{1}10\\]//GaAs(\\(001\\[\\(110\\][\\(1\\overline{1}0\\]. On GaAs(\\(111\\A, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are also of a cubic phase with (\\(111\\ as the film normal, having the orientation relationship of Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(111\\[\\(2\\overline{1}\\overline{1}\\] [\\(01\\overline{1}\\]//GaAs (\\(111\\ [\\(\\overline{2}11\\][\\(0\\overline{1}1\\]. The relevant orientation for the present/future integrated circuit platform is (\\(001\\. The ALD-Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\/GaAs(\\(001\\-4 \\(\\times\\ 6 has shown excellent electrical properties. These include small frequency dispersion in the capacitance-voltage CV curves at accumulation of ~7% and ~14% for the respective p- and n-type samples with the measured frequencies of 1 MHz to 100 Hz. The interfacial trap density (Dit is low of ~10\\(^{12}\\ cm\\(^{−2}\\eV\\(^{−1}\\ as extracted from measured quasi-static CVs. The frequency dispersion at accumulation and the D\\(_{it}\\ are the lowest ever achieved among all the ALD-oxides on GaAs(\\(001\\.

  17. Self-healing in fractured GaAs nanowires

    International Nuclear Information System (INIS)

    Wang Jun; Lu Chunsheng; Wang Qi; Xiao Pan; Ke Fujiu; Bai Yilong; Shen Yaogen; Wang Yanbo; Chen Bin; Liao Xiaozhou; Gao Huajian

    2012-01-01

    Molecular dynamics simulations are performed to investigate a spontaneous self-healing process in fractured GaAs nanowires with a zinc blende structure. The results show that such self-healing can indeed occur via rebonding of Ga and As atoms across the fracture surfaces, but it can be strongly influenced by several factors, including wire size, number of healing cycles, temperature, fracture morphology, oriented attachment and atomic diffusion. For example, it is found that the self-healing capacity is reduced by 46% as the lateral dimension of the wire increases from 2.3 to 9.2 nm, and by 64% after 24 repeated cycles of fracture and healing. Other factors influencing the self-healing behavior are also discussed.

  18. Stretchable GaAs photovoltaics with designs that enable high areal coverage

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jongho; Yoon, Jongseung; Park, Sang-Il [Department of Materials Science and Engineering, Frederick Seitz Materials Research Laboratory, University of Illinois, Urbana-Champaign, IL (United States); Wu, Jian [Department of Civil and Environmental Engineering, Northwestern University, Evanston, IL (United States); Shi, Mingxing; Liu, Zhuangjian [Institute of High Performance Computing, Singapore (Singapore); Li, Ming [Department of Civil and Environmental Engineering, Northwestern University, Evanston, IL (United States); Department of Engineering Mechanics, Dalian University of Technology, Dalian (China); Huang, Yonggang [Departments of Civil and Environmental Engineering and Mechanical Engineering, Northwestern University, Evanston, IL (United States); Rogers, John A. [Department of Materials Science and Engineering, Chemistry, Beckman Institute for Advanced Science and Technology, University of Illinois, Urbana-Champaign, IL (United States)

    2011-02-22

    Strategies are presented for achieving, simultaneously, both large areal coverage and high stretchability by using elastomeric substrates with surface relief in geometries that confine strains at the locations of the interconnections, and away from the devices. The studies involve a combination of theory and experiment to reveal the essential mechanics, and include demonstrations of the ideas in stretchable solar modules that use ultrathin, single junction GaAs solar cells. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. SXPS study of model GaAs(100)/electrolyte interface

    Energy Technology Data Exchange (ETDEWEB)

    Lebedev, Mikhail V. [A.F. Ioffe Physico-Technical Institute, Russian Academy of Sciences, St. Petersburg (Russian Federation); Mankel, Eric; Mayer, Thomas; Jaegermann, Wolfram [Institute of Material Sciences, Darmstadt University of Technology, Darmstadt (Germany)

    2010-02-15

    Model GaAs(100)/electrolyte interfaces are prepared in vacuum by co-adsorption of Cl{sub 2} and 2-propanol molecules at LN{sub 2} temperature. On adsorption of Cl{sub 2} molecules gallium chlorides, elemental arsenic and arsenic chlorides are formed. Co-adsorption of 2-propanol causes formation of additional GaCl{sub 3} and AsCl, as well as soluble/volatile As-based complexes, which are released from the surface depleting the sur- face by arsenic. Comparison of the As 3d and Ga 3d spectra obtained after heating the model interface to room temperature with the corresponding spectra obtained after emersion of the GaAs(100) surface from HCl/2-propanol solution allows to conclude that in HCl solution Cl{sup -} ions attack gallium sites and H{sup +} ions mostly attack arsenic sites. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Electric characterization of GaAs deposited on porous silicon by electrodeposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Lajnef, M., E-mail: Mohamed.lajnef@yahoo.fr [Laboratoire de Photovoltaique et de Semi-conducteurs, Centre de Recherche et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia); Chtourou, R.; Ezzaouia, H. [Laboratoire de Photovoltaique et de Semi-conducteurs, Centre de Recherche et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia)

    2010-03-01

    GaAs thin films were synthesized on porous Si substrate by the electrodeposition technique. The X-ray diffraction studies showed that the as-grown films were crystallised in mixed phase nature orthorhombic and cubic of GaAs. The GaAs film was then electrically characterized using current-voltage (I-V) and capacitance-voltage (C-V) techniques by the way of Al/GaAs Schottky junctions. The electric analysis allowed us to determine the n factor and the barrier height {phi}{sub b0} parameters of Al/GaAs Schottky junctions. The (C-V) characteristics were recorded at frequency signal 1 MHz in order to identify the effect of the surface states on the behaviour of the capacitance of the device.

  1. Novel Metal-Sulfur-Based Air-Stable Passivation of GaAs with Very Low Surface State Densities

    Energy Technology Data Exchange (ETDEWEB)

    Ashby, Carol I.H.; Baca, Albert G.; Chang, P.-C; Hafich, M.J.; Hammons, B.E.; Zavadil, Kevin R.

    1999-08-09

    A new air-stable electronic surface passivation for GaAs and other III-V compound semiconductors that employs sulfur and a suitable metal ion, e.g., Zn, and that is robust towards plasma dielectric deposition has been developed. Initial improvements in photoluminescence are twice that of S-only treatments and have been preserved for >11 months with SiO{sub x}N{sub y} dielectric encapsulation. Photoluminescence and X-ray photoelectron spectroscopies indicate that the passivation consists of two major components with one being stable for >2 years in air. This process improves heterojunction bipolar transistor current gain for both large and small area devices.

  2. Sulfur passivation and contact methods for GaAs nanowire solar cells

    International Nuclear Information System (INIS)

    Tajik, N; Peng, Z; Kuyanov, P; LaPierre, R R

    2011-01-01

    The effect of sulfur passivation on core-shell p-n junction GaAs nanowire (NW) solar cells has been investigated. Devices of two types were investigated, consisting of indium tin oxide contact dots or opaque Au finger electrodes. Lateral carrier transport from the NWs to the contact fingers was achieved via a p-doped GaAs surface conduction layer. NWs between the opaque contact fingers had sidewall surfaces exposed for passivation by sulfur. The relative cell efficiency increased by 19% upon passivation. The contribution of the thin film grown between the NWs to the total cell efficiency was estimated by removing the NWs using a sonication procedure. Mechanisms of carrier transport and photovoltaic effects are discussed on the basis of spatially resolved laser scanning measurements.

  3. Solvent-mediated self-assembly of hexadecanethiol on GaAs (0 0 1)

    International Nuclear Information System (INIS)

    Huang, Xiaohuan; Dubowski, Jan J.

    2014-01-01

    Graphical abstract: - Highlights: • Outstanding quality hexadecanethiol self-assembled monolayers (HDT SAM) produced on GaAs (0 0 1) due to the mediated role of water in an alcoholic environment. • HDT SAM formed in chloroform exhibit excellent electronic passivation properties in contrast to their structural characteristics. • Low dielectric constant solvents do not necessary provide conditions advantageous for the formation of high quality alkanethiol SAM. • Photoluminescence emitting materials allow to investigate the mechanisms of both electronic and chemical passivation and, thus, they are an excellent platform for studying the mechanisms of SAM formation on solid substrates. - Abstract: We have investigated the influence of solvents on the quality of hexadecanethiol (HDT) self-assembled monolayers (SAM) formed on GaAs (0 0 1) in chloroform, ethanol and ethanol/water 1:1 characterized by their increasing dielectric constants from 4.8 (chloroform) to 24.5 (ethanol) and water (80.1). Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) data show that the incubation in ethanol/water 1:1 solution creates conditions favouring inter-molecular interaction leading to the formation of an outstanding quality HDT SAM on GaAs (0 0 1). Incubation in low-dielectric constant solvents is not offering advantageous conditions for growing HDT SAM on GaAs. The chloroform environment, while weakening the thiol–thiol interaction, induces the oxidation of the GaAs surface and, in particular, formation of Ga 2 O 3 . This reduces the concentration of surface defects responsible for non-radiative recombination and leads to an enhanced photoluminescence emission, despite the fact that HDT SAM formed in chloroform are highly disordered, exhibiting the worst chemical passivation among the investigated samples

  4. Microwave GaAs Integrated Circuits On Quartz Substrates

    Science.gov (United States)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  5. Energetic and Spatial Bonding Properties from Angular Distributions of Ultraviolet Photoelectrons: Application to the GaAs(110) Surface

    International Nuclear Information System (INIS)

    Fadley, C.S.; Fadley, C.S.; Van Hove, M.A.

    1997-01-01

    Angle-resolved ultraviolet photoemission spectra are interpreted by combining the energetics and spatial properties of the contributing states. One-step calculations are in excellent agreement with new azimuthal experimental data for GaAs(110). Strong variations caused by the dispersion of the surface bands permit an accurate mapping of the electronic structure. The delocalization of the valence states is discussed analogous to photoelectron diffraction. The spatial origin of the electrons is determined, and found to be strongly energy dependent, with uv excitation probing the bonding region. copyright 1997 The American Physical Society

  6. The influence of annealing on manganese implanted GaAs films

    International Nuclear Information System (INIS)

    Buerger, Danilo; Zhou, Shengqiang; Grenzer, Joerg; Reuther, Helfried; Anwand, Wolfgang; Gottschalch, Volker; Helm, Manfred; Schmidt, Heidemarie

    2009-01-01

    Besides low-temperature molecular beam epitaxy, ion implantation provides an alternative route to incorporate Mn into GaAs above the equilibrium solubility limit. Recently, Mn implanted GaAs diluted magnetic semiconductor was obtained by pulsed laser annealing. However, post-implantation annealing can lead to the formation of secondary phases. In order to compare the post-annealing effect, we investigate GaMnAs by implanting up to 6 at% Mn followed by rapid thermal and flashlamp annealing. The structural properties were probed by high resolution X-ray diffraction. The magnetic properties were determined by SQUID measurements. Auger electron spectroscopy has been used to profile the depth distribution of Mn in GaAs after implantation and annealing. We elucidate after implantation a loss of As and that during rapid thermal annealing most of the Mn diffuses towards the surface. Flash lamp annealing prevents out-diffusion, but the recrystallisation efficiency is low. Only the flash lamp annealed samples reveal weak ferromagnetism.

  7. Superconducting NbN single-photon detectors on GaAs with an AlN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Ekkehart; Merker, Michael; Ilin, Konstantin; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie, Hertzstrasse 16, 76187 Karlsruhe (Germany)

    2015-07-01

    GaAs is the material of choice for photonic integrated circuits. It allows the monolithic integration of single-photon sources like quantum dots, waveguide based optical circuits and detectors like superconducting nanowire single-photon detectors (SNSPDs) onto one chip. The growth of high quality NbN films on GaAs is challenging, due to natural occurring surface oxides and the large lattice mismatch of about 27%. In this work, we try to overcome these problems by the introduction of a 10 nm AlN buffer layer. Due to the buffer layer, the critical temperature of 6 nm thick NbN films was increased by about 1.5 K. Furthermore, the critical current density at 4.2 K of NbN flim deposited onto GaAs with AlN buffer is 50% higher than of NbN film deposited directly onto GaAs substrate. We successfully fabricated NbN SNSPDs on GaAs with a AlN buffer layer. SNSPDs were patterned using electron-beam lithography and reactive-ion etching techniques. Results on the study of detection efficiency and jitter of a NbN SNSPD on GaAs, with and without AlN buffer layer will be presented and discussed.

  8. Strain in GaAs / InAs core-shell nanowire heterostructures grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Rieger, Torsten; Lepsa, Mihail Ion [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires (NWs) has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. Compared to planar heterostructures, the nanowire approach offers an advantage regarding the possibility to form heterostructures between highly lattice mismatched systems, because the free surface of the nanowires allows to relieve the strain more efficiently. One particular way to form heterostructures in the NW geometry, is the fabrication of core-shell devices, in which a NW core is surrounded by a shell of different material. The understanding of the mutual strain between core and shell, as well as the relaxation behavior of the system are crucial for the fabrication of functional devices. In this contribution we report on first X-ray diffraction measurements of GaAs-core/InAs-shell nanowires grown on GaAs(111) by molecular beam epitaxy. Using symmetric- and grazing-incidence X-ray diffraction, the relaxation state of the InAs shell as well as the strain in the GaAs core are measured as function of the InAs shell thickness, showing a gradual relaxation behavior of the shell.

  9. Detecting Fermi-level shifts by Auger electron spectroscopy in Si and GaAs

    Science.gov (United States)

    Debehets, J.; Homm, P.; Menghini, M.; Chambers, S. A.; Marchiori, C.; Heyns, M.; Locquet, J. P.; Seo, J. W.

    2018-05-01

    In this paper, changes in surface Fermi-level of Si and GaAs, caused by doping and cleaning, are investigated by Auger electron spectroscopy. Based on the Auger voltage contrast, we compared the Auger transition peak energy but with higher accuracy by using a more accurate analyzer and an improved peak position determination method. For silicon, a peak shift as large as 0.46 eV was detected when comparing a cleaned p-type and n-type wafer, which corresponds rather well with the theoretical difference in Fermi-levels. If no cleaning was applied, the peak position did not differ significantly for both wafer types, indicating Fermi-level pinning in the band gap. For GaAs, peak shifts were detected after cleaning with HF and (NH4)2S-solutions in an inert atmosphere (N2-gas). Although the (NH4)2S-cleaning in N2 is very efficient in removing the oxygen from the surface, the observed Ga- and As-peak shifts are smaller than those obtained after the HF-cleaning. It is shown that the magnitude of the shift is related to the surface composition. After Si-deposition on the (NH4)2S-cleaned surface, the Fermi-level shifts back to a similar position as observed for an as-received wafer, indicating that this combination is not successful in unpinning the Fermi-level of GaAs.

  10. Electro-optic investigation of the n-alkanethiol GaAs(001) interface: Surface phenomena and applications to photoluminescence-based biosensing

    Science.gov (United States)

    Marshall, Gregory M.

    Semiconductor surfaces coupled to molecular structures derived from organic chemistry form the basis of an emerging class of field-effect devices. In addition to molecular electronics research, these interfaces are developed for a variety of sensor applications in the electronic and optical domains. Of practical interest are self-assembled monolayers (SAMs) comprised of n-alkanethiols [HS(CH2)n], which couple to the GaAs(001) surface through S-GaAs covalent bond formation. These SAMs offer potential functionality in terms of the requisite sensor chemistry and the passivation effect such coupling is known to afford. In this thesis, the SAM-GaAs interface is investigated in the context of a photonic biosensor based on photoluminescence (PL) variation. The scope of the work is categorized into three parts: i) the structural and compositional analysis of the surface using X-ray photoelectron spectroscopy (XPS), ii) the investigation of electronic properties at the interface under equilibrium conditions using infrared (IR) spectroscopy, the Kelvin probe method, and XPS, and iii) the analysis of the electro-optic response under steady-state photonic excitation, specifically, the surface photovoltage (SPV) and PL intensity. Using a partial overlayer model of angle-resolved XPS spectra in which the component assignments are shown to be quantitatively valid, the coverage fraction of methyl-terminated SAMs is shown to exceed 90%. Notable among the findings are a low-oxide, Ga-rich surface with elemental As present in sub-monolayer quantities consistent with theoretical surface morphologies. Modal analysis of transmission IR spectra show that the SAM molecular order is sufficient to support a Beer-Lambert determination of the IR optical constants, which yields the observation of a SAM-specific absorbance enhancement. By correlation of the IR absorbance with the SAM dipole layer potential, the enhancement mechanism is attributed to the vibrational moments added by the

  11. Electronic properties of GaAs, InAs and InP nanowires studied by terahertz spectroscopy

    International Nuclear Information System (INIS)

    Joyce, Hannah J; Docherty, Callum J; Lloyd-Hughes, James; Herz, Laura M; Johnston, Michael B; Gao Qiang; Tan, H Hoe; Jagadish, Chennupati

    2013-01-01

    We have performed a comparative study of ultrafast charge carrier dynamics in a range of III–V nanowires using optical pump–terahertz probe spectroscopy. This versatile technique allows measurement of important parameters for device applications, including carrier lifetimes, surface recombination velocities, carrier mobilities and donor doping levels. GaAs, InAs and InP nanowires of varying diameters were measured. For all samples, the electronic response was dominated by a pronounced surface plasmon mode. Of the three nanowire materials, InAs nanowires exhibited the highest electron mobilities of 6000 cm 2 V −1 s −1 , which highlights their potential for high mobility applications, such as field effect transistors. InP nanowires exhibited the longest carrier lifetimes and the lowest surface recombination velocity of 170 cm s −1 . This very low surface recombination velocity makes InP nanowires suitable for applications where carrier lifetime is crucial, such as in photovoltaics. In contrast, the carrier lifetimes in GaAs nanowires were extremely short, of the order of picoseconds, due to the high surface recombination velocity, which was measured as 5.4 × 10 5   cm s −1 . These findings will assist in the choice of nanowires for different applications, and identify the challenges in producing nanowires suitable for future electronic and optoelectronic devices. (paper)

  12. Effects produced in GaAs by MeV ion bombardment

    International Nuclear Information System (INIS)

    Wie, C.R.

    1985-01-01

    The first part of this thesis presents work performed on the ionizing energy beam induced adhesion enhancement of thin (approx.500 A) Au films on GaAs substrates. The ionizing beam, employed in the present thesis, is the MeV ions (i.e., 16 O, 19 F, and 35 Cl), with energies between 1 and 20 MeV. Using the Scratch test for adhesion measurement, and ESCA for chemical analysis of the film substrate interface, the native oxide layer at the interface is shown to play an important role in the adhesion enhancement by the ionizing radiation. A model is discussed that explains the experimental data on the dependence of adhesion enhancement on the energy which was deposited into electronic processes at the interface. The second part of the thesis presents research results on the radiation damage in GaAs crystals produced by MeV ions. Lattice parameter dilatation in the surface layers of the GaAs crystals becomes saturated after a high dose bombardment at room temperature. The strain produced by nuclear collisions is shown to relax partially due to electronic excitation (with a functional dependence on the nuclear and electronic stopping power of bombarding ions. Data on the GaAs and GaP crystals suggest that low temperature recovery stage defects produce major crystal distortion

  13. In-situ optical spectroscopy and electronic properties of pyrrole sub-monolayers on Ga-rich GaAs(001)

    International Nuclear Information System (INIS)

    Bruhn, Thomas; Ewald, Marcel; Fimland, Bjørn-Ove; Kneissl, Michael; Esser, Norbert; Vogt, Patrick

    2011-01-01

    We report on the characterization of sub-monolayers of pyrrole adsorbed on Ga-rich GaAs(001) surfaces. The interfaces were characterized by scanning tunneling microscopy (STM), scanning tunneling spectroscopy (STS) and reflectance anisotropy spectroscopy (RAS) in a spectral range between 1.5 and 8 eV. The adsorption of pyrrole on Ga-rich GaAs(001) modifies the RAS spectrum of the clean GaAs surface significantly at the surface transitions at 2.2 and 3.5 eV indicating a chemisorption of the molecules. By the help of transients at these surface transitions during the adsorption process, we were able to prepare different molecular coverages from a sub-monolayer up to a complete molecular layer. The different coverages of pyrrole were imaged by STM and electronically characterized by STS. The measurements reveal that the adsorbed molecules electronically insulate the surface and indicate the formation of new interface states around −3.5 and +4.2 eV. The RAS measurements in the UV region show new anisotropies in the spectral range of the optical transitions of the adsorbed pyrrole molecules. Our measurements demonstrate the potential of optical and electronic spectroscopy methods for the characterization of atomically thin molecular layers on semiconductor surfaces allowing a direct access to the properties of single adsorbed molecules.

  14. Detection of oxygen-related defects in GaAs by exo-electron emission spectroscopy

    International Nuclear Information System (INIS)

    Hulluvarad, Shiva S.; Naddaf, M.; Bhoraskar, S.V.

    2001-01-01

    The influence of intentional introduction of oxygen, at the surface of GaAs, on its native surface states was studied. Oxygen was made to interact with the surface of GaAs by three different means: (1) by growing native oxides, (2) exposing to oxygen plasma in an electron cyclotron resonance (ECR) plasma reactor and by (3) high energy oxygen ion irradiation. Thermally stimulated exo-electron emission (TSEE) spectroscopy was used to estimate the relative densities and energies of the surface states induced by the three different modes of introducing oxygen. Out of the two native defect levels found in GaAs by TSEE; at 325 K (0.7 eV below E c ) and at 415 K (0.9 below E c ); the former is seen to get broadened or split into multiple peaks in each of the methods. Multiple peaks in TSEE signify the presence of a closely spaced band of defect levels. Therefore the results exclusively point out that oxygen-related complexes contribute to the formation of a band of defects centered at 325 K in TSEE which is correlated to an energy level 0.7 eV below E c known as the EL2 defect level. The results reported in this paper thus confirm that the TSEE peak at 0.7 eV below E c is related to oxygen induced defects whereas the peak at 0.9 eV is not affected by the presence of oxygen-related species

  15. Detection of oxygen-related defects in GaAs by exo-electron emission spectroscopy

    Science.gov (United States)

    Hulluvarad, Shiva S.; Naddaf, M.; Bhoraskar, S. V.

    2001-10-01

    The influence of intentional introduction of oxygen, at the surface of GaAs, on its native surface states was studied. Oxygen was made to interact with the surface of GaAs by three different means: (1) by growing native oxides, (2) exposing to oxygen plasma in an electron cyclotron resonance (ECR) plasma reactor and by (3) high energy oxygen ion irradiation. Thermally stimulated exo-electron emission (TSEE) spectroscopy was used to estimate the relative densities and energies of the surface states induced by the three different modes of introducing oxygen. Out of the two native defect levels found in GaAs by TSEE; at 325 K (0.7 eV below Ec) and at 415 K (0.9 below Ec); the former is seen to get broadened or split into multiple peaks in each of the methods. Multiple peaks in TSEE signify the presence of a closely spaced band of defect levels. Therefore the results exclusively point out that oxygen-related complexes contribute to the formation of a band of defects centered at 325 K in TSEE which is correlated to an energy level 0.7 eV below Ec known as the EL2 defect level. The results reported in this paper thus confirm that the TSEE peak at 0.7 eV below Ec is related to oxygen induced defects whereas the peak at 0.9 eV is not affected by the presence of oxygen-related species.

  16. Detection of oxygen-related defects in GaAs by exo-electron emission spectroscopy

    International Nuclear Information System (INIS)

    Hulluvarad, Shiva S.; Naddaf, M.; Bhoraskar, S.V.

    2004-01-01

    The influence of intentional introduction of oxygen, at the surface of GaAs, on its native surface states was studied. Oxygen was made to interact with the surface of GaAs by three different means: (1) by growing native oxides, (2) exposing to oxygen plasma in an electron cyclotron resonance (ECR) plasma reactor and by (3) high energy oxygen ion irradiation. Thermally stimulated exo-electron emission (TSEE) spectroscopy was used to estimate the relative densities and energies of the surface states induced by the three different modes of introducing oxygen. Out of the two native defect levels found in GaAs by TSEE; at 325 K (0.7 eV below E c ) and at 415 K (0.9 below E c ); the former is seen to get broadened or split into multiple peaks in each of the methods. Multiple peaks in TSEE signify the presence of a closely spaced band of defect levels. Therefore the results exclusively point out that oxygen-related complexes contribute to the formation of a band of defects centered at 325 K in TSEE which is correlated to an energy level 0.7 eV below E c known as the EL2 defect level. The results reported in this paper thus confirm that the TSEE peak at 0.7 eV below E c is related to oxygen induced defects whereas the peak at 0.9 eV is not affected by the presence of oxygen-related species. (author)

  17. Spin dynamics in GaAs and (110)-GaAs heterostructures; Spindynamik in GaAs und (110)-GaAs-Heterostrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Oertel, Stefan

    2012-07-01

    This thesis investigates the spin dynamics in both bulk GaAs and (llO)GaAs heterostructures using time- and polarization-resolved photoluminescence spectroscopy. In bulk GaAs the spin relaxation t ime is measured for the first time in the high temperature regime from 280 K to 400 K and is compared to numerical calculations. The numerical calculations are based on the spin relaxation theory of the Dyakonov-Perel mechanism effected by momentum scattering with polar optical phonons and electron-electron scattering and are in good agreement with the experimental results. Measurements of the dependence on the electron density serve to determine the energy dependent proportional factor between the electron density and the effective electron-electron scattering time. Also in bulk GaAs the interaction between the electron spin system and the nuclear spin system is investigated. The measured electron Lande g-factor under the influence of the nuclear magnetic field is used as an indicator to monitor the temporal evolution of the nuclear magnetic field under sustained dynamic nuclear polarization. Measurements with polarization modulated excitation enable the determination of the relevant time scale at which dynamic nuclear polarization takes place. Furthermore, the temporal evolution of the measured electron Lande g-factor shows the complex interplay of the dynamic nuclear polarization, the nuclear spin diffusion and the nuclear spin relaxation. In symmetric (110)-GaAs quantum wells the dependence of the inplane anisotropy of the electron Lande g-factor on the quantum well thickness is determined experimentally. The measurements are in very good agreement with calculations based upon k . p-theory and reveal a maximum of the anisotropy at maximum carrier localization in the quantum well. The origin of the anisotropy that is not present in symmetric (001) quantum wells is qualitatively described by means of a simplified model based on fourth-order perturbation theory. A

  18. Some Aspects of the RHEED Behavior of Low-Temperature GaAs Growth

    International Nuclear Information System (INIS)

    Nemcsics, A.

    2005-01-01

    The reflection high-energy electron diffraction (RHEED) behavior manifested during MBE growth on a GaAs(001) surface under low-temperature (LT) growth conditions is examined in this study. RHEED and its intensity oscillations during LT GaAs growth exhibit some particular behavior. The intensity, phase, and decay of the oscillations depend on the beam equivalent pressure (BEP) ratio and substrate temperature, etc. Here, the intensity dependence of RHEED behavior on the BEP ratio, substrate temperature, and excess of As content in the layer are examined. The change in the decay constant of the RHEED oscillations is also discussed

  19. Role of wave functions in electromagnetism : RAS from GaAs (110)

    NARCIS (Netherlands)

    Wijers, C.M.J.; de Boeij, P.L.

    2001-01-01

    We have calculated the reflectance anisotropy for the GaAs (110) surface using the discrete cellular method. This method extends the range of application of standard discrete dipole calculations by incorporating nonlocal polarizabilitites. The method adds a second quantum mechanical channel of

  20. Semi-insulating GaAs detectors of fast neutrons

    International Nuclear Information System (INIS)

    Sagatova, A.; Sedlackova, K.; Necas, V.; Zatko, B.; Dubecky, F.; Bohacek, P.

    2012-01-01

    The present work deals with the technology of HDPE neutron conversion layer application on the surface of semi-insulating (SI) GaAs detectors via developed polypropylene (PP) based glue. The influence of glue deposition on the electric properties of the detectors was studied as well as the ability of the detectors to register the fast neutrons from "2"3"9Pu-Be neutron source. (authors)

  1. Measurement of electron beam polarization produced by photoemission from bulk GaAs using twisted light

    Science.gov (United States)

    Clayburn, Nathan; Dreiling, Joan; McCarter, James; Ryan, Dominic; Poelker, Matt; Gay, Timothy

    2012-06-01

    GaAs photocathodes produce spin polarized electron beams when illuminated with circularly polarized light with photon energy approximately equal to the bandgap energy [1, 2]. A typical polarization value obtained with bulk GaAs and conventional circularly polarized light is 35%. This study investigated the spin polarization of electron beams emitted from GaAs illuminated with ``twisted light,'' an expression that describes a beam of light having orbital angular momentum (OAM). In the experiment, 790nm laser light was focused to a near diffraction-limited spot size on the surface of the GaAs photocathode to determine if OAM might couple to valence band electron spin mediated by the GaAs lattice. Our polarization measurements using a compact retarding-field micro-Mott polarimeter [3] have established an upper bound on the polarization of the emitted electron beam of 2.5%. [4pt] [1] D.T. Pierce, F. Meier, P. Zurcher, Appl. Phys. Lett. 26 670 (1975).[0pt] [2] C.K. Sinclair, et al., PRSTAB 10 023501 (2007).[0pt] [3] J.L. McCarter, M.L. Stutzman, K.W. Trantham, T.G. Anderson, A.M. Cook, and T.J. Gay Nucl. Instrum. and Meth. A (2010).

  2. Growth-temperature- and thermal-anneal-induced crystalline reorientation of aluminum on GaAs (100) grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, H. F.; Chua, S. J.; Xiang, N.

    2007-01-01

    The authors investigated the growth of Al thin films on GaAs (100) substrates by molecular beam epitaxy. It is found that the growth at 550 degree sign C results in a texture that consists of (100)Al[010](parallel sign)(100)GaAs[011] and (100)Al[010](parallel sign)(100)GaAs[010] rotated 45 degree sign with respect to each other, while the growth at 300 degree sign C leads to a mixture phase of (100)Al[010](parallel sign)(100)GaAs[011] and (110)Al[001](parallel sign)(100)GaAs[011]. In situ annealing of the Al film grown at 300 degree sign C causes a reorientation of the crystalline from (100)Al[010](parallel sign)(100)GaAs[011] to (110)Al[001](parallel sign)(100)GaAs[011]. The grain sizes of the Al film are increased by the increased growth temperature and in situ annealing; the ratio of the exposed to the covered surface is not changed significantly by changing the growth temperature but decreased by annealing; and the small islands in between the large ones are removed by annealing. These observations are explained based on island migration and coalescence

  3. Bistable Si dopants in the GaAs (1 1 0) surface

    International Nuclear Information System (INIS)

    Smakman, E P; Koenraad, P M

    2015-01-01

    In this review, recent work is discussed on bistable Si dopants in the GaAs (1 1 0) surface, studied by scanning tunneling microscopy (STM). The bistability arises because the dopant atom can switch between a positive and a negative charge state, which are associated with two different lattice configurations. Manipulation of the Si atom charge configuration is achieved by tuning the local band bending with the STM tip. Furthermore, illuminating the sample with a laser also influences the charge state, allowing the operation of the dopant atom as an optical switch. The switching dynamics without illumination is investigated in detail as a function of temperature, lateral tip position, and applied tunneling conditions. A physical model is presented that independently describes the thermal and quantum tunneling contributions to the switching frequency and charge state occupation of a single Si atom. The basic functionality of a memory cell is demonstrated employing a single bistable Si dopant as the active element, using the STM tip as a gate to write and read the information. (topical review)

  4. Analysis of mechanism of carbon removal from GaAs(1 0 0) surface by atomic hydrogen

    International Nuclear Information System (INIS)

    Tomkiewicz, P.; Winkler, A.; Krzywiecki, M.; Chasse, Th.; Szuber, J.

    2008-01-01

    Etching of carbon contaminations from the GaAs(1 0 0) surface by irradiating with atomic hydrogen, which is one of the key reactions to promote high-quality thin films growth by molecular beam epitaxy (MBE), has been investigated by mass spectrometry (MS), Auger electron spectroscopy (AES) and X-ray photoelectron spectroscopy (XPS). It is shown that during the cleaning process at room temperature a total reduction of the Auger carbon signal, accompanied by desorption of methane as major reaction product, can be observed. The reaction pathways as well as the processes responsible for the observed carbon removal are discussed in detail to give a support for etching and growth quality enhancement not only in thin films epitaxy but in all atomic hydrogen promoted gas-phase III-V semiconductor processes

  5. InP and GaAs characterization with variable stoichiometry obtained by molecular spray

    Science.gov (United States)

    Massies, J.; Linh, N. T.; Olivier, J.; Faulconnier, P.; Poirier, R.

    1979-01-01

    Both InP and GaAs surfaces were studied in parallel. A molecular spray technique was used to obtain two semiconductor surfaces with different superficial compositions. The structures of these surfaces were examined by electron diffraction. Electron energy loss was measured spectroscopically in order to determine surface electrical characteristics. The results are used to support conclusions relative to the role of surface composition in establishing a Schottky barrier effect in semiconductor devices.

  6. Transient radiation effects in GaAs semiconductor devices

    International Nuclear Information System (INIS)

    Chang, J.Y.; Stauber, M.; Ezzeddine, A.; Howard, J.W.; Constantine, A.G.; Becker, M.; Block, R.C.

    1988-01-01

    This paper describes an ongoing program to identify the response of GaAs devices to intense pulses of ionizing radiation. The program consists of experimental measurements at the Rensselaer Polytechnic Institute's RPI electron linear accelerator (Linac) on generic GaAs devices built by Grumman Tachonics Corporation and the analysis of these results through computer simulation with the circuit model code SPICE (including radiation effects incorporated in the variations TRISPICE and TRIGSPICE and the device model code PISCES IIB). The objective of this program is the observation of the basic response phenomena and the development of accurate simulation tools so that results of Linac irradiations tests can be understood and predicted

  7. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  8. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    Science.gov (United States)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be -oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  9. Detection of oxygen-related defects in GaAs by exo-electron emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Hulluvarad, Shiva S.; Naddaf, M.; Bhoraskar, S.V. E-mail: svb@physics.unipune.ernet.in

    2001-10-01

    The influence of intentional introduction of oxygen, at the surface of GaAs, on its native surface states was studied. Oxygen was made to interact with the surface of GaAs by three different means: (1) by growing native oxides, (2) exposing to oxygen plasma in an electron cyclotron resonance (ECR) plasma reactor and by (3) high energy oxygen ion irradiation. Thermally stimulated exo-electron emission (TSEE) spectroscopy was used to estimate the relative densities and energies of the surface states induced by the three different modes of introducing oxygen. Out of the two native defect levels found in GaAs by TSEE; at 325 K (0.7 eV below E{sub c}) and at 415 K (0.9 below E{sub c}); the former is seen to get broadened or split into multiple peaks in each of the methods. Multiple peaks in TSEE signify the presence of a closely spaced band of defect levels. Therefore the results exclusively point out that oxygen-related complexes contribute to the formation of a band of defects centered at 325 K in TSEE which is correlated to an energy level 0.7 eV below E{sub c} known as the EL2 defect level. The results reported in this paper thus confirm that the TSEE peak at 0.7 eV below E{sub c} is related to oxygen induced defects whereas the peak at 0.9 eV is not affected by the presence of oxygen-related species.

  10. Characterization study of native oxides on GaAs(100) surface by XPS

    Science.gov (United States)

    Feng, Liu; Zhang, Lian-dong; Liu, Hui; Gao, Xiang; Miao, Zhuang; Cheng, Hong-chang; Wang, Long; Niu, Sen

    2013-08-01

    In order to know more about the surface state of GaAs(100) epitaxial wafer during a storage period of two years, the XPS analysis was carried out four times on the surface, respectively polished by chemical etching, stored in desiccator for half a year, one year and two years. The results indicated that even after cleaned by proper etchant solutions, the fresh surface was slightly oxidized with Ga2O3, As2O3 and organic contaminant. The epi-wafer was always exposed to air during the storage period, so more and more oxides turned out. The mixed oxide layer comprised of C-OR, COOR, Ga2O3, As2O3 and As2O5 appeared after only half a year. In the ageing process of two years, the oxide types of gallium or arsenic did not change with stable content of Ga2O3 and remarkably fluctuating relative contents of As2O3 and As2O5. Based on the intensity ratio of Ga 3d-Ga2O3 to Ga 3d-GaAs, the thickness of oxide layer was estimated. The oxide layer generated after chemical polishing was very thin, just only 0.435nm thick, and then it grew rapidly, approximately 1.822nm after one year while almost no change any more subsequently. It was indicated that after the epi-wafer was stored for one year, because of volatile As2O3 or As2O5, there remained a large amount of Ga2O3 in oxide layer, which prevented the reactions between bulk material and oxide layer with oxygen. So native oxide layer plays a role as passive film to protect epi-wafer against the environment during a long storage period.

  11. X-ray diffraction from single GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas

    2012-11-12

    In recent years, developments in X-ray focussing optics have allowed to produce highly intense, coherent X-ray beams with spot sizes in the range of 100 nm and below. Together with the development of new experimental stations, X-ray diffraction techniques can now be applied to study single nanometer-sized objects. In the present work, X-ray diffraction is applied to study different aspects of the epitaxial growth of GaAs nanowires. Besides conventional diffraction methods, which employ X-ray beams with dimensions of several tens of {mu}m, special emphasis lies on the use of nanodiffraction methods which allow to study single nanowires in their as-grown state without further preparation. In particular, coherent X-ray diffraction is applied to measure simultaneously the 3-dimensional shape and lattice parameters of GaAs nanowires grown by metal-organic vapor phase epitaxy. It is observed that due to a high density of zinc-blende rotational twins within the nanowires, their lattice parameter deviates systematically from the bulk zinc-blende phase. In a second step, the initial stage in the growth of GaAs nanowires on Si (1 1 1) surfaces is studied. This nanowires, obtained by Ga-assisted growth in molecular beam epitaxy, grow predominantly in the cubic zinc-blende structure, but contain inclusions of the hexagonal wurtzite phase close to their bottom interface. Using nanodiffraction methods, the position of the different structural units along the growth axis is determined. Because the GaAs lattice is 4% larger than silicon, these nanowires release their lattice mismatch by the inclusion of dislocations at the interface. Whereas NWs with diameters below 50 nm are free of strain, a rough interface structure in nanowires with diameters above 100 nm prevents a complete plastic relaxation, leading to a residual strain at the interface that decays elastically along the growth direction. Finally, measurements on GaAs-core/InAs-shell nanowire heterostructures are presented

  12. Sn nanothreads in GaAs: experiment and simulation

    Science.gov (United States)

    Semenikhin, I.; Vyurkov, V.; Bugaev, A.; Khabibullin, R.; Ponomarev, D.; Yachmenev, A.; Maltsev, P.; Ryzhii, M.; Otsuji, T.; Ryzhii, V.

    2016-12-01

    The gated GaAs structures like the field-effect transistor with the array of the Sn nanothreads was fabricated via delta-doping of vicinal GaAs surface by Sn atoms with a subsequent regrowth. That results in the formation of the chains of Sn atoms at the terrace edges. Two device models were developed. The quantum model accounts for the quantization of the electron energy spectrum in the self-consistent two-dimensional electric potential, herewith the electron density distribution in nanothread arrays for different gate voltages is calculated. The classical model ignores the quantization and electrons are distributed in space according to 3D density of states and Fermi-Dirac statistics. It turned out that qualitatively both models demonstrate similar behavior, nevertheless, the classical one is in better quantitative agreement with experimental data. Plausibly, the quantization could be ignored because Sn atoms are randomly placed along the thread axis. The terahertz hot-electron bolometers (HEBs) could be based on the structure under consideration.

  13. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    International Nuclear Information System (INIS)

    Leonhardt, Darin; Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S.; Han, Sang M.

    2010-01-01

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10 7 /cm 2 in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H 2 O 2 and H 2 SO 4 . Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10 11 /cm 2 . Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO 2 in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10 7 /cm 2 . Additionally, coalescence results in films of 3 μm thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H 2 O 2 results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO 2 interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the subsequent growth morphology of GaAs deposited by metal-organic chemical vapor

  14. Optimization of the GaAs et GaAs/Si annealing using halogen lamp flashes

    International Nuclear Information System (INIS)

    Blanck, H.

    1989-01-01

    The aim of the work is to check whether the flash annealing of GaAs and GaAs/Si, using halogen lamps, allows an improvement in the results obtained by usual methods. The electrical activation, defects behavior and results uniformity are studied. The results on the activation and diffusion of implanted impurities are shown to be equivalent to those obtained with classical annealing methods. However, residual impurities (or defects) diffusion phenomena are restrained by the flash annealing technique. The Hall effect cartographic measurements showed an improvement of the uniformity of the implanted coating surface resistance. Flash annealing is a suitable method for the Si activation in GaAs. It allows an improvement of the GaAs results obtained with standard techniques, as well as the formation, by means of ion implantation, of active zones in the GaAs/Si layers [fr

  15. Determination of the thickness of chemically removed thin layers on GaAs VPE structures

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K.; Nemeth-Sallay, M.; Nemcsics, A. (Research Inst. for Technical Physics, Hungarian Academy of Sciences, Budapest (Hungary))

    1991-01-01

    Thinning of epitaxial GaAs layers was studied during the surface etching, with a special attention to submicron epitaxial structures, like MESFET or varactor-type structures. Each chemical treatment influences the crystal surface during the device preparation processes, though the possible thinning of the active layer is small. Therefore a method allowing determination of thicknesses as small as at about 20 nm of the layer removed by chemical etching from GaAs VPE structures was applied. Using special multilayered structures and a continuous electrochemical carrier concentration depth profiling, the influence of the layer thickness inhomogeneity and of some measurement errors can be minimized. Some frequently used etchants and the influence of different - so called - non-etching processes were compared in different combinations. It was shown that besides the direct etching a change of the surface conditions occurs, which influences the etch rate in the succeeding etching procedure. (orig.).

  16. Subnanosecond linear GaAs photoconductive switching, revision 1

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.; Hofer, W. W.

    Research was conducted in photoconductive switching for the purpose of generating subnanosecond pulses in the 25 to 50kV range. The very fast recombination rates of Gallium Arsenide (GaAs) was exploited to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is (approx. 10(-14) sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. Switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm was observed. The illumination source was a Nd:YAG laser operating at 1.06 microns.

  17. Mass and energy dispersive recoil spectrometry of GaAs structures

    International Nuclear Information System (INIS)

    Hult, M.

    1994-01-01

    Mass and energy dispersive Recoil Spectrometry (RS) using heavy ions at energies of about 0.2Α-0.8Α MeV has attracted much interest recently due to its potential for separately and unambiguously generating information on isotopic depth distributions. The principal advantages of mass and energy dispersive RS are that both light and heavy elements can be separately studied simultaneously and problems caused by chemical matrix effects are avoided since the technique is based on high energy nucleus-nucleus scattering. In order to elucidate reactions taking place in various GaAs structures, Time of flight-Energy (ToF-E) RS was developed to allow Ga and As to be studied separately down to depths of about 500-800 nm with a depth resolution of about 16 nm at the surface. This was shown in a study of an Al x Ga 1-x As quantum-well structure. The benefits of using ToF-E RS on GaAs structures were further demonstrated in studies of Co/GaAs and CoSi 2 /GaAs reactions, as well as in a study of the composition of MOCVD grown Al x Ga 1-x As. Most recoil measurements employed 127 I at energies of about 50-90 MeV as projectiles. The recoil detector telescope consisted of a silicon energy detector and two carbon foil time pick-off detectors separated by a variable flight length of 213.5-961 mm. The reactions taking place between various thin films and GaAs were also studied using complementary techniques such as XRD, XPS and SEM. Co was found to react extensively with GaAs, already at about 300 degrees C, making it unsuitable as a contact material. Thin films of Co and Si were found to react extensively with each other and to form CoSi 2 at 500 degrees C and above. CoSi 2 , a low resistivity silicide, turned out to be stable on GaAs, at least up to 700 degrees C. Considerable grain growth could cause problems, however, in the use of CoSi 2 -contacts. 112 refs, figs, tabs

  18. Anisotropic Pauli Spin Blockade of Holes in a GaAs Double Quantum Dot

    Science.gov (United States)

    Wang, Qingwen; Klochan, Oleh; Hung, Jo-Tzu; Culcer, Dimitrie; Farrer, Ian; Ritchie, David; Hamilton, Alex

    Electrically defined semiconductor quantum dots are appealing systems for spin manipulation and quantum information processing. Thanks to the weak hyperfine interaction and the strong spin-orbit interaction, heavy-holes in GaAs are promising candidates for all-electrical spin manipulation. However, making stable quantum dots in GaAs has only become possible recently, mainly because of difficulties in device fabrication and device stability. Here we present electrical transport measurements of heavy-holes in a lateral double quantum dot based on a GaAs /AlxGa1 - x As heterostructure. We observe clear Pauli spin blockade and show that the lifting of the spin blockade by an external magnetic field is extremely anisotropic. Numerical calculations of heavy-hole transport through a double quantum dot in the presence of strong spin-orbit interaction demonstrate quantitative agreement with experimental results, which indicates that the observed anisotropy can be explained by the anisotropic hole g-factor and the surface Dresselhaus spin-orbit coupling.

  19. Electrodeposition of Metal on GaAs Nanowires

    Science.gov (United States)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  20. Diffusion of $^{52}$Mn in GaAs

    CERN Multimedia

    2002-01-01

    Following our previous diffusion studies performed with the modified radiotracer technique, we propose to determine the diffusion of Mn in GaAs under intrinsic conditions in a previously un-investigated temperature region. The aim of the presently proposed experiments is twofold. \\begin{itemize} \\item A quantitative study of Mn diffusion in GaAs at low Mn concentrations would be decisive in providing new information on the diffusion mechanism involved. \\item As Ga vacancies are expected to be involved in the Mn diffusion process it can be predicted that also the GaAs material growth technique most likely plays a role. To clarify this assumption diffusion experiments will be conducted for GaAs material grown by two different techniques. \\end{itemize} For such experiments we ask for two runs of 3 shifts (total of 6 shifts) with $^{52}$Mn$^{+}$ ion beam.

  1. Understanding the true shape of Au-catalyzed GaAs nanowires.

    Science.gov (United States)

    Jiang, Nian; Wong-Leung, Jennifer; Joyce, Hannah J; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-10-08

    With increasing interest in nanowire-based devices, a thorough understanding of the nanowire shape is required to gain tight control of the quality of nanowire heterostructures and improve the performance of related devices. We present a systematic study of the sidewalls of Au-catalyzed GaAs nanowires by investigating the faceting process from the beginning with vapor-liquid-solid (VLS) nucleation, followed by the simultaneous radial growth on the sidewalls, and to the end with sidewall transformation during annealing. The VLS nucleation interface of our GaAs nanowires is revealed by examining cross sections of the nanowire, where the nanowire exhibits a Reuleaux triangular shape with three curved surfaces along {112}A. These curved surfaces are not thermodynamically stable and adopt {112}A facets during radial growth. We observe clear differences in radial growth rate between the ⟨112⟩A and ⟨112⟩B directions with {112}B facets forming due to the slower radial growth rate along ⟨112⟩B directions. These sidewalls transform to {110} facets after high temperature (>500 °C) annealing. A nucleation model is proposed to explain the origin of the Reuleaux triangular shape of the nanowires, and the sidewall evolution is explained by surface kinetic and thermodynamic limitations.

  2. Effect of low and staggered gap quantum wells inserted in GaAs tunnel junctions

    Science.gov (United States)

    Louarn, K.; Claveau, Y.; Marigo-Lombart, L.; Fontaine, C.; Arnoult, A.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2018-04-01

    In this article, we investigate the impact of the insertion of either a type I InGaAs or a type II InGaAs/GaAsSb quantum well on the performances of MBE-grown GaAs tunnel junctions (TJs). The devices are designed and simulated using a quantum transport model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We experimentally observe significant improvements of the peak tunneling current density on both heterostructures with a 460-fold increase for a moderately doped GaAs TJ when the InGaAs QW is inserted at the junction interface, and a 3-fold improvement on a highly doped GaAs TJ integrating a type II InGaAs/GaAsSb QW. Thus, the simple insertion of staggered band lineup heterostructures enables us to reach a tunneling current well above the kA cm‑2 range, equivalent to the best achieved results for Si-doped GaAs TJs, implying very interesting potential for TJ-based components, such as multi-junction solar cells, vertical cavity surface emitting lasers and tunnel-field effect transistors.

  3. Mean field diffusion models for precipitation in crystalline GaAs including surface tension and bulk stresses

    Energy Technology Data Exchange (ETDEWEB)

    Dreyer, Wolfgang [Weierstrass-Institut fuer Angewandte Analysis und Stochastik (WIAS) im Forschungsverbund Berlin e.V. (Germany); Kimmerle, Sven-Joachim [Humboldt-Univ. Berlin (Germany). Dept. of Mathematics

    2009-07-01

    Based on a thermodynamically consistent model for precipitation in gallium arsenide crystals including surface tension and bulk stresses by Dreyer and Duderstadt, we propose different mathematical models to describe the size evolution of liquid droplets in a crystalline solid. The first class of models treats the diffusion-controlled regime of interface motion, while the second class is concerned with the interface-controlled regime of interface motion. Our models take care of conservation of mass and substance. We consider homogenised models, where different length scales of the experimental situation have been exploited in order to simplify the equations. These homogenised models generalise the well-known Lifshitz-Slyozov-Wagner model for Ostwald ripening. Mean field models capture the main properties of our system and are well adapted for numerics and further analysis. Numerical evidence suggests in which case which one of the two regimes might be appropriate to the experimental situation. (orig.)

  4. Influence of substrate orientation on the structural properties of GaAs nanowires in MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Muhammad, R., E-mail: rosnita@utm.my; Othaman, Z., E-mail: zulothaman@gmail.com; Ibrahim, Z., E-mail: zuhairi@utm.my; Sakrani, S., E-mail: samsudi3@yahoo.com [Faculty of Science, UniversitiTeknologi Malaysia, 81310 UTM, Johor (Malaysia); Wahab, Y., E-mail: wyussof@gmail.com [Razak School, UniversitiTeknologi Malaysia, 54100 Kuala Lumpur (Malaysia)

    2016-04-19

    In this study, the effect of substrate orientation on the structural properties of GaAs nanowires grown by a metal organic chemical vapor deposition has been investigated. Gold colloids were used as catalyst to initiate the growth of nanowiresby the vapour-liquid-solid (VLS) mechanism. From the field-emission scanning electron microscopy (FE-SEM), the growth of the nanowires were at an elevation angle of 90°, 60°, 65° and 35° with respect to the GaAs substrate for (111)B, (311)B, (110) and (100) orientations respectively. The preferential NW growth direction is always <111>B. High-resolution transmission electron microscope (HRTEM) micrograph showed the NWs that grew on the GaAs(111)B has more structural defects when compared to others. Energy dispersive X-ray analysis (EDX) indicated the presence of Au, Ga and As. The bigger diameter NWs dominates the (111)B substrate surface.

  5. Subnanosecond linear GaAs photoconductive switching: Revision 1

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.; Hofer, W.W.

    1989-01-01

    We are conducting research in photoconductive switching for the purpose of generating subnanosecond pulses in the 25--50kV range. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is /approximately/10/sup /minus/14/ sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. We have observed switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm. The illumination source was a Nd:YAG laser operating at 1.06 /mu/m. 4 refs., 11 figs.

  6. Temperature-Driven Change in the Unstable Growth Mode on Patterned GaAs(001)

    International Nuclear Information System (INIS)

    Tadayyon-Eslami, T.; Phaneuf, R. J.; Kan, H.-C.; Calhoun, L. C.

    2006-01-01

    We observe a dramatic change in the unstable growth mode during GaAs molecular beam epitaxy on patterned GaAs(001) as the temperature is lowered through approximately 540 deg. C, roughly coincident with the preroughening temperature. Observations of the As 2 flux dependence, however, rule out thermodynamic preroughening as driving the growth mode change. Similar observations rule out the change in surface reconstruction as the cause. Instead, we find evidence that the change in the unstable growth mode can be explained by a competition between the decreased adatom collection rate on small terraces and a small anisotropic barrier to adatom diffusion downward across step bunches

  7. Influence of implantation conditions of He+ ions on the structure of a damaged layer in GaAs(001)

    International Nuclear Information System (INIS)

    Shcherbachev, Kirill; Bailey, Melanie J.

    2011-01-01

    An investigation into the influence of implantation conditions (dose, energy, and target temperature) of He + ions on the damage structure of GaAs (100) substrates was performed by HRXRD, scanning electron microscopy, and Nomarski microscopy. Blistering is shown to become apparent as characteristic features of isolines in RSMs. We propose that the formation of the defects yielding a characteristic XRDS is defined by the behavior of implanted atoms in the GaAs matrix, depending on two competing processes: (1) formation of the gas-filled bubbles; (2) diffusion of the He atoms from the bubbles toward the surface and deep into the GaAs substrate. We conclude that the gas-filled bubbles change the structure of the irradiated layer, resulting in the formation of strained crystalline areas of the GaAs matrix. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States); Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Han, Sang M., E-mail: meister@unm.ed [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States)

    2010-08-31

    subsequent growth morphology of GaAs deposited by metal-organic chemical vapor deposition. Room temperature photoluminescence shows that films of GaAs grown on Ge-on-oxidized Si have an intensity that is 20 to 25% compared to the intensity from GaAs grown on commercial Ge or GaAs substrates. Cathodoluminescence shows that nonradiative defects occur in the GaAs that spatially correspond to the stacking faults terminating at the Ge surface. The exact nature of these nonradiative defects in the GaAs is unknown, however, GaAs grown on annealed samples of Ge-on-oxidized Si, whereby annealing removes the stacking faults, have photoluminescence intensity that is comparable to GaAs grown on a GaAs substrate.

  9. Enhanced Efficiency of GaAs Single-Junction Solar Cells with Inverted-Cone-Shaped Nanoholes Fabricated Using Anodic Aluminum Oxide Masks

    Directory of Open Access Journals (Sweden)

    Kangho Kim

    2013-01-01

    Full Text Available The GaAs solar cells are grown by low-pressure metalorganic chemical vapor deposition (LP-MOCVD and fabricated by photolithography, metal evaporation, annealing, and wet chemical etch processes. Anodized aluminum oxide (AAO masks are prepared from an aluminum foil by a two-step anodization method. Inductively coupled plasma dry etching is used to etch and define the nanoarray structures on top of an InGaP window layer of the GaAs solar cells. The inverted-cone-shaped nanoholes with a surface diameter of about 50 nm are formed on the top surface of the solar cells after the AAO mask removal. Photovoltaic and optical characteristics of the GaAs solar cells with and without the nanohole arrays are investigated. The reflectance of the AAO nanopatterned samples is lower than that of the planar GaAs solar cell in the measured range. The short-circuit current density increased up to 11.63% and the conversion efficiency improved from 10.53 to 11.57% under 1-sun AM 1.5 G conditions by using the nanohole arrays. Dependence of the efficiency enhancement on the etching depth of the nanohole arrays is also investigated. These results show that the nanohole arrays fabricated with an AAO technique may be employed to improve the light absorption and, in turn, the conversion efficiency of the GaAs solar cell.

  10. Molecular Beam Epitaxial Growth of GaAs on (631) Oriented Substrates

    International Nuclear Information System (INIS)

    Cruz Hernandez, Esteban; Rojas Ramirez, Juan-Salvador; Contreras Hernandez, Rocio; Lopez Lopez, Maximo; Pulzara Mora, Alvaro; Mendez Garcia, Victor H.

    2007-01-01

    In this work, we report the study of the homoepitaxial growth of GaAs on (631) oriented substrates by molecular beam epitaxy (MBE). We observed the spontaneous formation of a high density of large scale features on the surface. The hilly like features are elongated towards the [-5, 9, 3] direction. We show the dependence of these structures with the growth conditions and we present the possibility of to create quantum wires structures on this surface

  11. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Englhard, M.; Klemp, C.; Behringer, M.; Rudolph, A. [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Skibitzki, O.; Zaumseil, P. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Institute of Physics and Chemistry, BTU Cottbus-Senftenberg, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2016-07-28

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-ray diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.

  12. The role of proximity caps during the annealing of UV-ozone oxidized GaAs

    International Nuclear Information System (INIS)

    Ghosh, S. C.; Biesinger, M. C.; LaPierre, R. R.; Kruse, P.

    2007-01-01

    This study provides a deeper insight into the chemistry and physics of the common engineering practice of using a proximity cap, while annealing compound semiconductors such as GaAs. We have studied the cases of a GaAs proximity cap, a Si proximity cap, and no proximity cap. Using x-ray photoelectron spectroscopy, it has been found that annealing increases the gallium to arsenic ratio in the oxide layer in all cases. During the annealing of UV-ozone oxidized GaAs, it has been observed that GaAs proximity caps also serve as a sacrificial layer to accelerate the desorption of oxide species. In all cases surface deterioration due to pit formation has been observed, and the depth of pits is found to depend on the effective role played by the capping material. Energy dispersive x-ray analysis provides additional evidence that pits mainly consist of elemental As and gallium oxide, with most of the elemental As situated at the pit-substrate interface. Deposition of a thin layer of gold and subsequent annealing to 500 deg. C for 300 s under different capping conditions shows the use of a proximate cap to be practically insignificant in annealing Au deposited films

  13. Polarity influence on the indentation punching of thin {111} GaAs foils at elevated temperatures

    International Nuclear Information System (INIS)

    Patriarche, G; Largeau, L; Riviere, J P; Bourhis, E Le

    2005-01-01

    Thin {111} GaAs substrates were deformed by a Vickers indenter at 350 deg. C-370 deg. C under loads ranging between 0.4 and 1.9 N. Optical microscopy and interferometry were used to observe the indented and opposite faces of the thin foils and hence to investigate the plastic flow through the samples. Attention was paid to the polarity (A or B) of the specimen surface, as GaAs is known to show a large difference between α and β dislocations mobilities. A model considering the influence of polarity is proposed to describe the material flow throughout thin samples

  14. Modeling of altered layer formation during reactive ion etching of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Mutzke, A. [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Rai, A., E-mail: Abha.Rai@ipp.mpg.de [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Schneider, R.; Angelin, E.J.; Hippler, R. [Institute of Physics, Ernst-Moritz-Arndt-University Greifswald, Felix-Hausdorff-Str.6, D-17489 Greifswald (Germany)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Experimental result showing the preferential sputtering of GaAs (150 keV Ar{sup +} and thermal O on GaAs) during reactive ion beam etching (RIBE) has been reported. Black-Right-Pointing-Pointer A model based on binary collisions (SDTrimSP) is presented to simulate RIBE. Black-Right-Pointing-Pointer The model is used to explain the reported experimental data and also the results by Grigonis and co-workers [1]. - Abstract: The binary collision based SDTrimSP model has been used to simulate the reactive ion beam etching (RIBE) of GaAs in the presence of energetic Ar ions and thermal O atoms. It includes the collisional effects, diffusive processes and chemical reactions taking place in the system. The model parameters are fitted using the experimental observations of Grigonis and co-workers [1] and validated with the experimental results obtained during the GaAs ion etching presented in this paper. A detailed analysis is presented to understand the effect of the diffusive processes and the role of O during RIBE of GaAs. It is shown how the presence of damage caused by the energetic Ar coupled with the presence of thermal O opens up chemical reaction channels which eventually leads to the preferential sputtering of Ga observed at the ion etching facility at University of Greifswald.

  15. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    International Nuclear Information System (INIS)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-01-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  16. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-04-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  17. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    Science.gov (United States)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  18. The miniband spectrum in (AlAs) sub M (GaAs) sub N (111)

    CERN Document Server

    Karavaev, G F; Egunov, R M

    2002-01-01

    The electron states for energies in the conduction band of (AlAs) sub M (GaAs) sub N (111) superlattices with M >= N (N < 10) are considered. The properties of such superlattices are mainly determined by electrons of X-valley in AlAs and L-valley in GaAs. The calculations are carried out on the basis of the envelope-function model of interface band mixing. Miniband spectra, symmetry and localization of wave functions, and also probabilities of the interminiband infrared absorption are defined and analyzed. It is shown that the latter have a significant magnitude not only at light polarization along the superlattice growth axis, but also at normal incidence of a light wave to the surface. The analysis has been normal incidence of a light wave to the surface. The analysis has shown the importance of consideration of X sub 5 -states belonging to the valence band for infrared absorption

  19. Electrode pattern design for GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyang; Yin Jianhua; Li Darang

    2011-01-01

    The sensitivities of betavoltaic batteries and photovoltaic batteries to series and parallel resistance are studied. Based on the study, an electrode pattern design principle of GaAs betavoltaic batteries is proposed. GaAs PIN junctions with and without the proposed electrode pattern are fabricated and measured under the illumination of 63 Ni. Results show that the proposed electrode can reduce the backscattering and shadowing for the beta particles from 63 Ni to increase the GaAs betavoltaic battery short circuit currents effectively but has little impact on the fill factors and ideal factors.

  20. Energetics and Dynamics of GaAs Epitaxial Growth via Quantum Wave Packet Studies

    Science.gov (United States)

    Dzegilenko, Fedor N.; Saini, Subhash (Technical Monitor)

    1998-01-01

    The dynamics of As(sub 2) molecule incorporation into the flat Ga-terminated GaAs(100) surface is studied computationally. The time-dependent Schrodinger equation is solved on a two-dimensional potential energy surface obtained using density functional theory calculations. The probabilities of trapping and subsequent dissociation of the molecular As(sub 2) bond are calculated as a function of beam translational energy and vibrational quantum number of As(sub 2).

  1. Interface analysis of Ge ultra thin layers intercalated between GaAs substrates and oxide stacks

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Lamagna, Luca; Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (MI) (Italy); Dipartimento di Scienza dei Materiali, Universita di Milano Bicocca, Milano (Italy); Brammertz, Guy; Meuris, Marc [IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium)

    2010-01-01

    Capping III-V compound surfaces with Ge ultra-thin layer might be a viable pathway to passivate the electrically active interface traps which usually jeopardize the integration of III-V materials in metal-oxide-semiconductor devices. As the physical nature of such traps is intrinsically related to the chemical details of the interface composition, the structural and compositional features of the Ge/GaAs interface were thoroughly investigated in two different configurations, the atomic layer deposition of La-doped ZrO{sub 2} films on Ge-capped GaAs and the ultra-high vacuum based molecular beam deposition of GeO{sub 2}/Ge double stack on in situ prepared GaAs. In the former case, the intercalation of a Ge interface layer is shown to suppress the concentration of interface Ga-O, As-O and elemental As bonding which were significantly detected in case of the direct oxide deposition on GaAs. In the latter case, the incidence of two different in situ surface preparations, the Ar sputtering and the atomic H cleaning, on the interface composition is elucidated and the beneficial role played by the atomic H exposure in reducing the semiconductor-oxygen bonds at the interface level is demonstrated.

  2. Self-assembly of alkanethiolates directs sulfur bonding with GaAs(100)

    Energy Technology Data Exchange (ETDEWEB)

    Mancheno-Posso, Pablo; Muscat, Anthony J., E-mail: muscat@email.arizona.edu

    2017-03-01

    Highlights: • Alkanethiolate monolayers were formed on GaAs(100) using a 20 min liquid immersion. • The longest chain containing 20 CH{sub 2} groups protected the surface for 30 min from reoxidation. • A reaction-diffusion model shows that oxygen diffusion through the carbon chains is fast. • Alkanethiolates protect the surface by reducing the reaction rate of oxygen with the surface. • Assembly of the alkane chains directs sulfur atoms to bond to the surface. - Abstract: Molecules that contain linear alkane chains self-assemble on a variety of surfaces changing the degree of wetting, lubricity, and reactivity. We report on the reoxidation of GaAs(100) in air after adsorbing five alkanethiols (C{sub n}H{sub 2n+1}-SH where n = 3, 6, 12, 18, 20) and one alkanedithiol (HS-(CH{sub 2}){sub 8}-SH) deposited from the liquid phase. The alignment of the alkane chains forms a self-assembled layer, however, air diffuses readily through the carbon layer and reaches the surface. The impact of alignment is to improve the bonding of sulfur with the surface atoms which reduces the oxidation rate based on fitting the data to a reaction-diffusion model. The layer thickness and molecular density scale linearly with the number of carbon atoms in the alkane chain. The thickness of the alkanethiolate (RS{sup −}) layer grows by 0.87 ± 0.06 Å for each C atom in the chain and the surface density by 0.13 ± 0.03 molecule per nm{sup 2} per C atom up to a coverage of 5.0 molecules/nm{sup 2} for n = 20 or 0.8 monolayer. The surface coverage increases with length because interactions between methylene (CH{sub 2}) groups in neighboring chains reduce the tilt angle of the molecules with the surface normal. The tight packing yields areas per alkanethiolate as low as 20 Å{sup 2} for n = 20. The amount of C in the layer divided by the chain length is approximately constant up to n = 12 but increases sharply by a factor of 2–4× for n = 18 and 20 based on the C 1s X

  3. Effect of the V{sub As}V{sub Ga} complex defect doping on properties of the semi-insulating GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Deming, E-mail: xautmdm@163.com; Qiao, Hongbo; Shi, Wei; Li, Enling [Department of Applied Physics, Xi' an University of Technology, Xi' an 710054 (China)

    2014-04-21

    The different position V{sub As}V{sub Ga} cluster defect doping in semi-insulating (SI) GaAs has been studied by first-principles calculation based on hybrid density functional theory. Our calculated results show that EL6 level is formed due to the V{sub As}V{sub Ga} complex defect, which is very close to the experimental result. It provides the explanation of the absorption of laser with the wavelength beyond in semi-insulating GaAs. The formation energy of V{sub As}V{sub Ga} complex defect is found to decrease from surface to interior gradually. The conduction band minima and valence band maxima of GaAs (001) surface with the V{sub As}V{sub Ga} complex defect are all located at Γ point, and some defect levels are produced in the forbidden band. In contrast, the conduction band minima and valence band maxima of GaAs with the interior V{sub As}V{sub Ga} complex defect are not located at the same k-point, so it might involve the change of momentum in the electron transition process. The research will help strengthen the understanding of photoelectronic properties and effectively guide the preparation of the SI-GaAs materials.

  4. Structural and morphological TEM characterization of GaAs based nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Soda, Marcello

    2012-02-03

    The question of a structural and morphological characterization of GaAs based nanowires is the research interest of this thesis. For this purpose standard and analytical transmission electron microscopy techniques were employed. New investigation methodologies are introduced in order to obtain a reliable interpretation of the results. The principal motivation on developing a new investigation method is the necessity to relate the results of crystal structure and morphology characterizations to microscopic and NW-specific parameters and not to macroscopic and general growth parameters. This allows a reliable comparison of NW characteristics and enhances the comprehension of their growth mechanism.The analysis of the results on crystal structure investigations, assuming this new perspective, delivers the fundamental finding that the axial growth of Au-assisted GaAs NWs can change in a pseudo Ga-assisted growth due to a non steady-state regime of the Ga accumulation process in the liquid droplet. The attempt to associate the observed crystal structures to one of these two growth modes reveals that zinc blende segments are most probably generated when a pseudo Ga-assisted growth occurs. This experimental evidence is in accordance with investigations developed by Glas et al. and Spirkoska et al. and with the current understanding of the NW growth mechanism and unifies the interpretation of catalytic growth of GaAs NWs. A Mn doped GaAs shell deposited at low temperature on core GaAs NWs is characterized for the first time. The growth is found to be epitaxial and to confer the quality of the core crystal to the shell crystal. As a consequence a high stacking fault density of the core NW limits the temperature of the shell growth due to the formation of clusters. Cross sections of (Ga,Mn)As shells are investigated. Simple kinetic and thermodynamical considerations lead to the conclusion of morphological instability of the low temperature radial growth. Analytical

  5. Andreev reflections at interfaces between delta-doped GaAs and superconducting Al films

    DEFF Research Database (Denmark)

    Taboryski, Rafael Jozef; Clausen, Thomas; Hansen, Jørn Bindslev

    1996-01-01

    By placing several Si delta-doped layers close to the surface of a GaAs molecular beam epitaxy-grown crystal, we achieve a compensation of the Schottky barrier and obtain a good Ohmic contact between an in situ deposited (without breaking the vacuum) Al metallization layer and a highly modulation...

  6. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in ``avalanche`` mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into ``avalanche`` mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  7. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  8. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1990-01-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential of GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into an avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large are (1 sq cm) and small area (<1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs., 11 figs.

  9. Subnanosecond photoconductive switching in GaAs

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in 'avalanche' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into 'avalanche' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (less than 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300-1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on, and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation.

  10. Growth of GaAs “nano ice cream cones” by dual wavelength pulsed laser ablation

    Science.gov (United States)

    Schamp, C. T.; Jesser, W. A.; Shivaram, B. S.

    2007-05-01

    Harmonic generation crystals inherently offer the possibility of using multiple wavelengths of light in a single laser pulse. In the present experiment, the fundamental (1064 nm) and second harmonic (532 nm) wavelengths from an Nd:YAG laser are focused together on GaAs and GaSb targets for ablation. Incident energy densities up to about 45 J/cm 2 at 10 Hz with substrate temperatures between 25 and 600 °C for durations of about 60 s have been used in an ambient gas pressure of about 10 -6 Torr. The ablated material was collected on electron-transparent amorphous carbon films for TEM analysis. Apart from a high density of isolated nanocrystals, the most common morphology observed consists of a crystalline GaAs cone-like structure in contact with a sphere of liquid Ga, resembling an "ice cream cone", typically 50-100 nm in length. For all of the heterostuctures of this type, the liquid/solid/vacuum triple junction is found to correspond to the widest point on the cone. These heterostructures likely form by preferential evaporation of As from molten GaAs drops ablated from the target. The resulting morphology minimizes the interfacial and surface energies of the liquid Ga and solid GaAs.

  11. Disruption of Higher Order DNA Structures in Friedreich's Ataxia (GAA)(n) Repeats by PNA or LNA Targeting

    DEFF Research Database (Denmark)

    Bergquist, Helen; Rocha, Cristina S. J.; Alvarez-Asencio, Ruben

    2016-01-01

    Expansion of (GAA)n repeats in the first intron of the Frataxin gene is associated with reduced mRNA and protein levels and the development of Friedreich’s ataxia. (GAA)n expansions form non-canonical structures, including intramolecular triplex (H-DNA), and R-loops and are associated with epigen...

  12. Superconductivity and its pressure variation in GaAs

    International Nuclear Information System (INIS)

    Nirmala Louis, C.; Jayam, Sr. Gerardin; Amalraj, A.

    2005-01-01

    The electronic band structure, metallization, phase transition and superconducting transition of gallium arsenide under pressure are studied using TB-LMTO method. Metallization occurs via indirect closing of band gap between Γ and X points. GaAs becomes superconductor under high pressure but before that it undergoes structural phase transition from ZnS phase to NaCl phase. The ground state properties are analyzed by fitting the calculated total energies to the Birch-Murnaghan's equation of state. The superconducting transition temperatures (T c ) obtained as a function of pressure for both the ZnS and NaCl structures and GaAs comes under the class of pressure induced superconductor. When pressure is increased T c increases in both the normal and high pressure structures. The dependence of T c on electron-phonon mass enhancement factor λ shows that GaAs is an electron-phonon-mediated superconductor. Also it is found that GaAs retained in their normal structure under high pressure give appreciably high T c . (author)

  13. Structural and optical properties of vapor-etched porous GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Smida, A.; Laatar, F. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Hassen, M., E-mail: mhdhassen@yahoo.fr [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Applied Science and Technology of Sousse, City Taffala (Ibn Khaldun), 4003 Sousse (Tunisia); Ezzaouia, H. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2016-08-15

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO{sub 3} as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  14. Structural and optical properties of vapor-etched porous GaAs

    International Nuclear Information System (INIS)

    Smida, A.; Laatar, F.; Hassen, M.; Ezzaouia, H.

    2016-01-01

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO 3 as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  15. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kuznetsov, P. I.; Nikolaev, S. N.; Onistchenko, E. E.; Pruchkina, A. A.; Temiryazev, A. G. [Russian Academy of Sciences, Kotel’nikov Institute of Radio-Engineering and Electronics (Russian Federation)

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing does not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.

  16. Emission of circularly polarized recombination radiation from p-doped GaAs and GaAs0.62P0.38 under the impact of polarized electrons

    International Nuclear Information System (INIS)

    Fromme, B.; Baum, G.; Goeckel, D.; Raith, W.

    1989-01-01

    Circularly polarized light is emitted in radiative transitions of polarized electrons from the conduction to the valence band in GaAs or GaAs 1-x P x crystals. The degree of light polarization is directly related to the polarization of the conduction-band electrons at the instant of recombination and allows conclusions about the depolarization of electrons in the conduction band. The depolarization is caused by spin-relaxation processes. The efficiency of these processes depends on crystal type, crystal temperature, degree of doping, and kinetic energy of the electrons. Highly p-doped GaAs and GaAs 0.62 P 0.38 crystals (N A >1x10 19 atoms/cm 3 ) were bombarded with polarized electrons (initial polarization 38%), and the spectral distribution and the circular polarization of the emitted recombination radiation were measured. The initial kinetic energy of the electrons in the conduction band was varied between 5 and 1000 eV. The measurements of the spectral distribution show that the electrons are thermalized before recombination occurs, independent of their initial energy. An important thermalization process in this energy range is the excitation of crystal electrons by electron-hole pair creation. The circular polarization of the recombination radiation lies below 1% in the whole energy range. It decreases with increasing electron energy but is still of measurable magnitude at 100 eV in the case of GaAs 0.62 P 0.38 . The circular polarization is smaller for GaAs than for GaAs 0.62 P 0.38 , which we attribute to more efficient spin relaxation in GaAs

  17. Femtosecond pulsed laser ablation of GaAs

    International Nuclear Information System (INIS)

    Trelenberg, T.W.; Dinh, L.N.; Saw, C.K.; Stuart, B.C.; Balooch, M.

    2004-01-01

    The properties of femtosecond-pulsed laser deposited GaAs nanoclusters were investigated. Nanoclusters of GaAs were produced by laser ablating a single crystal GaAs target in vacuum or in a buffer gas using a Ti-sapphire laser with a 150 fs minimum pulse length. For in-vacuum deposition, X-ray diffraction (XRD), scanning electron microscopy (SEM), and atomic force microscopy (AFM) revealed that the average cluster size was approximately 7 nm for laser pulse lengths between 150 fs and 25 ps. The average cluster size dropped to approximately 1.5 nm at a pulse length of 500 ps. It was also observed that film thickness decreased with increasing laser pulse length. A reflective coating, which accumulated on the laser admission window during ablation, reduced the amount of laser energy reaching the target for subsequent laser shots and developed more rapidly at longer pulse lengths. This observation indicates that non-stoichiometric (metallic) ablatants were produced more readily at longer pulse lengths. The angular distribution of ejected material about the target normal was well fitted to a bi-cosine distribution of cos 47 θ+ cos 4 θ for ablation in vacuum using 150 fs pulses. XPS and AES revealed that the vacuum-deposited films contained excess amorphous Ga or As in addition to the stoichiometric GaAs nanocrystals seen with XRD. However, films containing only the GaAs nanocrystals were produced when ablation was carried out in the presence of a buffer gas with a pressure in excess of 6.67 Pa. At buffer gas pressure on the order of 1 Torr, it was found that the stoichiometry of the ablated target was also preserved. These experiments indicate that both laser pulse length and buffer gas pressure play important roles in the formation of multi-element nanocrystals by laser ablation. The effects of gas pressure on the target's morphology and the size of the GaAs nanocrystals formed will also be discussed

  18. Valence band photoemission from in-situ grown GaAs(100)-c(4 x 4)

    Czech Academy of Sciences Publication Activity Database

    Jiříček, Petr; Cukr, Miroslav; Bartoš, Igor; Adell, M.; Strasser, T.; Schattke, W.

    2006-01-01

    Roč. 56, č. 1 (2006), s. 21-26 ISSN 0011-4626. [Symposium on Surface Physics /10./. Praha, 11.07.2005-15.07.2005] R&D Projects: GA ČR(CZ) GA202/04/0994 Institutional research plan: CEZ:AV0Z10100521 Keywords : GaAs(100)-c(4X4) * surface states * band structure * structure plot Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.568, year: 2006

  19. Model experiments on growth modes and interface electronics of CuInS{sub 2}: Ultrathin epitaxial films on GaAs(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Calvet, Wolfram [Institute for Heterogeneous Materials Systems, Helmholtz-Zentrum Berlin, Hahn-Meitner-Platz 1, 14109, Berlin (Germany); Lewerenz, Hans-Joachim [Joint Center for Artificial Photosynthesis, California Institute of Technology, 1200 E. California Blvd., Pasadena, CA, 91101 (United States); Pettenkofer, Christian [Institute Silicon Photovoltaics, Helmholtz-Zentrum Berlin, Kekulestrasse 5, 12489, Berlin (Germany)

    2014-09-15

    The heterojunction formation between GaAs(100) and CuInS{sub 2} is investigated using ultraviolet photoelectron spectroscopy (UPS), X-ray photoelectron spectroscopy (XPS), and low energy electron diffraction (LEED). Thin layers of CuInS{sub 2} films were deposited in a step-by-step process on wet chemically pre-treated GaAs(100) surfaces by molecular beam epitaxy (MBE) with a total upper thickness limit of the films of 60 nm. The film growth starts from a sulfur-rich GaAs(100) surface. XPS core level analysis of the substrate and film reveals initially a transitory growth regime with the formation of a Ga containing chalcopyrite phase. With increasing film thickness, a change in stoichiometry from Cu-poor to Cu-rich composition is observed. The evaluation of the LEED data shows the occurrence of a recrystallization process where the film orientation follows that of the substrate with the epitaxial relation GaAs{100} parallel CuInS{sub 2}{001}. On the completed junction with a CuInS{sub 2} film thickness of 60 nm, the band discontinuities of the GaAs(100)/CuInS{sub 2} structure measured with XPS and UPS were determined as ΔE{sub V} = 0.1 ± 0.1 eV and ΔE{sub C} = 0.0 ± 0.1 eV, thus showing a type II band alignment. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Development of GaAs Detectors for Physics at the LHC

    CERN Multimedia

    Chu, Zhonghua; Krais, R; Rente, C; Syben, O; Tenbusch, F; Toporowsky, M; Xiao, Wenjiang; Cavallini, A; Fiori, F; Edwards, M; Geppert, R; Goppert, R; Haberla, C; Hornung, M F; Irsigler, R; Rogalla, M; Beaumont, S; Raine, C; Skillicorn, I; Margelevicius, J; Meshkinis, S; Smetana, S; Jones, B; Santana, J; Sloan, T; Zdansky, K; Alexiev, D; Donnelly, I J; Canali, C; Chiossi, C; Nava, F; Pavan, P; Kubasta, J; Tomiak, Z; Tchmil, V; Tchountonov, A; Tsioupa, I; Dogru, M; Gray, R; Hou, Yuqian; Manolopoulos, S; Walsh, S; Aizenshtadt, G; Budnitsky, D L; Gossen, A; Khludkov, S; Koretskaya, O B; Okaevitch, L; Potapov, A; Stepanov, V E; Tolbanov, O; Tyagev, A; Matulionis, A; Pozela, J; Kavaliauskiene, G; Kazukauskas, V; Kiliulis, R; Rinkevicius, V; Slenys, S; Storasta, J V

    2002-01-01

    % RD-8 Development of GaAs Detectors for Physics at the LHC \\\\ \\\\The aims of the collaboration are to investigate the available material options, performance and limitations of simple pad, pixel and microstrip GaAs detectors for minimum ionising particles with radiation hardness and speed which are competitive with silicon detectors. This new technology was originally developed within our university laboratories but now benefits from increasing industrial interest and collaboration in detector fabrication. Initial steps have also been taken towards the fabrication of GaAs preamplifiers to match the detectors in radiation hardness. The programme of work aims to construct a demonstration detector module for an LHC forward tracker based on GaAs.

  1. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  2. The nuclear reaction analysis (NRA) as a means for detecting carbon in GaAs and in source materials and additives

    International Nuclear Information System (INIS)

    Bethge, K.; Mader, A.; Michelmann, R.; Krauskopf, J.; Thee, P.; Meyer, J.D.

    1991-01-01

    The nuclear reaction ananlysis (NRA) on the basis of the reaction 12 C (d,p) 13 C is a method allowing the detection and description of both lateral and depth profiles of the presence of carbon in GaAs and in the source materials and additives. The NRA is an absolute method with a detection limit for C of approx. 4x10 15 cm 3 . The achievable detection range in depth under the experimental conditions goes from the surface down to 6 μm. Combined with channeling measurements, NRA is capable of identifying the position of carbon in the GaAs crystal lattice, and thus permits to examine the mobility of C in GaAs. (BBR) With 11 refs [de

  3. Pinning-free GaAs MIS structures with Si interface control layers formed on (4 x 6) reconstructed (0 0 1) surface

    Energy Technology Data Exchange (ETDEWEB)

    Anantathanasarn, Sanguan; Hasegawa, Hideki

    2003-06-30

    (0 0 1)-Oriented GaAs metal-insulator-semiconductor (MIS) structures having a silicon interface control layer (Si ICL) were fabricated on surfaces having Ga-rich (4x6) reconstructions. Si ICL was grown by molecular beam epitaxy. MIS structures were fabricated by partially converting Si ICL to SiN{sub x} by direct nitridation, and further depositing a thick SiO{sub 2} layer on top as the main passivation dielectric by plasma-assisted chemical vapor deposition. Reflection high-energy electron diffraction, in situ X-ray photoelectron spectroscopy and MIS capacitance-voltage (C-V) techniques were used for characterization. The initial surface reconstruction was found to have a surprisingly strong effect on the degree of Fermi level pinning at the MIS interface. In contrast to the standard As-rich (2x4) surface, which results in strongly pinned MIS interfaces, the novel SiO{sub 2}/SiN{sub x}/Si ICL/GaAs MIS structures formed on ''genuine'' (4x6) surface realized complete unpinning of Fermi level over the entire band gap with a minimum interface state density of 4x10{sup 10} cm{sup -2} eV{sup -1} range.

  4. A Initio Theoretical Studies of Surfaces of Semiconductors

    Science.gov (United States)

    Wang, Jing

    1993-01-01

    The first semiconductor which we study with these techniques is the archetypal elemental semiconductor, silicon. We present the first extensive study of point defects on Si(100). We identify the principal defects and two primary mechanisms responsible for their dominance: the need to eliminate dangling bonds on the surface and the need to compensate the strain induced by topological effects. Furthermore, we present evidence that the presence of point defects on the Si(100) surface is not intrinsic to the ground state of the surface as a stress relieving mechanism but rather is due merely to thermal fluctuations. We address materials issues associated with the identification of the lowest energy surfaces of GaAs and the determination of the geometric structure of a GaAs crystallite growing freely in three dimensions. The fracture energies associated with (110), (100) and (111) interface planes are calculated and a Wulff construction indicates that an ideal stoichiometric GaAs crystal should be terminated with (110) surfaces. We investigate the more complex issues that arise on surfaces when aspects of these two semiconductors are mixed. We investigate the problem of growing GaAs on the Si(100) surface and demonstrate how and why the most fundamental properties of the resulting bulk GaAs material, such as its crystalline orientation, may depend sensitively on the interplay between growth conditions such as temperature and the properties of the Si surface. For stepped Si(100) -As, we show that the growth of As directly on top of the Si surface produces a metastable state, while the replacement of the original top Si layer leads to a lower energy configuration, with the rearrangement of the surface driven by the relaxation of stress by surface steps. Finally, we study delta -doping, where one attempts to grow a single layer of Si on a GaAs surface before continuing with the growth of bulk GaAs. We shall employ a slightly different modality of the ab initio approach. We

  5. 35-kV GaAs subnanosecond photoconductive switches

    Science.gov (United States)

    Pocha, Michael D.; Druce, Robert L.

    1990-12-01

    High-voltage, fast-pulse generation using GaAs photoconductive switches is investigated. It is possible to to generate 35-kV pulses with risetimes as short as 135 ps using 5-mm gap switches, and electric field hold-off of greater than 100 kV/cm is achieved. An approximately 500-ps FWHM on/off electrical pulse is generated with an amplitude of approximately 3 kV using neutron-irradiated GaAs having short carrier lifetimes. Experimental results are described, and fabrication of switches and the diagnostics used to measure these fast signals are discussed. Experience with the nonlinear lock-on and avalanche modes of operation observed in GaAs is also described.

  6. Status of fully integrated GaAs particle detectors

    International Nuclear Information System (INIS)

    Braunschweig, W.; Breibach, J.; Kubicki, Th.; Luebelsmeyer, K.; Maesing, Th.; Rente, C.; Roeper, Ch.; Siemes, A.

    1999-01-01

    GaAs strip detectors are of interest because of their radiation hardness at room temperature and the high absorption coefficient of GaAs for x-rays. The detectors currently under development will be used in the VLQ-experiment at the H1 experiment at the HERA collider. This will be the first high energy physics experiment where GaAs detectors will be used. The detectors have a sensitive area of 5 x 4 cm with a pitch of 62 μ m. Due to the high density of channels the biasing resistors and coupling capacitors are integrated. For the resistors a resistive layer made of Cermet is used. The properties of the first fully integrated strip detector are presented

  7. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  8. Orientation-dependent surface core-level shifts and chemical shifts on clean and H 2S-covered GaAs

    Science.gov (United States)

    Ranke, W.; Finster, J.; Kuhr, H. J.

    1987-08-01

    Photoelectron spectra of the As 3d and Ga 3d core levels were studied in situ on a cylindrically shaped GaAs single crystal for the six inequivalent orientations (001), (113), (111), (110), (11¯1) and (11¯3). On the clean surface, prepared by molecular beam epitaxy (MBE), surface core levels are shifted by 0.25 to 0.55 eV towards smaller binding energy (BE) for As 3d and -0.25 to -0.35 eV towards higher BE for Ga, depending on orientation. Additional As causes As 3d contributions shifted between -0.45 and -0.7 eV towards higher BE. The position and intensity of them is influenced by H 2S adsorption. At 150 K, H 2S adsorbs preferentially on As sites. As chemical shifts appear at -0.6 to -0.9 eV towards higher BE. Simultaneously, As accumulation occurs on all orientations with the exception of (110). High temperature adsorption (550 K, 720 K) influences mainly the Ga 3d peaks. Two peaks shifted by about -0.45 and -0.8 eV towards higher Be were found which are attributed to Ga atoms with one or two sulfur ligands, respectively. At 720 K, also As depletion is observed. The compatibility of surface core-level positions and intensities with recent structural models for the (111) and (11¯1) surfaces is discussed.

  9. Shallow Levels Characterization in Epitaxial GaAs by Acousto-Optic Reflectance Shallow Levels Characterization in Epitaxial GaAs by Acousto-Optic Reflectance

    Directory of Open Access Journals (Sweden)

    O. G. Ibarra-Manzano

    2012-02-01

    Full Text Available Optical spectra of light reflection are detected under an influence of ultrasonic wave (UWon a GaAs wafer. The differential spectrum is calculated as a difference between those taken under UW and without that influence on a sample. This acousto-optic differential reflectance(AODR spectrum contains some bands that represent the energetic levels of the shallow centers in a sample. A physical basis of this technique is related to a perturbation of local states by UW. Here, a method is developed for characterization of local states at the surfaces and interfaces in crystals and low-dimensional epitaxial structures based on microelectronics materials. A theoretical model is presented to explain AODR spectra. Also, experiments using epitaxial GaAs structures doped by Te were made. Finally, theoretical and experimental results show that acousto-optic reflectance is an effective tool for characterization of shallow trapping centers in epitaxial semiconductor structures.En este trabajo, utilizamos el espectro de la luz reflejada en una muestra de Arsenuro de Galio (GaAs bajo la influencia de una onda ultrasónica. El diferencial espectral es calculado como una diferencia entre el espectro del material obtenido bajo la influencia del ultrasonido y aquél obtenido sin dicha influencia. Este diferencial de reflectancia espectral acusto-óptico (AODR contiene algunas bandas que representan los niveles energéticos de los centros en la superficie de la muestra. Esta técnica está basada en la perturbación de los estados locales generada por el ultrasonido. Particularmente, este trabajo presenta un método para caracterizar los estados locales en la superficie y las interfaces en los cristales, así como estructuras epiteliales de baja dimensión basadas en materiales semiconductores. Para ello, se presenta un modelo teórico para explicar dicho espectro de reflectancia diferencial (AODR. También se realizaron experimentos con estructuras de GaAs epitelial

  10. Electrons, holes, and excitons in GaAs polytype quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Climente, Juan I.; Segarra, Carlos; Rajadell, Fernando; Planelles, Josep, E-mail: josep.planelles@uji.es [Departament de Química Física i Analítica, Universitat Jaume I, E-12080 Castelló (Spain)

    2016-03-28

    Single and multi-band k⋅p Hamiltonians for GaAs crystal phase quantum dots are used to assess ongoing experimental activity on the role of such factors as quantum confinement, spontaneous polarization, valence band mixing, and exciton Coulomb interaction. Spontaneous polarization is found to be a dominating term. Together with the control of dot thickness [Vainorius et al., Nano Lett. 15, 2652 (2015)], it enables wide exciton wavelength and lifetime tunability. Several new phenomena are predicted for small diameter dots [Loitsch et al., Adv. Mater. 27, 2195 (2015)], including non-heavy hole ground state, strong hole spin admixture, and a type-II to type-I exciton transition, which can be used to improve the absorption strength and reduce the radiative lifetime of GaAs polytypes.

  11. Recoil-ion fractions in collisions of keV Ar sup + and Kr sup + ions with clean and adsorbate covered GaAs(1 1 0) surfaces

    CERN Document Server

    Gayone, J E; Grizzi, O; Vergara, L I; Passeggi, M C G; Vidal, R; Ferron, J

    2002-01-01

    Ion scattering and recoiling spectroscopy with time of flight analysis is used to study the ion fractions of Ga and As atoms recoiled in collisions of 5 keV Ar sup + and Kr sup + with clean GaAs(1 1 0) and with GaAs(1 1 0) covered with H, alkali metals (K and Cs) and fluorides (AlF sub 3). For the case of the clean surface, the Ga ion fraction is positive, large (approx 50%) and independent of the projectile type. The As ion fraction is also positive, low for Ar sup + (<10%) and relatively large (25%) for Kr sup + projectiles. The adsorption of H produces slight changes in both the As and Ga ion fractions, which is in agreement with the adsorption model where H reacts with both As and Ga atoms. The adsorption of alkalis produces strong changes in the ion fractions. At the beginning of the alkali adsorption the neutralization of Ga recoils increases fast with the coverage and follows approximately the variation of the work function. At coverages above half of the saturation value, where the work function ha...

  12. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Klimov, E. A. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Vasiliev, A. L.; Imamov, R. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics,” (Russian Federation); Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Trunkin, I. N. [National Research Centre “Kurchatov Institute” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation)

    2017-01-15

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers of arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.

  13. Implantation annealing in GaAs by incoherent light

    International Nuclear Information System (INIS)

    Davies, D.E.; Ryan, T.G.; Soda, K.J.; Comer, J.J.

    1983-01-01

    Implanted GaAs has been successfully activated through concentrating the output of quartz halogen lamps to anneal in times of the order of 1 sec. The resulting layers are not restricted by the reduced mobilities and thermal instabilities of laser annealed GaAs. Better activation can be obtained than with furnace annealing but this generally requires maximum temperatures >= 1050degC. (author)

  14. Anion-antisite defects in GaAs: As and Sb

    International Nuclear Information System (INIS)

    Caldas, M.J.; Fazzio, A.; Dabrowski, J.; Scheffler, M.

    1990-01-01

    We present results of self-consistent, first-principles calculations of total energies for As Ga and Sb Ga in GaAs. We confirm that both impurities in the substitutional T d site behave as double donors, and the first internal excitation appears at around 1 eV. For the neutral systems we obtain a metastable minimum in the total energy surface in a configuration with the impurity atom displaced toward the interstitial site; the transformation to this metastable configuration, however, is not expected to be operative for the Sb Ga defect

  15. Growth and characteristics of p-type doped GaAs nanowire

    Science.gov (United States)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  16. Surface passivation technology for III-V semiconductor nanoelectronics

    International Nuclear Information System (INIS)

    Hasegawa, Hideki; Akazawa, Masamichi

    2008-01-01

    The present status and key issues of surface passivation technology for III-V surfaces are discussed in view of applications to emerging novel III-V nanoelectronics. First, necessities of passivation and currently available surface passivation technologies for GaAs, InGaAs and AlGaAs are reviewed. Then, the principle of the Si interface control layer (ICL)-based passivation scheme by the authors' group is introduced and its basic characterization is presented. Ths Si ICL is a molecular beam epitaxy (MBE)-grown ultrathin Si layer inserted between III-V semiconductor and passivation dielectric. Finally, applications of the Si ICL method to passivation of GaAs nanowires and GaAs nanowire transistors and to realization of pinning-free high-k dielectric/GaAs MOS gate stacks are presented

  17. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  18. Pseudo-Rhombus-Shaped Subwavelength Crossed Gratings of GaAs for Broadband Antireflection

    International Nuclear Information System (INIS)

    Chen Xi; Zhang Jing; Song Guo-Feng; Chen Liang-Hui; Fan Zhong-Chao

    2010-01-01

    Holographic lithography coupled with the nonlinear response of photoresist to the exposure is adopted to fabricate porous photoresist (PR) mask. Conventional dot PR mask is also generated, and both patterns are transferred into a underlying GaAs substrate by the optimal dry etching process to obtain tapered subwavelength crossed gratings (SWCGs) to mimic the moth-eye structure. In comparison of the experiment and simulation, the closely-packed pseudo-rhombus-shaped GaAs SWCGs resulting from the porous mask outperforms the conical counterpart which comes from the dot mask, and achieves a reported lowest mean spectral reflectance of 1.1%. (fundamental areas of phenomenology(including applications))

  19. Observation of linear I-V curves on vertical GaAs nanowires with atomic force microscope

    Science.gov (United States)

    Geydt, P.; Alekseev, P. A.; Dunaevskiy, M.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2015-12-01

    In this work we demonstrate the possibility of studying the current-voltage characteristics for single vertically standing semiconductor nanowires on standard AFM equipped by current measuring module in PeakForce Tapping mode. On the basis of research of eight different samples of p-doped GaAs nanowires grown on different GaAs substrates, peculiar electrical effects were revealed. It was found how covering of substrate surface by SiOx layer increases the current, as well as phosphorous passivation of the grown nanowires. Elimination of the Schottky barrier between golden cap and the top parts of nanowires was observed. It was additionally studied that charge accumulation on the shell of single nanowires affects its resistivity and causes the hysteresis loops on I-V curves.

  20. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    Science.gov (United States)

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  1. Two-Photon Pumped Synchronously Mode-Locked Bulk GaAs Laser

    Science.gov (United States)

    Cao, W. L.; Vaucher, A. M.; Ling, J. D.; Lee, C. H.

    1982-04-01

    Pulses 7 picoseconds or less in duration have been generated from a bulk GaAs crystal by a synchronous mode-locking technique. The GaAs crystal was optically pumped by two-photon absorption of the emission from a mode-locked Nd:glass laser. Two-photon absorption as the means of excitation increases the volume of the gain medium by increasing the pene-tration depth of the pump intensity, enabling generation of intra-cavity pulses with peak power in the megawatt range. Tuning of the wavelength of the GaAs emission is achieved by varying the temperature. A tuning range covering 840 nm to 885 nm has been observed over a temperature range from 97°K to 260°K. The intensity of the GaAs emission has also been observed to decrease as the temperature of the crystal is increased.

  2. Growth and electronic properties of two-dimensional systems on (110) oriented GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Fischer, F.

    2005-07-01

    As the only non-polar plane the (110) surface has a unique role in GaAs. Together with Silicon as a dopant it is an important substrate orientation for the growth of n-type or p-type heterostructures. As a consequence, this thesis will concentrate on growth and research on that surface. In the course of this work we were able to realize two-dimensional electron systems with the highest mobilities reported so far on this orientation. Therefore, we review the necessary growth conditions and the accompanying molecular process. The two-dimensional electron systems allowed the study of a new, intriguing transport anisotropy not explained by current theory. Moreover, we were the first growing a two-dimensional hole gas on (110) GaAs with Si as dopant. For this purpose we invented a new growth modulation technique necessary to retrieve high mobility systems. In addition, we discovered and studied the metal-insulator transition in thin bulk p-type layers on (110) GaAs. Besides we investigated the activation process related to the conduction in the valence band and a parallelly conducting hopping band. The new two-dimensional hole gases revealed interesting physics. We studied the zero B-field spin splitting in these systems and compared it with the known theory. Furthermore, we investigated the anisotropy of the mobility. As opposed to the expectations we observed a strong persistent photoconductivity in our samples. Landau levels for two dimensional hole systems are non-linear and can show anticrossings. For the first time we were able to resolve anticrossings in a transport experiment and study the corresponding activation process. Finally, we compared these striking results with theoretical calculations. (orig.)

  3. Quantum Dots obtained by LPE from under-saturated In-As liquid phases on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz F E; Mishurnyi V; Gorbatchev A; De Anda F [Universidad Autonoma de San Luis Potosi, Instituto de Investigacion en Comunicacion Optica, Av. Karacorum 1470, Col. Lomas 4a Sec., CP 78210San Luis PotosI (Mexico); Prutskij T, E-mail: fcoe_ov@prodigy.net.mx, E-mail: andre@cactus.iico.uaslp.mx [BUAP, Instituto de Ciencias, Apartado Postal 207, 72000, Puebla (Mexico)

    2011-01-01

    In this work we inform about quantum dots (QD) obtained by Liquid Phase Epitaxy (LPE) on GaAs substrates from under-saturated In-As liquid phases. In our processes, we have prepared saturated In-rich liquid phases by dissolving an InAs wafer at one of the temperatures interval from 450 to 414 C for 60 minutes. The contact between In-As liquid phase and the GaAs substrate was always done at a constant temperature of 444 C for 5 seconds. Thus, the growth temperature for most of the samples was higher than the liquidus temperature. We think that the growth driving force is related to a transient process that occurs when the system is trying to reach equilibrium. Under the atom force microscope (AFM) we have observed nano-islands on the surfaces of the samples obtained from under-saturated liquid phases prepared at 438, 432 and 426 C. The 25 K photoluminescence spectrum shows a peak at a 1.33 eV, in addition to the GaAs related line.

  4. Variations in first principles calculated defect energies in GaAs and ...

    Indian Academy of Sciences (India)

    Keywords. Ab initio calculations; semi-insulating GaAs; point defects. ... We are focusing on gallium arsenide. .... gallium vacancy in S & L, P et al and N & Z will exist in triple ... gallium antisite defect that include relaxation, a negative. U-effect is ...

  5. Temperature dependent magnetic properties of the GaAs substrate of spin-LEDs

    International Nuclear Information System (INIS)

    Ney, A; Harris, J S Jr; Parkin, S S P

    2006-01-01

    The temperature dependence of the magnetization of a light emitting diode having a ferromagnetic contact (spin-LED) is measured from 2 to 300 K in magnetic fields from 30 to 70 kOe and it is found that it originates from the GaAs substrate. The magnetization of GaAs comprises a van Vleck-type paramagnetic contribution to the susceptibility which scales inversely with the band gap of the semiconductor. Thus, the temperature dependence of the band gap of GaAs accounts for the non-linear temperature dependent magnetic susceptibility of GaAs and thus, at large magnetic fields, for the spin-LED

  6. GaAs on Si epitaxy by aspect ratio trapping: Analysis and reduction of defects propagating along the trench direction

    Energy Technology Data Exchange (ETDEWEB)

    Orzali, Tommaso, E-mail: tommaso.orzali@sematech.org; Vert, Alexey; O' Brien, Brendan; Papa Rao, Satyavolu S. [SEMATECH, 257 Fuller Rd Suite 2200, Albany, New York 12203 (United States); Herman, Joshua L.; Vivekanand, Saikumar [College of Nanoscale Science and Engineering, SUNY Polytechnic Institute, 251 Fuller Road, Albany, New York 12203 (United States); Hill, Richard J. W. [Now at Micron Technologies, 8000 S Federal Way, Boise, Idaho 83716 (United States); Karim, Zia [AIXTRON, Inc., 1139 Karlstad Dr., Sunnyvale, California 94089 (United States)

    2015-09-14

    The Aspect Ratio Trapping technique has been extensively evaluated for improving the quality of III-V heteroepitaxial films grown on Si, due to the potential for terminating defects at the sidewalls of SiO{sub 2} patterned trenches that enclose the growth region. However, defects propagating along the trench direction cannot be effectively confined with this technique. We studied the effect of the trench bottom geometry on the density of defects of GaAs fins, grown by metal-organic chemical vapor deposition on 300 mm Si (001) wafers inside narrow (<90 nm wide) trenches. Plan view and cross sectional Scanning Electron Microscopy and Transmission Electron Microscopy, together with High Resolution X-Ray Diffraction, were used to evaluate the crystal quality of GaAs. The prevalent defects that reach the top surface of GaAs fins are (111) twin planes propagating along the trench direction. The lowest density of twin planes, ∼8 × 10{sup 8 }cm{sup −2}, was achieved on “V” shaped bottom trenches, where GaAs nucleation occurs only on (111) Si planes, minimizing the interfacial energy and preventing the formation of antiphase boundaries.

  7. Photon counting microstrip X-ray detectors with GaAs sensors

    Science.gov (United States)

    Ruat, M.; Andrä, M.; Bergamaschi, A.; Barten, R.; Brückner, M.; Dinapoli, R.; Fröjdh, E.; Greiffenberg, D.; Lopez-Cuenca, C.; Lozinskaya, A. D.; Mezza, D.; Mozzanica, A.; Novikov, V. A.; Ramilli, M.; Redford, S.; Ruder, C.; Schmitt, B.; Shi, X.; Thattil, D.; Tinti, G.; Tolbanov, O. P.; Tyazhev, A.; Vetter, S.; Zarubin, A. N.; Zhang, J.

    2018-01-01

    High-Z sensors are increasingly used to overcome the poor efficiency of Si sensors above 15 keV, and further extend the energy range of synchrotron and FEL experiments. Detector-grade GaAs sensors of 500 μm thickness offer 98% absorption efficiency at 30 keV and 50% at 50 keV . In this work we assess the usability of GaAs sensors in combination with the MYTHEN photon-counting microstrip readout chip developed at PSI. Different strip length and pitch are compared, and the detector performance is evaluated in regard of the sensor material properties. Despite increased leakage current and noise, photon-counting strips mounted with GaAs sensors can be used with photons of energy as low as 5 keV, and exhibit excellent linearity with energy. The charge sharing is doubled as compared to silicon strips, due to the high diffusion coefficient of electrons in GaAs.

  8. Linearity of photoconductive GaAs detectors to pulsed electrons

    International Nuclear Information System (INIS)

    Ziegler, L.H.

    1995-01-01

    The response of neutron damaged GaAs photoconductor detectors to intense, fast (50 psec fwhm) pulses of 16 MeV electrons has been measured. Detectors made from neutron damaged GaAs are known to have reduced gain, but significantly improved bandwidth. An empirical relationship between the observed signal and the incident electron fluence has been determined

  9. A model for arsenic anti-site incorporation in GaAs grown by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schulte, K. L.; Kuech, T. F. [Department of Chemical and Biological Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2014-12-28

    GaAs growth by hydride vapor phase epitaxy (HVPE) has regained interest as a potential route to low cost, high efficiency thin film photovoltaics. In order to attain the highest efficiencies, deep level defect incorporation in these materials must be understood and controlled. The arsenic anti-site defect, As{sub Ga} or EL2, is the predominant deep level defect in HVPE-grown GaAs. In the present study, the relationships between HVPE growth conditions and incorporation of EL2 in GaAs epilayers were determined. Epitaxial n-GaAs layers were grown under a wide range of deposition temperatures (T{sub D}) and gallium chloride partial pressures (P{sub GaCl}), and the EL2 concentration, [EL2], was determined by deep level transient spectroscopy. [EL2] agreed with equilibrium thermodynamic predictions in layers grown under conditions in which the growth rate, R{sub G}, was controlled by conditions near thermodynamic equilibrium. [EL2] fell below equilibrium levels when R{sub G} was controlled by surface kinetic processes, with the disparity increasing as R{sub G} decreased. The surface chemical composition during growth was determined to have a strong influence on EL2 incorporation. Under thermodynamically limited growth conditions, e.g., high T{sub D} and/or low P{sub GaCl}, the surface vacancy concentration was high and the bulk crystal was close to equilibrium with the vapor phase. Under kinetically limited growth conditions, e.g., low T{sub D} and/or high P{sub GaCl}, the surface attained a high GaCl coverage, blocking As adsorption. This competitive adsorption process reduced the growth rate and also limited the amount of arsenic that incorporated as As{sub Ga}. A defect incorporation model which accounted for the surface concentration of arsenic as a function of the growth conditions, was developed. This model was used to identify optimal growth parameters for the growth of thin films for photovoltaics, conditions in which a high growth rate and low [EL2] could be

  10. Transient photoluminescence decay investigations of LPE GaAs heteroface solar cells

    International Nuclear Information System (INIS)

    Wettling, W.; Ehrhardt, A.; Brett, A.; Lutz, F.

    1990-01-01

    The transient photoluminescence decay (PLD) is investigated as a technique for the quality control of GaAs solar cells. An analytic expression for the PL intensity is derived from the time dependent continuity equation for minority carrier concentration in the emitter by the Fourier transform method. On both sides of the emitter, i.e. at the interface to the window layer and to the space charge region, surface recombination velocities that can vary between 0 and ∞ are allowed as boundary conditions. Experiments were performed using a mode-locked and cavity dumped laser as excitation source and an optical sampling oscilloscope as detector for the transient PL. PLD from GaAs wafers and solar cells was measured with time resolution of down to 20 ps for various intensities of laser excitation and (for the cells) under open-circuit and short-circuit condition. The results are discussed in respect to the theory together with a model of local internal boundary conditions at the junction near the exciting laser beam

  11. Imaging performance of a Timepix detector based on semi-insulating GaAs

    Science.gov (United States)

    Zaťko, B.; Zápražný, Z.; Jakůbek, J.; Šagátová, A.; Boháček, P.; Sekáčová, M.; Korytár, D.; Nečas, V.; Žemlička, J.; Mora, Y.; Pichotka, M.

    2018-01-01

    This work focused on a Timepix chip [1] coupled with a bulk semi-insulating GaAs sensor. The sensor consisted of a matrix of 256 × 256 pixels with a pitch of 55 μm bump-bonded to a Timepix ASIC. The sensor was processed on a 350 μm-thick SI GaAs wafer. We carried out detector adjustment to optimize its performance. This included threshold equalization with setting up parameters of the Timepix chip, such as Ikrum, Pream, Vfbk, and so on. The energy calibration of the GaAs Timepix detector was realized using a 241Am radioisotope in two Timepix detector modes: time-over-threshold and threshold scan. An energy resolution of 4.4 keV in FWHM (Full Width at Half Maximum) was observed for 59.5 keV γ-photons using threshold scan mode. The X-ray imaging quality of the GaAs Timepix detector was tested using various samples irradiated by an X-ray source with a focal spot size smaller than 8 μm and accelerating voltage up to 80 kV. A 700 μm × 700 μm gold testing object (X-500-200-16Au with Siemens star) fabricated with high precision was used for the spatial resolution testing at different values of X-ray image magnification (up to 45). The measured spatial resolution of our X-ray imaging system was about 4 μm.

  12. Diffusion of Ni, Ga, and As in the surface layer of GaAs and characteristics of the Ni/GaAs contact

    International Nuclear Information System (INIS)

    Uskov, V.A.; Fedotov, A.B.; Erofeeva, E.A.; Rodionov, A.I.; Dzhumakulov, D.T.

    1987-01-01

    The authors investigate the low-temperature codiffusion of Ni, Ga, and As in the surface layer of gallium arsenide and study its effect on the current-voltage characteristics of a Ni/GaAs rectifier contact. The concentration distribution of atoms in the function layer of a Ni-GaAs system was investigated by the methods of layerwise radiometric and neutron-activation analyses. It was found that interdiffusion of components takes place in the Ni-GaAs system in an elastic stress field, generated by the differences in the lattice parameters and thermal-expansion coefficients of Ni, GaAs, and the intermetallic compound which form. The form and parameters of the current-voltage characteristics of a Ni/GaAs contact are determined by the phase composition and the structure of the junction layer

  13. Optical pumping and negative luminescence polarization in charged GaAs quantum dots

    Science.gov (United States)

    Shabaev, Andrew; Stinaff, Eric A.; Bracker, Allan S.; Gammon, Daniel; Efros, Alexander L.; Korenev, Vladimir L.; Merkulov, Igor

    2009-01-01

    Optical pumping of electron spins and negative photoluminescence polarization are observed when interface quantum dots in a GaAs quantum well are excited nonresonantly by circularly polarized light. Both observations can be explained by the formation of long-lived dark excitons through hole spin relaxation in the GaAs quantum well prior to exciton capture. In this model, optical pumping of resident electron spins is caused by capture of dark excitons and recombination in charged quantum dots. Negative polarization results from accumulation of dark excitons in the quantum well and is enhanced by optical pumping. The dark exciton model describes the experimental results very well, including intensity and bias dependence of the photoluminescence polarization and the Hanle effect.

  14. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    Science.gov (United States)

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  15. Influence of a Thiolate Chemical Layer on GaAs (100 Biofunctionalization: An Original Approach Coupling Atomic Force Microscopy and Mass Spectrometry Methods

    Directory of Open Access Journals (Sweden)

    Alex Bienaime

    2013-10-01

    Full Text Available Widely used in microelectronics and optoelectronics; Gallium Arsenide (GaAs is a III-V crystal with several interesting properties for microsystem and biosensor applications. Among these; its piezoelectric properties and the ability to directly biofunctionalize the bare surface, offer an opportunity to combine a highly sensitive transducer with a specific bio-interface; which are the two essential parts of a biosensor. To optimize the biorecognition part; it is necessary to control protein coverage and the binding affinity of the protein layer on the GaAs surface. In this paper; we investigate the potential of a specific chemical interface composed of thiolate molecules with different chain lengths; possessing hydroxyl (MUDO; for 11-mercapto-1-undecanol (HS(CH211OH or carboxyl (MHDA; for mercaptohexadecanoic acid (HS(CH215CO2H end groups; to reconstitute a dense and homogeneous albumin (Rat Serum Albumin; RSA protein layer on the GaAs (100 surface. The protein monolayer formation and the covalent binding existing between RSA proteins and carboxyl end groups were characterized by atomic force microscopy (AFM analysis. Characterization in terms of topography; protein layer thickness and stability lead us to propose the 10% MHDA/MUDO interface as the optimal chemical layer to efficiently graft proteins. This analysis was coupled with in situ MALDI-TOF mass spectrometry measurements; which proved the presence of a dense and uniform grafted protein layer on the 10% MHDA/MUDO interface. We show in this study that a critical number of carboxylic docking sites (10% is required to obtain homogeneous and dense protein coverage on GaAs. Such a protein bio-interface is of fundamental importance to ensure a highly specific and sensitive biosensor.

  16. The GaAs electron source: simulations and experiments

    International Nuclear Information System (INIS)

    Aleksandrov, A.V.; Ciullo, G.; Guidi, V.; Kudelainen, V.I.; Lamanna, G.; Lenisa, P.; Logachov, P.V.; Maciga, B.; Novokhatsky, A.; Tecchio, L.; Yang, B.

    1994-01-01

    In this paper we calculate electron emission from GaAs photocathodes using the Monte Carlo technique. Typical data of energy spread of the electron beam are presented. For photoenergy ranging from 1.6 to 2.1 eV, the calculated longitudinal and transverse energy spreads are 14.4-78 and 4-14.7 meV respectively. Temporal measurement of GaAs photocathodes has been performed. The preliminary results show that the temporal response is faster than 200 ps. (orig.)

  17. 35-kV GaAs subnanosecond photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Pocha, M.D.; Druce, R.L. (Lawrence Livermore National Lab., CA (United States))

    1990-12-01

    Photoconductive switches are one of the few devices that allow the generation of high-voltage electrical pulses with subnanosecond rise time. The authors are exploring high-voltage, fast-pulse generation using GaAs photoconductive switches. They have been able to generate 35-kV pulses with rise times as short as 135 ps using 5-mm gap switches and have achieved electric field hold-off of greater than 100 kV/cm. They have also been able to generate an approximately 500-ps FWHM on/off electrical pulse with an amplitude of approximately 3 kV using neutron-irradiated GaAs having short carrier life times. This paper describes the experimental results and discusses fabrication of switches and the diagnostics used to measure these fast signals. They also describe the experience with the nonlinear lock-on and avalanche modes of operation observed in GaAs.

  18. Multiband corrections for the semi-classical simulation of interband tunneling in GaAs tunnel junctions

    Science.gov (United States)

    Louarn, K.; Claveau, Y.; Hapiuk, D.; Fontaine, C.; Arnoult, A.; Taliercio, T.; Licitra, C.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2017-09-01

    The aim of this study is to investigate the impact of multiband corrections on the current density in GaAs tunnel junctions (TJs) calculated with a refined yet simple semi-classical interband tunneling model (SCITM). The non-parabolicity of the considered bands and the spin-orbit effects are considered by using a recently revisited SCITM available in the literature. The model is confronted to experimental results from a series of molecular beam epitaxy grown GaAs TJs and to numerical results obtained with a full quantum model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We emphasize the importance of considering the non-parabolicity of the conduction band by two different measurements of the energy-dependent electron effective mass in N-doped GaAs. We also propose an innovative method to compute the non-uniform electric field in the TJ for the SCITM simulations, which is of prime importance for a successful operation of the model. We demonstrate that, when considering the multiband corrections and this new computation of the non-uniform electric field, the SCITM succeeds in predicting the electrical characteristics of GaAs TJs, and are also in agreement with the quantum model. Besides the fundamental study of the tunneling phenomenon in TJs, the main benefit of this SCITM is that it can be easily embedded into drift-diffusion software, which are the most widely-used simulation tools for electronic and opto-electronic devices such as multi-junction solar cells, tunnel field-effect transistors, or vertical-cavity surface-emitting lasers.

  19. N/P GaAs concentrator solar cells with an improved grid and bushbar contact design

    International Nuclear Information System (INIS)

    Desalvo, G.C.; Mueller, E.H.; Barnett, A.M.

    1985-01-01

    The major requirements for a solar cell used in space applications are high efficiency at AMO irradiance and resistance to high energy radiation. Gallium arsenide, with a band gap of 1.43 eV, is one of the most efficient sunlight to electricity converters (25%) when the the simple diode model is used to calculate efficiencies at AMO irradiance, GaAs solar cells are more radiation resistant than silicon solar cells and the N/P GaAs device has been reported to be more radiation resistant than similar P/N solar cells. This higher resistance is probably due to the fact that only 37% of the current is generated in the top N layer of the N/P cell compared to 69% in the top layer of a P/N solar cell. This top layer of the cell is most affected by radiation. It has also been theoretically calculated that the optimized N/P device will prove to have a higher efficiency than a similar P/N device. The use of a GaP window layer on a GaAs solar cell will avoid many of the inherent problems normally associated with a GaAlAs window while still proving good passivation of the GaAs surface. An optimized circular grid design for solar cell concentrators has been shown which incorporates a multi-layer metallization scheme. This multi-layer design allows for a greater current carrying capacity for a unit area of shading, which results in a better output efficiency

  20. Electrical performance of conducting polymer (SPAN) grown on GaAs with different substrate orientations

    Science.gov (United States)

    Jameel, D. A.; Aziz, M.; Felix, J. F.; Al Saqri, N.; Taylor, D.; Albalawi, H.; Alghamdi, H.; Al Mashary, F.; Henini, M.

    2016-11-01

    This article reports the effect of n-type GaAs substrate orientation, namely (100), (311)A and (311)B, on the electrical properties of sulfonated polyaniline (SPAN)/GaAs heterojunction devices. In addition, the inhomogeneity of the interface between various GaAs substrates and SPAN is investigated in terms of barrier height and ideality factor by performing I-V measurements at different temperatures (20-420 K). The I-V results indicate that the value of the rectification ratio (IF/IR) at 0.5 V is higher for SPAN/(311)B GaAs samples than for SPAN/(100) GaAs and SPAN/(311)A GaAs samples. Moreover, the barrier height decreases and the ideality factor increases with decreasing temperature for all three heterostructure devices. The high value of mean barrier Φbarb of SPAN/(311)B (calculated from the plots of Φb 0 as a function of 1/2kT) confirms that the GaAs substrate orientation results in an increase of barrier homogeneities. Furthermore, the C-V characteristics were obtained at room temperature. The C-V measurements showed that the carrier distributions at the interface and away from the interface in high index (311) GaAs orientations are more uniform and have better barrier homogeneity than those grown on the conventional (100) GaAs substrates.

  1. GaAs (111) and (1'-.2m''.3m bar ' '.2m''-.3m' 1'-.2m''.3m bar ' '.2m''-.3m' 1'-.2m''.3m bar ' '.2m''-.3m' ) surfaces and the GaAs/AlAs (111) heterojunction studied using a local energy density

    International Nuclear Information System (INIS)

    Chetty, N.; Martin, R.M.

    1992-01-01

    We use a local energy density scrE(r) within density-functional theory to study GaAs (111) and (bar 1 bar 1 bar 1) surfaces, and the GaAs/AlAs (111) heterojunction. We use scrE(r) to calculate the formation enthalpy of a single isolated GaAs (111) and (bar 1 bar 1 bar 1) surface, which is not possible with the use of conventional total-energy methods. We are able to address questions related to the stability of these surfaces. Our methods also apply to heterojunctions where we consider GaAs/AlAs (111) as a prototype. We use scrE(r) to calculate the formation enthalpy of the Ga-rich and Al-rich interfaces, which are distinct and which are both inherent in the supercell geometry

  2. Congruent evaporation temperature of GaAs(001) controlled by As flux

    International Nuclear Information System (INIS)

    Zhou, Z. Y.; Zheng, C. X.; Tang, W. X.; Jesson, D. E.; Tersoff, J.

    2010-01-01

    The congruent evaporation temperature T c is a fundamental surface characteristic of GaAs and similar compounds. Above T c the rate of As evaporation exceeds that of Ga during Langmuir (free) evaporation into a vacuum. However, during molecular beam epitaxy (MBE) there is generally an external As flux F incident on the surface. Here we show that this flux directly controls T c . We introduce a sensitive approach to measure T c based on Ga droplet stability, and determine the dependence of T c on F. This dependence is explained by a simple model for evaporation in the presence of external flux. The capability of manipulating T c via changing F offers a means of controlling congruent evaporation with relevance to MBE, surface preparation methods, and droplet epitaxy.

  3. Ni-Cr thin film resistor fabrication for GaAs monolithic microwave integrated circuits

    International Nuclear Information System (INIS)

    Vinayak, Seema; Vyas, H.P.; Muraleedharan, K.; Vankar, V.D.

    2006-01-01

    Different Ni-Cr alloys were sputter-deposited on silicon nitride-coated GaAs substrates and covered with a spin-coated polyimide layer to develop thin film metal resistors for GaAs monolithic microwave integrated circuits (MMICs). The contact to the resistors was made through vias in the polyimide layer by sputter-deposited Ti/Au interconnect metal. The variation of contact resistance, sheet resistance (R S ) and temperature coefficient of resistance (TCR) of the Ni-Cr resistors with fabrication process parameters such as polyimide curing thermal cycles and surface treatment given to the wafer prior to interconnect metal deposition has been studied. The Ni-Cr thin film resistors exhibited lower R S and higher TCR compared to the as-deposited Ni-Cr film that was not subjected to thermal cycles involved in the MMIC fabrication process. The change in resistivity and TCR values of Ni-Cr films during the MMIC fabrication process was found to be dependent on the Ni-Cr alloy composition

  4. Ion Back-Bombardment of GaAs Photocathodes Inside DC High Voltage Electron Guns

    CERN Document Server

    Grames, Joseph M; Brittian, Joshua; Charles, Daniel; Clark, Jim; Hansknecht, John; Lynn Stutzman, Marcy; Poelker, Matthew; Surles-Law, Kenneth E

    2005-01-01

    The primary limitation for sustained high quantum efficiency operation of GaAs photocathodes inside DC high voltage electron guns is ion back-bombardment of the photocathode. This process results from ionization of residual gas within the cathode/anode gap by the extracted electron beam, which is subsequently accelerated backwards to the photocathode. The damage mechanism is believed to be either destruction of the negative electron affinity condition at the surface of the photocathode or damage to the crystal structure by implantation of the bombarding ions. This work characterizes ion formation within the anode/cathode gap for gas species typical of UHV vacuum chambers (i.e., hydrogen, carbon monoxide and methane). Calculations and simulations are performed to determine the ion trajectories and stopping distance within the photocathode material. The results of the simulations are compared with test results obtained using a 100 keV DC high voltage GaAs photoemission gun and beamline at currents up to 10 mA D...

  5. GaAs strip detectors: the Australian production program

    International Nuclear Information System (INIS)

    Butcher, K.S.A.; Alexiev, D.

    1995-01-01

    The Australian High Energy Physics consortium (composed of the University of Melbourne, the University of Sydney and ANSTO) has been investigating the possibility of producing a large area wheel of SI GaAs detectors for the ATLAS detector array. To help assess the extent of Australia's role in this venture a few SI GaAs microstrip detectors are to be manufactured under contract by the CSIRO division of Radiophysics GaAs IC Prototyping Facility. The planned production of the devices is discussed. First, the reasons for producing the detectors here in Australia are examined, then some basic characteristics of the material are considered, and finally details are provided of the design used for the manufacture of the devices. Two sets of detectors will be produced using the standard Glasgow production recipe; SIGaAs and GaN. The Glasgow mask set is being used as a benchmark against which to compare the Australian devices

  6. Donor level of interstitial hydrogen in GaAs

    International Nuclear Information System (INIS)

    Dobaczewski, L.; Bonde Nielsen, K.; Nylandsted Larsen, A.; Peaker, A.R.

    2006-01-01

    The first data evidencing the existence of the donor level of the interstitial hydrogen in GaAs are presented. The abundant formation of the (0/+) donor level after in situ low-temperature implantation of hydrogen into the depletion layer of GaAs Schottky diodes has been observed and the activation energy and annealing properties have been determined by Laplace DLTS. The activation energy for electron emission of this donor state is 0.14eV. Above 100K the hydrogen deep donor state is unstable, converting to a more stable form when there are electrons available for the capture process. A slightly perturbed form of the hydrogen donor in its neutral charge state can be recovered by illuminating the sample. This process releases twice as many electrons as the ionisation process of the hydrogen donor state itself. This fact, by analogy with the silicon case, evidences the negative-U behaviour of hydrogen in GaAs

  7. Electronic structure of GaAs with InAs (001) monolayer

    International Nuclear Information System (INIS)

    Tit, N.; Peressi, M.

    1995-04-01

    The effect on the electronic structure of an InAs monomolecular plane inserted in bulk GaAs is investigated theoretically. The (InAs) 1 (GaAs) n (001) strained superlattice is studied via ab-initio self-consistent pseudopotential calculations. Both electrons and holes are localized nearby the inserted InAs monolayer, which therefore acts as a quantum well for all the charge carriers. The small thickness of the inserted InAs slab is responsible of high confinement energies for the charge carriers, and therefore the interband electron-heavy-hole transition energy is close to the energy gap of the bulk GaAs, in agreement with recent experimental data. (author). 18 refs, 4 figs

  8. Heterojunction Diodes and Solar Cells Fabricated by Sputtering of GaAs on Single Crystalline Si

    Directory of Open Access Journals (Sweden)

    Santiago Silvestre

    2015-04-01

    Full Text Available This work reports fabrication details of heterojunction diodes and solar cells obtained by sputter deposition of amorphous GaAs on p-doped single crystalline Si. The effects of two additional process steps were investigated: A hydrofluoric acid (HF etching treatment of the Si substrate prior to the GaAs sputter deposition and a subsequent annealing treatment of the complete layered system. A transmission electron microscopy (TEM exploration of the interface reveals the formation of a few nanometer thick SiO2 interface layer and some crystallinity degree of the GaAs layer close to the interface. It was shown that an additional HF etching treatment of the Si substrate improves the short circuit current and degrades the open circuit voltage of the solar cells. Furthermore, an additional thermal annealing step was performed on some selected samples before and after the deposition of an indium tin oxide (ITO film on top of the a-GaAs layer. It was found that the occurrence of surface related defects is reduced in case of a heat treatment performed after the deposition of the ITO layer, which also results in a reduction of the dark saturation current density and resistive losses.

  9. Characterization of semiconductor surfaces and interfaces by high energy ion scattering

    International Nuclear Information System (INIS)

    Narusawa, Tadashi; Kobayashi, K.L.I.; Nakashima, Hisao

    1984-01-01

    The use of surface peak, which appears in MeV ion channeling experiments, is demonstrated as a local probe for direct and quantitative measurements of atomic displacements smaller than --0.1A. The atomic structures of GaAs(001)-c(4x4) clean surface and hydrogen-absorbed (1x1) surface are analyzed by this technique as well as the interface atomic structures of GaAs(001)-SiOsub(x) and Si(111)-Pd systems. (author)

  10. Ensemble Monte Carlo particle investigation of hot electron induced source-drain burnout characteristics of GaAs field-effect transistors

    Science.gov (United States)

    Moglestue, C.; Buot, F. A.; Anderson, W. T.

    1995-08-01

    The lattice heating rate has been calculated for GaAs field-effect transistors of different source-drain channel design by means of the ensemble Monte Carlo particle model. Transport of carriers in the substrate and the presence of free surface charges are also included in our simulation. The actual heat generation was obtained by accounting for the energy exchanged with the lattice of the semiconductor during phonon scattering. It was found that the maximum heating rate takes place below the surface near the drain end of the gate. The results correlate well with a previous hydrodynamic energy transport estimate of the electronic energy density, but shifted slightly more towards the drain. These results further emphasize the adverse effects of hot electrons on the Ohmic contacts.

  11. A polarized photoluminescence study of strained layer GaAs photocathodes

    International Nuclear Information System (INIS)

    Mair, R.A.

    1996-07-01

    Photoluminescence measurements have been made on a set of epitaxially grown strained GaAs photocathode structures. The photocathodes are designed to exhibit a strain-induced enhancement of the electron spin polarization obtainable by optical pumping with circularly polarized radiation of near band gap energy. For the case of non-strained GaAs, the degree of spin polarization is limited to 50% by crystal symmetry. Under an appropriate uniaxial compression or tension, however, the valence band structure near the gap minimum is modified such that a spin polarization of 100% is theoretically possible. A total of nine samples with biaxial compressive strains ranging from zero to ∼0.8% are studied. X-ray diffraction analysis, utilizing Bragg reflections, is used to determine the crystal lattice structure of the samples. Luminescence spectra and luminescence circular polarization data are obtained at room temperature, ∼78 K and ∼12 K. The degree of luminescence circular polarization is used as a relative measure of the photo-excited electron spin polarization. The room temperature luminescence circular polarization data is compared with the measured electron spin polarization when the samples are used as electron photo-emitters with a negative electron affinity surface preparation. The luminescence data is also analyzed in conjunction with the crystal structure data with the goal of understanding the strain dependent valence band structure, optical pumping characteristics and spin depolarization mechanisms of the photocathode structures. A simple model is used to describe the luminescence data, obtained for the set of samples. Within the assumptions of the model, the deformation potentials a, b and d for GaAs are determined. The measured values are a = -10.16±.21 eV, b = -2.00±.05 eV and d = -4.87±.29 eV. Good agreement with published values of the deformation potentials provides support for the model used to describe the data

  12. Effect of the ion bombardment on the apparent barrier height in GaAs Schottky junctions

    International Nuclear Information System (INIS)

    Horvath, Zs. J.

    1994-01-01

    The bombardment of the semiconductor with different particles often results in the change of the doping concentration at the semiconductor surface. In this paper the effects of this near-interface concentration change on the apparent and real Schottky barrier heights are discussed. Experimental results obtained in GaAs Schottky junctions prepared on ion-bombarded semiconductor surfaces are analysed, and it is shown that their electrical characteristics are strongly influenced by the near-interface concentration change due to the ion bombardment. (author). 36 refs., 2 figs

  13. 1 GHz GaAs Buck Converter for High Power Amplifier Modulation Applications

    NARCIS (Netherlands)

    Busking, E.B.; Hek, A.P. de; Vliet, F.E. van

    2012-01-01

    A fully integrated 1 GHz buck converter output stage, including on-chip inductor and DC output filtering has been realized, in a standard high-voltage breakdown GaAs MMIC technology. This is a significant step forward in designing highspeed power control of supply-modulated HPAs (high power

  14. High microwave performance ion-implanted GaAs MESFETs on InP substrates

    International Nuclear Information System (INIS)

    Wada, M.; Kato, K.

    1990-01-01

    Ion implantation was employed, for the first time, in fabricating GaAs MESFETs in undoped 2 μm thick GaAs epitaxial layers directly grown on InP substrates by low-pressure MOVPE. The Si-ion-implanted GaAs layer on InP substrates showed excellent electrical characteristics: a mobility of 4300 cm 2 /Vs with a carrier density of 2 x 10 17 cm -3 at room temperature. The MESFET (0.8 μm gate length) exhibited a current-gain cutoff frequency of 25 GHz and a maximum frequency of oscillation of 53 GHz, the highest values yet reported to GaAs MESFETs on InP substrates. These results demonstrate the high potential of ion-implanted MESFETs as electronic devices for high-speed InP-based OEICs. (author)

  15. Bismuth alloying properties in GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Lu [State Key Laboratory of Information Photonics and Optical Communications, Ministry of Education, Beijing University of Posts and Telecommunications, PO Box 72, Beijing 100876 (China); Lu, Pengfei, E-mail: photon.bupt@gmail.com [State Key Laboratory of Information Photonics and Optical Communications, Ministry of Education, Beijing University of Posts and Telecommunications, PO Box 72, Beijing 100876 (China); Cao, Huawei; Cai, Ningning; Yu, Zhongyuan [State Key Laboratory of Information Photonics and Optical Communications, Ministry of Education, Beijing University of Posts and Telecommunications, PO Box 72, Beijing 100876 (China); Gao, Tao [Institute of Atomic and Molecular Physics, Sichuan University, Chengdu 610065 (China); Wang, Shumin [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Photonics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, 41296 Gothenburg (Sweden)

    2013-09-15

    First-principles calculations have been performed to investigate the structural, electronic and optical properties of bismuth alloying in GaAs nanowires. A typical model of Ga{sub 31}As{sub 31} nanowires is introduced for its reasonable band gap. The band gap of GaAs{sub 1−x}Bi{sub x} shrinks clearly with the increasing Bi concentration and the band edge shifts when spin–orbit coupling (SOC) is considered. The insertion of Bi atom leads to hybridization of Ga/As/Bi p states which contributes a lot around Fermi level. Scissor effect is involved. The optical properties are presented, including dielectric function, optical absorption spectra and reflectivity, which are also varied with the increasing of Bi concentrations. - Graphical abstract: Top view of Bi-doped GaAs nanowires. Ga, As, and Bi atoms are denoted with grey, purple and red balls, respectively. Display Omitted - Highlights: • A typical model of Ga{sub 31}As{sub 31} nanowires is introduced for its reasonable band gap. • The band gap of GaAs{sub 1−x}Bi{sub x} shrinks clearly with the increasing Bi concentration. • The band edge shifts when spin–orbit coupling (SOC) is considered. • The insertion of Bi atom leads to hybridization of Ga/As/Bi p states.

  16. Ultra-broadband Nonlinear Microwave Monolithic Integrated Circuits in SiGe, GaAs and InP

    DEFF Research Database (Denmark)

    Krozer, Viktor; Johansen, Tom Keinicke; Djurhuus, Torsten

    2006-01-01

    .5 GHz and ≫ 10 GHz for SiGe BiCMOS and GaAs MMIC, respectively. Analysis of the frequency behaviour of frequency converting devices is presented for improved mixer design. Millimeter-wave front-end components for advanced microwave imaging and communications purposes have also been demonstrated......Analog MMIC circuits with ultra-wideband operation are discussed in view of their frequency limitation and different circuit topologies. Results for designed and fabricated frequency converters in SiGe, GaAs, and InP technologies are presented in the paper. RF type circuit topologies exhibit a flat...... conversion gain with a 3 dB bandwidth of 10 GHz for SiGe and in excess of 20 GHz for GaAs processes. The concurrent LO-IF isolation is better than -25 dB, without including the improvement due to the combiner circuit. The converter circuits exhibit similar instantaneous bandwidth at IF and RF ports of ≫ 7...

  17. Alloy formation during InAs nanowire growth on GaAs(111)

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Saqib, Muhammad; Biermanns, Andreas; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. A possible way to obtain nanowires is the growth in molecular beam epitaxy on the (111)B oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of InAs nanowires grown on GaAs substrates covered by different oxide-layers using X-ray diffraction. In this contribution, we report on the structural investigation of InAs nanowires grown via an In droplet on GaAs substrates covered by different oxide layers using X-ray diffraction. Using a combination of symmetric and asymmetric X-ray diffraction, we observe that for growth on a defective oxide layer, alloy formation takes place and a large amount of InGaAs is formed, whereas for growth on an initially smooth oxide layer, only pure InAs is formed.

  18. Investigations on liquid phase electroepitaxial growth kinetics of GaAs

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2004-01-01

    This paper presents a model based on solving a two-dimensional diffusion equation incorporating the electromigration effect by numerical simulation method corresponding to liquid phase electroepitaxial (LPEE) growth of GaAs, whose growth is limited by diffusion and electro migration of solute species. Using the numerical simulation method, the concentration profiles of As in Ga rich solution during the electroepitaxial growth of GaAs have been constructed in front of the growing crystal interface. Using the concentration gradient at the interface, the growth rate and thickness of the epitaxial layer of GaAs have been determined for different experimental growth conditions. The proposed model is based on the assumption that there is no convection in the solution. The results are discussed in detail

  19. Parameters study on the growth of GaAs nanowires on indium tin oxide by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Dan; Tang, Xiaohong, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn; Li, Xianqiang [OPTIMUS, Photonics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 Singapore (Singapore); Wang, Kai, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn [Department of Electrical & Electronic Engineering, South University of Science and Technology of China, 1088 Xueyuan Avenue, Shenzhen 518055 (China); Olivier, Aurelien [CINTRA UMI 3288, School of Electrical and Electronic Engineering, Nanyang Technological University, Research Techno Plaza, 50 Nanyang Drive, Border X Block, Level 6, 637553 Singapore (Singapore)

    2016-03-07

    After successful demonstration of GaAs nanowire (NW) epitaxial growth on indium tin oxide (ITO) by metal organic chemical vapor deposition, we systematically investigate the effect of growth parameters' effect on the GaAs NW, including temperature, precursor molar flow rates, growth time, and Au catalyst size. 40 nm induced GaAs NWs are observed with zinc-blende structure. Based on vapor-liquid-solid mechanism, a kinetic model is used to deepen our understanding of the incorporation of growth species and the role of various growth parameters in tuning the GaAs NW growth rate. Thermally activated behavior has been investigated by variation of growth temperature. Activation energies of 40 nm Au catalyst induced NWs are calculated at different trimethylgallium (TMGa) molar flow rates about 65 kJ/mol. The GaAs NWs growth rates increase with TMGa molar flow rates whereas the growth rates are almost independent of growth time. Due to Gibbs-Thomson effect, the GaAs NW growth rates increase with Au nanoparticle size at different temperatures. Critical radius is calculated as 2.14 nm at the growth condition of 430 °C and 1.36 μmol/s TMGa flow rate. It is also proved experimentally that Au nanoparticle below the critical radius such as 2 nm cannot initiate the growth of NWs on ITO. This theoretical and experimental growth parameters investigation enables great controllability over GaAs NWs grown on transparent conductive substrate where the methodology can be expanded to other III–V material NWs and is critical for potential hybrid solar cell application.

  20. Electric field effect of GaAs monolayer from first principles

    Directory of Open Access Journals (Sweden)

    Jiongyao Wu

    2017-03-01

    Full Text Available Using first-principle calculations, we investigate two-dimensional (2D honeycomb monolayer structures composed of group III-V binary elements. It is found that such compound like GaAs should have a buckled structure which is more stable than graphene-like flat structure. This results a polar system with out-of-plane dipoles arising from the non-planar structure. Here, we optimized GaAs monolayer structure, then calculated the electronic band structure and the change of buckling height under external electric field within density functional theory using generalized gradient approximation method. We found that the band gap would change proportionally with the electric field magnitude. When the spin-orbit coupling (SOC is considered, we revealed fine spin-splitting at different points in the reciprocal space. Furthermore, the valence and conduction bands spin-splitting energies due to SOC at the K point of buckled GaAs monolayers are found to be weakly dependent on the electric field strength. Finally electric field effects on the spin texture and second harmonic generation are discussed. The present work sheds light on the control of physical properties of GaAs monolayer by the applied electric field.

  1. Substrate and Mg doping effects in GaAs nanowires

    Directory of Open Access Journals (Sweden)

    Perumal Kannappan

    2017-10-01

    Full Text Available Mg doping of GaAs nanowires has been established as a viable alternative to Be doping in order to achieve p-type electrical conductivity. Although reports on the optical properties are available, few reports exist about the physical properties of intermediate-to-high Mg doping in GaAs nanowires grown by molecular beam epitaxy (MBE on GaAs(111B and Si(111 substrates. In this work, we address this topic and present further understanding on the fundamental aspects. As the Mg doping was increased, structural and optical investigations revealed: i a lower influence of the polytypic nature of the GaAs nanowires on their electronic structure; ii a considerable reduction of the density of vertical nanowires, which is almost null for growth on Si(111; iii the occurrence of a higher WZ phase fraction, in particular for growth on Si(111; iv an increase of the activation energy to release the less bound carrier in the radiative state from nanowires grown on GaAs(111B; and v a higher influence of defects on the activation of nonradiative de-excitation channels in the case of nanowires only grown on Si(111. Back-gate field effect transistors were fabricated with individual nanowires and the p-type electrical conductivity was measured with free hole concentration ranging from 2.7 × 1016 cm−3 to 1.4 × 1017 cm−3. The estimated electrical mobility was in the range ≈0.3–39 cm2/Vs and the dominant scattering mechanism is ascribed to the WZ/ZB interfaces. Electrical and optical measurements showed a lower influence of the polytypic structure of the nanowires on their electronic structure. The involvement of Mg in one of the radiative transitions observed for growth on the Si(111 substrate is suggested.

  2. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.; Ghoneim, Mohamed T.; Droopad, Ravi; Hussain, Muhammad Mustafa

    2014-01-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  3. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.

    2014-08-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  4. GaAs nanocrystals: Structure and vibrational properties

    International Nuclear Information System (INIS)

    Nayak, J.; Sahu, S.N.; Nozaki, S.

    2006-01-01

    GaAs nanocrystals were grown on indium tin oxide substrate by an electrodeposition technique. Atomic force microscopic measurement indicates an increase in the size of the nanocrystal with decrease in the electrolysis current density accompanied by the change in the shape of the crystallite. Transmission electron microscopic measurements identify the crystallite sizes to be in the range of 10-15 nm and the crystal structure to be orthorhombic. On account of the quantum size effect, the first optical transition was blue shifted with respect to the band gap of the bulk GaAs and the excitonic peak appeared prominent. A localized phonon mode ascribed to certain point defect occurred in the room temperature micro-Raman spectrum

  5. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Lastras-Martínez, A., E-mail: alm@cactus.iico.uaslp.mx, E-mail: alastras@gmail.com; Ortega-Gallegos, J.; Guevara-Macías, L. E.; Nuñez-Olvera, O.; Balderas-Navarro, R. E.; Lastras-Martínez, L. F. [Instituto de Investigación en Comunicación Optica, Universidad Autónoma de San Luis Potosí, Alvaro Obregón 64, San Luis Potosí, SLP 78000 (Mexico); Lastras-Montaño, L. A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Lastras-Montaño, M. A. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, Santa Barbara, California 93106 (United States)

    2014-03-01

    We report on real time-resolved Reflectance-difference (RD) spectroscopy of GaAs(001) grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  6. Evolution of the optical transitions in AlxGa1-xAs/GaAs quantum well structures grown on GaAs buffers with different surface treatments by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Mejia-Garcia, C.; Caballero-Rosas, A.; Lopez-Lopez, M.; Winter, A.; Pascher, H.; Lopez-Lopez, J.L.

    2010-01-01

    Al 0.3 Ga 0.7 As/GaAs Quantum Well structures were grown by molecular beam epitaxy (MBE) on a 500 nm thick GaAs buffer layer subjected to the following surface processes: a) in-situ Cl 2 etching at 70 o C and 200 o C, b) air-exposure for 30 min. The characteristics of these samples were compared to those of a continuously grown sample with no processing (control sample). We obtained the quantum wells energy transitions using photoreflectance spectroscopy as a function of the temperature (8-300 K), in the range of 1.2 to 2.1 eV. The sample etched at 200 o C shows a larger intensity of the quantum well peaks in comparison to the others samples. We studied the temperature dependence of the excitonic energies in the quantum wells (QWs) as well as in GaAs using three different models; the first one proposed by Varshni [4], the second one by Vina et al. [5], and the third one by Paessler and Oelgart [6]. The Paessler model presents the best fitting to the experimental data.

  7. GaAs optoelectronic neuron arrays

    Science.gov (United States)

    Lin, Steven; Grot, Annette; Luo, Jiafu; Psaltis, Demetri

    1993-01-01

    A simple optoelectronic circuit integrated monolithically in GaAs to implement sigmoidal neuron responses is presented. The circuit integrates a light-emitting diode with one or two transistors and one or two photodetectors. The design considerations for building arrays with densities of up to 10,000/sq cm are discussed.

  8. Enhancement of conductance of GaAs sub-microwires under external stimuli

    Science.gov (United States)

    Qu, Xianlin; Deng, Qingsong; Zheng, Kun

    2018-03-01

    Semiconductors with one dimension on the micro-nanometer scale have many unique physical properties that are remarkably different from those of their bulk counterparts. Moreover, changes in the external field will further modulate the properties of the semiconductor micro-nanomaterials. In this study, we used focused ion beam technology to prepare freestanding ⟨111⟩-oriented GaAs sub-microwires from a GaAs substrate. The effects of laser irradiation and bending or buckling deformation induced by compression on the electrical transport properties of an individual GaAs sub-microwire were studied. The experimental results indicate that both laser irradiation and bending deformation can enhance their electrical transport properties, the laser irradiation resulted in a conductance enhancement of ˜30% compared to the result with no irradiation, and in addition, bending deformation changed the conductance by as much as ˜180% when the average strain was approximately 1%. The corresponding mechanisms are also discussed. This study provides beneficial insight into the fabrication of electronic and optoelectronic devices based on GaAs micro/nano-wires.

  9. Response of GaAs charge storage devices to transient ionizing radiation

    Science.gov (United States)

    Hetherington, D. L.; Klem, J. F.; Hughes, R. C.; Weaver, H. T.

    Charge storage devices in which non-equilibrium depletion regions represent stored charge are sensitive to ionizing radiation. This results since the radiation generates electron-hole pairs that neutralize excess ionized dopant charge. Silicon structures, such as dynamic RAM or CCD cells are particularly sensitive to radiation since carrier diffusion lengths in this material are often much longer than the depletion width, allowing collection of significant quantities of charge from quasi-neutral sections of the device. For GaAs the situation is somewhat different in that minority carrier diffusion lengths are shorter than in silicon, and although mobilities are higher, we expect a reduction of radiation sensitivity as suggested by observations of reduced quantum efficiency in GaAs solar cells. Dynamic memory cells in GaAs have potential increased retention times. In this paper, we report the response of a novel GaAs dynamic memory element to transient ionizing radiation. The charge readout technique is nondestructive over a reasonable applied voltage range and is more sensitive to stored charge than a simple capacitor.

  10. Metallization systems for stable ohmic contacts to GaAs

    International Nuclear Information System (INIS)

    Tandon, J.L.; Douglas, K.D.; Vendura, G.; Kolawa, E.; So, F.C.T.; Nicolet, M.A.

    1986-01-01

    A metallization scheme to form reproducible and stable ohmic contacts to GaAs is described. The approach is based on the configuration: GaAs/X/Y/Z; where X is a thin metal film (e.g. Pt, Ti, Pd, Ru), Y is an electrically conducting diffusion barrier layer (TiN, W or W/sub 0.7/N/sub 0.3/), and Z is a thick metal layer (e.g. Ag) typically required for bonding or soldering purposes. The value and reproducibility of the contact resistance in these metallization systems results from the uniform steady-state solid-phase reaction of the metal X with GaAs. The stability of the contacts is achieved by the diffusion barrier layer Y, which not only confines the reaction of X with GaAs, but also prevents the top metal layer Z from interfering with this reaction. Applications of such contacts in fabricating stable solar cells are also discussed

  11. Intense electron beams from GaAs photocathodes as a tool for molecular and atomic physics

    International Nuclear Information System (INIS)

    Krantz, Claude

    2009-01-01

    We present cesium-coated GaAs photocathodes as reliable sources of intense, quasi-monoenergetic electron beams in atomic and molecular physics experiments. In long-time operation of the Electron Target of the ion storage ring TSR in Heidelberg, cold electron beams could be realised at steadily improving intensity and reliability. Minimisation of processes degrading the quantum efficiency allowed to increase the extractable current to more than 1mA at usable cathode lifetimes of 24 h or more. The benefits of the cold electron beam with respect to its application to electron cooling and electron-ion recombination experiments are discussed. Benchmark experiments demonstrate the superior cooling force and energy resolution of the photoelectron beam compared to its thermionic counterparts. The long period of operation allowed to study the long-time behaviour of the GaAs samples during multiple usage cycles at the Electron Target and repeated in-vacuum surface cleaning by atomic hydrogen exposure. An electron emission spectroscopy setup has been implemented at the photocathode preparation chamber of the Electron Target. Among others, this new facility opened the way to a novel application of GaAs (Cs) photocathodes as robust, ultraviolet-driven electron emitters. Based on this principle, a prototype of an electron gun, designed for implementation at the HITRAP setup at GSI, has been built and taken into operation successfully. (orig.)

  12. Intense electron beams from GaAs photocathodes as a tool for molecular and atomic physics

    Energy Technology Data Exchange (ETDEWEB)

    Krantz, Claude

    2009-10-28

    We present cesium-coated GaAs photocathodes as reliable sources of intense, quasi-monoenergetic electron beams in atomic and molecular physics experiments. In long-time operation of the Electron Target of the ion storage ring TSR in Heidelberg, cold electron beams could be realised at steadily improving intensity and reliability. Minimisation of processes degrading the quantum efficiency allowed to increase the extractable current to more than 1mA at usable cathode lifetimes of 24 h or more. The benefits of the cold electron beam with respect to its application to electron cooling and electron-ion recombination experiments are discussed. Benchmark experiments demonstrate the superior cooling force and energy resolution of the photoelectron beam compared to its thermionic counterparts. The long period of operation allowed to study the long-time behaviour of the GaAs samples during multiple usage cycles at the Electron Target and repeated in-vacuum surface cleaning by atomic hydrogen exposure. An electron emission spectroscopy setup has been implemented at the photocathode preparation chamber of the Electron Target. Among others, this new facility opened the way to a novel application of GaAs (Cs) photocathodes as robust, ultraviolet-driven electron emitters. Based on this principle, a prototype of an electron gun, designed for implementation at the HITRAP setup at GSI, has been built and taken into operation successfully. (orig.)

  13. Self-assembled colloidal PbS quantum dots on GaAs substrates

    International Nuclear Information System (INIS)

    Lue, Wei; Yamada, Fumihiko; Kamiya, Itaru

    2010-01-01

    We report the fabrication and analysis of self-assembled monolayer and bilayer films of colloidal PbS quantum dots (QDs) on GaAs (001) substrates. 1,6-hexanedithiol is used as link molecule between QDs and GaAs substrates. Atomic force microscopy (AFM) and photoluminescence (PL) measurements confirm the formation of PbS QD film on GaAs. For the monolayer PbS QD film, the temperature-dependent PL shows a feature typical of close-packed film. For the bilayer PbS QD film fabricated from two different mean-sized PbS QDs, we find that the stacking sequence of QDs with different size affects the quantum yield and emission wavelength of the film.

  14. Polarized recombination of acoustically transported carriers in GaAs nanowires

    Science.gov (United States)

    Möller, Michael; Hernández-Mínguez, Alberto; Breuer, Steffen; Pfüller, Carsten; Brandt, Oliver; de Lima, Mauricio M.; Cantarero, Andrés; Geelhaar, Lutz; Riechert, Henning; Santos, Paulo V.

    2012-05-01

    The oscillating piezoelectric field of a surface acoustic wave (SAW) is employed to transport photoexcited electrons and holes in GaAs nanowires deposited on a SAW delay line on a LiNbO3 crystal. The carriers generated in the nanowire by a focused light spot are acoustically transferred to a second location where they recombine. We show that the recombination of the transported carriers occurs in a zinc blende section on top of the predominant wurtzite nanowire. This allows contactless control of the linear polarized emission by SAWs which is governed by the crystal structure. Additional polarization-resolved photoluminescence measurements were performed to investigate spin conservation during transport.

  15. Enhanced growth of highly lattice-mismatched CdSe on GaAs substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Jyh-Shyang; Tsai, Yu-Hsuan; Wang, Hsiao-Hua; Ke, Han-Xiang; Tong, Shih-Chang; Yang, Chu-Shou; Wu, Chih-Hung; Shen, Ji-Lin

    2013-01-01

    This work demonstrates the improvement of the molecular beam epitaxial growth of zinc-blende CdSe on (0 0 1) GaAs substrate with a large lattice mismatch by introducing a small amount of Te atoms. Exposing the growing surface to Te atoms changes the reflection high-energy electron diffraction pattern from spotty to streaky together with (2 × 1) surface reconstruction, and greatly reduces the full width at half maximum of the X-ray rocking curve and increases the integral intensity of room-temperature photoluminescence by a factor of about nine.

  16. Submicron resolution X-ray diffraction from periodically patterned GaAs nanorods grown onto Ge[111

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Biermanns, Andreas; Pietsch, Ullrich [Solid State Physics, Siegen University (Germany); Grenzer, Joerg [FZ-Dresden Rossendorf, Dresden (Germany); Paetzelt, Hendrik; Gottschalch, Volker; Bauer, Jens [Solid State Chemistry, University of Leipzig (Germany)

    2009-08-15

    We present high-resolution X-ray diffraction pattern of periodic GaAs nanorods (NRs) ensembles and individual GaAs NRs grown catalyst-free throughout a pre-patterned amorphous SiN{sub x} mask onto Ge[111]B surfaces by selective-area MOVPE method. To the best of our knowledge this is the first report about nano-structure X-ray characterization growth on non-polar substrate. The experiment has been performed at home laboratory and using synchrotron radiation using a micro-sized beam prepared by compound refractive lenses. Due to the non-polar character of the substrate the shapes of NRs appear not uniform and vary between deformed hexagonal and trigonal in symmetry. Because the average diameter of NRs equals the experimental resolution certain cuts through slightly inclined edges or corners of individual NRs with lateral size of about 225 nm could be selected using spatially resolved reciprocal space mapping. (Abstract Copyright [2009], Wiley Periodicals, Inc.)

  17. Self-Assembled Monolayers of CdSe Nanocrystals on Doped GaAs Substrates

    DEFF Research Database (Denmark)

    Marx, E.; Ginger, D.S.; Walzer, Karsten

    2002-01-01

    This letter reports the self-assembly and analysis of CdSe nanocrystal monolayers on both p- and a-doped GaAs substrates. The self-assembly was performed using a 1,6-hexanedithiol self-assembled monolayer (SAM) to link CdSe nanocrystals to GaAs substrates. Attenuated total reflection Fourier tran...

  18. Near-field scanning optical microscopy cross-sectional measurements of crystalline GaAs solar cells

    International Nuclear Information System (INIS)

    Herndon, M. K.; Bradford, W. C.; Collins, R. T.; Hawkins, B. E.; Kuech, T. F.; Friedman, D. J.; Kurtz, S. R.

    2000-01-01

    Near-field scanning optical microscopy (NSOM) was used to study cleaved edges of GaAs solar cell devices. Using visible light for excitation, the NSOM acquired spatially resolved traces of the photocurrent response across the various layers in the device. For excitation energies well above the band gap, carrier recombination at the cleaved surface had a strong influence on the photocurrent signal. Decreasing the excitation energy, which increased the optical penetration depth, allowed the effects of surface recombination to be separated from collection by the pn junction. Using this approach, the NSOM measurements directly observed the effects of a buried minority carrier reflector/passivation layer. (c) 2000 American Institute of Physics

  19. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Directory of Open Access Journals (Sweden)

    A. Lastras-Martínez

    2014-03-01

    Full Text Available We report on real time-resolved Reflectance-difference (RD spectroscopy of GaAs(001 grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  20. Homozygotic intronic GAA mutation in three siblings with late-onset Pompe's disease Mutação homozigótica intrônica no gene GAA em três irmãos com doença de Pompe de início tardio

    Directory of Open Access Journals (Sweden)

    Anderson Kuntz Grzesiuk

    2010-04-01

    Full Text Available Pompe's disease (PD is a metabolic myopathy caused by the accumulation of lysosomal glycogen, secondary to acid α-glucosidase (GAA enzyme deficiency. Childhood and late-onset forms are described, differing by the age of onset and symptoms. In this study were analyzed affected siblings with Pompe's disease (PD and their distinct clinical and pathological presentations. METHOD: Diagnosis was performed by the clinical presentation of limb-girdle dystrophies and respiratory compromise. Confirmatory diagnoses were conducted by muscle biopsy, GAA activity measurement and by GAA gene genotyping. RESULTS: The findings suggested muscular involvement due to GAA deficiency. GAA genotyping showed they are homozygous for the c.-32-3C>A mutation. CONCLUSION: Herein we reported a family where three out of five siblings were diagnosed with late-onset PD, although it is a rare metabolic disease inherited in an autossomal recessive manner. We emphasize the importance of including this presentation within the differential diagnoses of the limb-girdle dystrophies once enzyme replacement therapy is available.A doença de Pompe (DP é uma miopatia originada do acúmulo lisossomal de glicogênio, devido à deficiência da enzima α-glicosidase ácida (GAA, sendo descritas formas de inicio precoce e tardio. Neste estudo analisamos retrospectivamente o perfil clinico e patológico de 3 irmãos portadores de doença de Pompe de inicio tardio. MÉTODO: O diagnóstico foi realizado mediante apresentação clinica de distrofia de cinturas associado a comprometimento respiratório, sendo confirmado por biópsia muscular e análise da atividade e genotipagem da GAA. RESULTADOS: Os exames clínicos e laboratoriais demonstram envolvimento muscular devido à deficiência da GAA, com uma mutação c.-32-3C>A em homozigose. CONCLUSÃO: Relatamos os aspectos clínicos e laboratoriais de 3 irmãos afetados por doença de Pompe de início tardio. Enfatizamos a importância de

  1. Germanium diffusion with vapor-phase GeAs and oxygen co-incorporation in GaAs

    Science.gov (United States)

    Wang, Wei-Fu; Cheng, Kai-Yuan; Hsieh, Kuang-Chien

    2018-01-01

    Vapor-phase germanium diffusion has been demonstrated in Zn-doped and semi-insulating GaAs in sealed ampoules with GeAs powders and excess arsenic. Secondary-ion-mass spectroscopy (SIMS) profiles indicate the presence of unintentional co-incorporation of oxygen in high densities (>1017/cm3) along with diffused germanium donors whose concentration (>>1018/cm3) determined by electro-chemical capacitance-voltage (ECV) profiler shows significant compensation near the surface. The source of oxygen mainly originates from the GeAs powder which contains Ge-O surface oxides. Variable-temperature photoluminescence (PL) shows that in GeAs-diffused samples, a broad peak ranging from 0.86-1.38 eV with the peak position around 1.1 eV predominates at low temperatures while the near band-edge luminescence quenches. The broad band is attributed to the GeGa-VGa self-activated (SA) centers possibly associated with nearby oxygen-related defect complex, and its luminescence persists up to 400 K. The configurational-coordinate modeling finds that the SA defect complex has a thermal activation energy of 150-180 meV and a vibrational energy 26.8 meV. The presence of oxygen does not much affect the SA emission intensity but may have influenced the peak position, vibration frequency and activation energy as compared to other common donor-VGa defects in GaAs.

  2. Germanium diffusion with vapor-phase GeAs and oxygen co-incorporation in GaAs

    Directory of Open Access Journals (Sweden)

    Wei-Fu Wang

    2018-01-01

    Full Text Available Vapor-phase germanium diffusion has been demonstrated in Zn-doped and semi-insulating GaAs in sealed ampoules with GeAs powders and excess arsenic. Secondary-ion-mass spectroscopy (SIMS profiles indicate the presence of unintentional co-incorporation of oxygen in high densities (>1017/cm3 along with diffused germanium donors whose concentration (>>1018/cm3 determined by electro-chemical capacitance-voltage (ECV profiler shows significant compensation near the surface. The source of oxygen mainly originates from the GeAs powder which contains Ge-O surface oxides. Variable-temperature photoluminescence (PL shows that in GeAs-diffused samples, a broad peak ranging from 0.86-1.38 eV with the peak position around 1.1 eV predominates at low temperatures while the near band-edge luminescence quenches. The broad band is attributed to the GeGa-VGa self-activated (SA centers possibly associated with nearby oxygen-related defect complex, and its luminescence persists up to 400 K. The configurational-coordinate modeling finds that the SA defect complex has a thermal activation energy of 150-180 meV and a vibrational energy 26.8 meV. The presence of oxygen does not much affect the SA emission intensity but may have influenced the peak position, vibration frequency and activation energy as compared to other common donor-VGa defects in GaAs.

  3. Growth and Functionality of Cells Cultured on Conducting and Semi-Conducting Surfaces Modified with Self-Assembled Monolayers (SAMs

    Directory of Open Access Journals (Sweden)

    Rajendra K. Aithal

    2016-02-01

    Full Text Available Bioengineering of dermal and epidermal cells on surface modified substrates is an active area of research. The cytotoxicity, maintenance of cell phenotype and long-term functionality of human dermal fibroblast (HDF cells on conducting indium tin oxide (ITO and semi-conducting, silicon (Si and gallium arsenide (GaAs, surfaces modified with self-assembled monolayers (SAMs containing amino (–NH2 and methyl (–CH3 end groups have been investigated. Contact angle measurements and infrared spectroscopic studies show that the monolayers are conformal and preserve their functional end groups. Morphological analyses indicate that HDFs grow well on all substrates except GaAs, exhibiting their normal spindle-shaped morphology and exhibit no visible signs of stress or cytoplasmic vacuolation. Cell viability analyses indicate little cell death after one week in culture on all substrates except GaAs, where cells died within 6 h. Cells on all surfaces proliferate except on GaAs and GaAs-ODT. Cell growth is observed to be greater on SAM modified ITO and Si-substrates. Preservation of cellular phenotype assessed through type I collagen immunostaining and positive staining of HDF cells were observed on all modified surfaces except that on GaAs. These results suggest that conducting and semi-conducting SAM-modified surfaces support HDF growth and functionality and represent a promising area of bioengineering research.

  4. Spin transport anisotropy in (110)GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Odilon, D.D.C. Jr.; Rudolph, Joerg; Hey, Rudolf; Santos, Paulo V. [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany); Iikawa, Fernando [Universidade Estadual de Campinas, IFGW, Campinas SP (Brazil)

    2007-07-01

    Mobile piezoelectric potentials are used to coherently transport electron spins in GaAs(110) quantum wells (QW) over distances exceeding 60{mu}m. We demonstrate that the dynamics of mobile spins under external magnetic fields depends on the direction of motion in the QW plane. The weak piezoelectric fields impart a non-vanishing average velocity to the carriers, allowing for the direct observation of the carrier momentum dependence of the spin polarization dynamics. While transport along [001] direction presents high in-plane spin relaxation rates, transport along [ anti 110] shows a much weaker external field dependence due to the non-vanishing internal magnetic field. We show that the anisotropy is an intrinsic property of the underling GaAs matrix, associated with the bulk inversion asymmetry contribution to the LS-coupling.

  5. Visible-light electroluminescence in Mn-doped GaAs light-emitting diodes

    International Nuclear Information System (INIS)

    Nam Hai, Pham; Maruo, Daiki; Tanaka, Masaaki

    2014-01-01

    We observed visible-light electroluminescence (EL) due to d-d transitions in light-emitting diodes with Mn-doped GaAs layers (here, referred to as GaAs:Mn). Besides the band-gap emission of GaAs, the EL spectra show two peaks at 1.89 eV and 2.16 eV, which are exactly the same as 4 A 2 ( 4 F) → 4 T 1 ( 4 G) and 4 T 1 ( 4 G) → 6 A 1 ( 6 S) transitions of Mn atoms doped in ZnS. The temperature dependence and the current-density dependence are consistent with the characteristics of d-d transitions. We explain the observed EL spectra by the p-d hybridized orbitals of the Mn d electrons in GaAs

  6. Radiation damages and electro-conductive characteristics of Neutron-Transmutation-Doped GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Kuriyama, Kazuo; Sato, Masataka; Sakai, Kiyohiro [Hosei Univ., Koganei, Tokyo (Japan). Coll. of Engineering; Okada, Moritami

    1996-04-01

    Neutron Transmutation Doping (NTD) method made it possible to do homogeneous doping of impurities and to easily control the doping level. Thus, the method has been put into practice for some materials such as silicon. Here, the annealing behavior of anti-site defects generated in neutron-irradiated GaAs was studied. Electric activations of NTD-impurities were started around 550degC in P1 and P2 radiation fields, which were coincident with the beginning of extinction of electron trapping which was caused by anti-site defects due to fast neutron radiation. The electric resistivities of GaAs in neutron radiation fields; P1, P2 and P3 changed depending with the annealing temperature. The electric resistivities of GaAs in P1 and P2 fields indicate the presence of hopping conduction through radiation damages. The resistance of GaAs irradiated in P1 was smaller by nearly 2 orders than that of the untreated control. Further, the electric activation process for NTD-impurities was investigated using ESR and Raman spectroscopy. (M.N.)

  7. High temperature GaAs X-ray detectors

    Science.gov (United States)

    Lioliou, G.; Whitaker, M. D. C.; Barnett, A. M.

    2017-12-01

    Two GaAs p+-i-n+ mesa X-ray photodiodes were characterized for their electrical and photon counting X-ray spectroscopic performance over the temperature range of 100 °C to -20 °C. The devices had 10 μm thick i layers with different diameters: 200 μm (D1) and 400 μm (D2). The electrical characterization included dark current and capacitance measurements at internal electric field strengths of up to 50 kV/cm. The determined properties of the two devices were compared with previously reported results that were made with a view to informing the future development of photon counting X-ray spectrometers for harsh environments, e.g., X-ray fluorescence spectroscopy of planetary surfaces in high temperature environments. The best energy resolution obtained (Full Width at Half Maximum at 5.9 keV) decreased from 2.00 keV at 100 °C to 0.66 keV at -20 °C for the spectrometer with D1, and from 2.71 keV at 100 °C to 0.71 keV at -20 °C for the spectrometer with D2. Dielectric noise was found to be the dominant source of noise in the spectra, apart from at high temperatures and long shaping times, where the main source of photopeak broadening was found to be the white parallel noise.

  8. Rapid capless annealing of28Si,64Zn, and9Be implants in GaAs

    Science.gov (United States)

    Liu, S. G.; Narayan, S. Y.

    1984-11-01

    We report the use of tungsten-halogen lamps for rapid (-10 s) thermal annealing of ion-implanted (100) GaAs under AsH3/Ar and N2 atmospheres. Annealing under flowing AsH3/Ar was carried out without wafer encapsulation. Rapid capless annealing activated implants in GaAs with good mobility and surface morphology. Typical mobilities were 3700 4500 cm2/V-s for n-layers with about 2×1017cm-3 carrier concentration and 50 150 cm2/v-s for 0.1 5xl019 cm-3 doped p-layers. Rapid thermal annealing was performed in a vertical quartz tube where different gases (N2, AsH3/H2, AsH3/Ar) can be introduced. Samples were encapsulated with SiO when N2 was used. Tungsten-halogen lamps of 600 or 1000 W were utilized for annealing GaAs wafers ranging from 1 to 10 cm2 in area and 0.025 to 0.040 cm in thickness. The transient temperature at the wafer position was monitored using a fine thermocouple. We carried out experiments for energies of 30 to 200 keV, doses of 2×1012 to 1×1015 cm-2, and peak temperatures ranging from 600 to 1000‡C. Most results quoted are in the 700 to 870‡C temperature range. Data on implant conditions, optimum anneal conditions, electrical characteristics, carrier concentration profiles, and atomic profiles of the implanted layers are described.

  9. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  10. Surface Passivation for 3-5 Semiconductor Processing: Stable Gallium Sulphide Films by MOCVD

    Science.gov (United States)

    Macinnes, Andrew N.; Jenkins, Phillip P.; Power, Michael B.; Kang, Soon; Barron, Andrew R.; Hepp, Aloysius F.; Tabib-Azar, Massood

    1994-01-01

    Gallium sulphide (GaS) has been deposited on GaAs to form stable, insulating, passivating layers. Spectrally resolved photoluminescence and surface recombination velocity measurements indicate that the GaS itself can contribute a significant fraction of the photoluminescence in GaS/GaAs structures. Determination of surface recombination velocity by photoluminescence is therefore difficult. By using C-V analysis of metal-insulator-semiconductor structures, passivation of the GaAs with GaS films is quantified.

  11. Nuclear spin warm up in bulk n -GaAs

    Science.gov (United States)

    Kotur, M.; Dzhioev, R. I.; Vladimirova, M.; Jouault, B.; Korenev, V. L.; Kavokin, K. V.

    2016-08-01

    We show that the spin-lattice relaxation in n -type insulating GaAs is dramatically accelerated at low magnetic fields. The origin of this effect, which cannot be explained in terms of well-known diffusion-limited hyperfine relaxation, is found in the quadrupole relaxation, induced by fluctuating donor charges. Therefore, quadrupole relaxation, which governs low field nuclear spin relaxation in semiconductor quantum dots, but was so far supposed to be harmless to bulk nuclei spins in the absence of optical pumping, can be studied and harnessed in the much simpler model environment of n -GaAs bulk crystal.

  12. Amateurism in an Age of Professionalism: An Empirical Examination of an Irish Sporting Culture: The GAA

    Directory of Open Access Journals (Sweden)

    Ian Keeler

    2013-07-01

    This research study recommends that the GAA adopt an innovative approach, through strategic decision-making, to allow the GAA to maintain its amateur ethos, and, yet, successfully compete in the professional sporting market. The strong links with the community must be both nurtured and enhanced. The GAA and Gaelic games must embrace the challenges that the branding success of foreign sports has brought. Player welfare issues for the elite players must be addressed while continuing to protect the club and its amateur structures. The study looks at the key metrics that are required to evolve the GAA. This entails not only focusing on the perceived importance of the amateur ethos to the GAA, but also developing the marketing, branding and profiling of Gaelic games to enhance the performance of an amateur sporting organization in an era of increased professionalism in sport.

  13. Microhardness of epitaxial layers of GaAs doped with rare earths

    International Nuclear Information System (INIS)

    Kulish, U.M.; Gamidov, Z.S.; Kuznetsova, I.Yu.; Petkeeva, L.N.; Borlikova, G.V.

    1989-01-01

    Results of the study of microhardness of GaAS layer doped by certain rare earths - Gd, Tb, Dy - are presented. The assumption is made that the higher is the value of the first potential of rare earth impurity ionization (i.e. the higher is the filling of 4f-shell), the lower is the effect of the element on electric and mechanical properties of GaAs epitaxial layers

  14. Real-time observation of FIB-created dots and ripples on GaAs

    International Nuclear Information System (INIS)

    Rose, F; Fujita, H; Kawakatsu, H

    2008-01-01

    We report a phenomenological study of Ga dots and ripples created by a focused ion beam (FIB) on the GaAs(001) surface. Real-time observation of dot diffusion and ripple formation was made possible by recording FIB movies. In the case of FIB irradiation with a 40 nA current of Ga + ions accelerated under 40 kV with an incidence angle of θ = 30 0 , increasing ion dose gives rise to three different regimes. In Regime 1, dots with lateral sizes in the range 50-460 nm are formed. Dots diffuse under continuous sputtering. In Regime 2, dots self-assemble into Bradley and Harper (BH) type ripples with a pseudo-period of λ = 1150 ± 25 nm. In Regime 3, ripples are eroded and the surface topology evolves into microplanes. In the case of normal incidence, FIB sputtering leads only to the formation of dots, without surface rippling

  15. Effect of H+ implantation on the optical properties of semi-insulating GaAs crystals in the IR spectral region

    International Nuclear Information System (INIS)

    Klyui, N. I.; Lozinskii, V. B.; Liptuga, A. I.; Dikusha, V. N.; Oksanych, A. P.; Kogdas’, M. G.; Perekhrest, A. L.; Pritchin, S. E.

    2017-01-01

    The optical properties of semi-insulating GaAs crystals subjected to multienergy hydrogen-ion implantation and treatment in a high-frequency electromagnetic field are studied in the infrared spectral region. It is established that such combined treatment provides a means for substantially increasing the transmittance of GaAs crystals to values characteristic of crystals of high optical quality. On the basis of analysis of the infrared transmittance and reflectance data, Raman spectroscopy data, and atomic-force microscopy data on the surface morphology of the crystals, a physical model is proposed to interpret the effects experimentally observed in the crystals. The model takes into account the interaction of radiation defects with the initial structural defects in the crystals as well as the effect of compensation of defect centers by hydrogen during high-frequency treatment.

  16. Doping assessment in GaAs nanowires

    DEFF Research Database (Denmark)

    Goktas, N. Isik; Fiordaliso, Elisabetta Maria; LaPierre, R. R.

    2018-01-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs...

  17. Measurement and model of the infrared two-photon emission spectrum of GaAs.

    Science.gov (United States)

    Hayat, Alex; Ginzburg, Pavel; Orenstein, Meir

    2009-07-10

    Two-photon emission from semiconductors was recently observed, but not fully interpreted. We develop a dressed-state model incorporating intraband scattering-related level broadening, yielding nondivergent emission rates. The spectrum calculations for high carrier concentrations including the time dependence of the screening buildup correspond well to our measured two-photon emission spectrum from GaAs.

  18. Novel optical and structural properties of porous GaAs formed by anodic etching of n±GaAs in a HF:C_2H_5OH:HCl:H_2O_2:H_2O electrolyte: effect of etching time

    International Nuclear Information System (INIS)

    Naddaf, M.; Saad, M.

    2014-01-01

    Porous GaAs layers have been formed by anodic etching of n±type GaAs (10.0) substrates in a HF:C_2H_5OH:HCl:H_2O_2:H_2O electrolyte. A dramatic impact of etching time on the optical and structural properties of porous GaAs layer is demonstrated. The nano/micro-features of porous GaAs layers are revealed by scanning electron microscopy (SEM) imaging. Two-peak room temperature photoluminescence (PL), "blue-green"and "green-yellow", is obtained in all prepared porous GaAs samples. Proper adjustment of etching time is found to produce a white color layer, instead of the usual dark gray color of porous GaAs. This is found to cause vast enhancement in the intensity of the visible PL in porous GaAs layer. Chemical composition and structural characterization by means of X-ray photoelectron spectroscopic (XPS), X-ray diffraction (XRD), and micro-Raman spectroscopy, confirm that this layer is characterized with monoclinic β-Ga_2O_3 rich surface. Etching time induced-modification of structural and chemical properties of porous GaAs layer is discussed and correlated to its PL behavior. It is inferred that the "blue-green"PL in porous GaAs can be ascribed to different degrees of quantum confinement in GaAs nano crystallites, whereas, the "green-yellow"PL is highly influenced by the As_2O_3 and Ga_2O_3, content in the porous GaAs layer. In addition, the reflectance measurements reveal an anti-refection trend of behavior of porous GaAs layers in the spectral range (500-1,100 nm). (author)

  19. Singularities of current-voltage characteristics of GaAs films fabricated by pulsed ions ablation

    International Nuclear Information System (INIS)

    Kabyshev, A.V.; Konusov, F.V.; Lozhnikov, S.N.; Remnev, G.E.; Saltymakov, M.S.

    2009-01-01

    A singularities and advantages of the optical, photoelectric and electrical properties of GaAs in comparison with other available materials for electronics, for example, silicon allow to manufacture on it base the devices having an advanced characteristics. The GaAs for electronics, obtained from the dense ablation plasma, possess some preferences as compared to material manufactured by traditional methods of vacuum deposition. The electrical characteristics of GaAs produced by chemical deposition were extensively studied. Purpose of this work is investigation the current-voltage characteristics of thin films of GaAs, deposited on polycrystalline corundum (polycor) from plasma forming the power ions bunch and determination of the thermal vacuum annealing effect on photoelectric and electrical properties of films. Peculiarities of optical, photoelectric and current-voltage characteristics of films obtained by ions ablation are determined by deposition conditions and resistance of initial target GaAs. The transitions between the states with low- and high conduction were revealed directly after deposition in films having the optical properties similar to amorphous materials and/or after annealing in films with properties similar to initial target GaAs. Behavior of current-voltage characteristics at vacuum annealing correlates with Schottky barrier height and photosensitivity and is accompanies of the transport mechanism change. The stable properties of films are formed at its dark conduction 10 -10 -10 -8 s and after annealing at T an =600-700 K. (authors)

  20. Lateral n-p-n bipolar transistors by ion implantation into semi-insulating GaAs

    International Nuclear Information System (INIS)

    Canfield, P.; Forbes, L.

    1988-01-01

    GaAs bipolar transistors have not seen the major development effort that GaAs MESFETs have due primarily to the short minority carrier lifetimes in GaAs. The short minority carrier lifetimes require that the base region be very thin which, if done by implantation, requires that the doping be high to obtain a well defined base profile. These requirements are very difficult to achieve in GaAs and typically, if high current gain and high speed are desired for a bipolar technology, then heterostructure bipolars are the appropriate technology, although the cost of heterostructure devices will be prohibitive for some time to come. For applications requiring low current gain, more modest fabrication rules can be followed. Lateral bipolars are particularly attractive since they would be easier to fabricate than a planar bipolar or a heterojunction bipolar. Lateral bipolars do not require steps or deep contacts to make contact with the subcollector or highly doped very thin epilayers for the base region and they can draw upon the semi-insulating properties of the GaAs substrates for device isolation. Bipolar transistors are described and shown to work successfully. (author)

  1. Ga droplet morphology on GaAs(001) studied by Lloyd's mirror photoemission electron microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Tang, W X; Jesson, D E; Pavlov, K M; Morgan, M J [School of Physics, Monash University, Victoria 3800 (Australia); Usher, B F [Department of Electronic Engineering, La Trobe University, Victoria 3086 (Australia)

    2009-08-05

    We apply Lloyd's mirror photoemission electron microscopy (PEEM) to study the surface shape of Ga droplets on GaAs(001). An unusual rectangular-based droplet shape is identified and the contact angle is determined in situ. It is shown that quenching does not appreciably affect droplet shape and ex situ measurements of the contact angle by atomic force microscopy are in good agreement with Lloyd's mirror PEEM. Extension of Lloyd's mirror technique to reconstruct general three-dimensional (3D) surface shapes and the potential use of synchrotron radiation to improve vertical resolution is discussed.

  2. Neutron-damaged GaAs detectors for use in a Compton spectrometer

    International Nuclear Information System (INIS)

    Kammeraad, J.E.; Sale, K.E.; Wang, C.L.; Baltrusaitis, R.M.

    1992-01-01

    Detectors made of GaAs are being studies for use on the focal plane of a Compton spectrometer which measures 1-MeV to 25-MeV gamma rays with high energy resolution (1% or 100 keV, whichever is greater) and 200-ps time resolution. The detectors are GaAs chips that have been neutron-damaged to improve the time response. The detectors will be used to measure fast transient signals in the current mode. The properties of various GaAs detector configurations are being studied by bombarding sample detectors with short pulses of 4-MeV to 16-MeV electrons at the Linac Facility at EG ampersand G Energy Measurements, Inc., Santa Barbara Operations. Measurements of detector sensitivity and impulse response versus detector bias, thickness, and electron beam energy and intensity have been performed and are presented. 5 refs

  3. Homogeneous ZnO nanostructure arrays on GaAs substrates by two-step chemical bath synthesis

    International Nuclear Information System (INIS)

    Huang, Chun-Yuan; Wu, Tzung-Han; Cheng, Chiao-Yang; Su, Yan-Kuin

    2012-01-01

    ZnO nanostructures, including nanowires, nanorods, and nanoneedles, have been deposited on GaAs substrates by the two-step chemical bath synthesis. It was demonstrated that the O 2 -plasma treatment of GaAs substrates prior to the sol–gel deposition of seed layers was essential to conformally grow the nanostructures instead of 2D ZnO bunches and grains on the seed layers. Via adjusting the growth time and concentration of precursors, nanostructures with different average diameter (26–225 nm), length (0.98–2.29 μm), and density (1.9–15.3 × 10 9 cm −2 ) can be obtained. To the best of our knowledge, this is the first demonstration of ZnO nanostructure arrays grown on GaAs substrates by the two-step chemical bath synthesis. As an anti-reflection layer on GaAs-based solar cells, the array of ZnO nanoneedles with an average diameter of 125 nm, a moderate length of 2.29 μm, and the distribution density of 9.8 × 10 9 cm −2 has increased the power conversion efficiency from 7.3 to 12.2 %, corresponding to a 67 % improvement.

  4. ITER TASK T252 (1995):Gamma radiation testing of a GaAs operational amplifier for instrument applications

    International Nuclear Information System (INIS)

    Hiemstra, D.

    1996-03-01

    The purpose of this 1995 ITER task was : to build an improved operational amplifier using GaAs MESFET technology, to build a reference voltage subcircuit using GaAs MESFET technology and to investigate the potential of GaAs HBT's to improve the noise performance of the GaAs MESFET operational amplifier. This work addresses the need for instrumentation-grade components to read sensors in an experimental fusion reactor, where the anticipated total dose for a useful service life is 3Grad(GaAs). It is an extension of our 1994 work. 3 tabs., 6 figs

  5. Basic mechanisms study for MIS solar cell structures on GaAs

    Science.gov (United States)

    Fonash, S. J.

    1978-01-01

    The solar cell structure examined is the MIS configuration on (n) GaAs. The metal room temperature oxide/(n) GaAs materials system was studied. Metals with electronegativities varying from 2.4 (Au) to 1.5 (Al) were used as the upper electrode. The thinnest metallization that did not interfere with the measurement techniques (by introducing essentially transmission line series resistance problems across a device) was used. Photovoltaic response was not optimized.

  6. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    Science.gov (United States)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  7. X-ray electron density distribution of GaAs

    International Nuclear Information System (INIS)

    Pietsch, U.

    1986-01-01

    Using ten X-ray structure amplitudes of strong reflections and nine weak reflections both, the valence electron and the difference electron density distribution of GaAs, are calculated. The experimental data are corrected for anomalous dispersion using a bond charge model. The calculated plots are compared with up to now published band structure-based and semiempirically calculated density plots. Taking into account the experimental data of germanium, measured on the same absolute scale, the difference density between GaAs and Ge is calculated. This exhibits the charge transfer between both the f.c.c.-sublattices as well as both, the shift and the decrease of the bond charge, quite closely connected to the theoretical results published by Baur et al. (author)

  8. Electrical properties of Ga ion beam implanted GaAs epilayer

    International Nuclear Information System (INIS)

    Hirayama, Yoshiro; Okamoto, Hiroshi

    1985-01-01

    Resistivity enhancement by 5 orders or more was realized by Ga focused ion beam implantation into n + and p + GaAs epilayers. For originally n + epilayers, this resistivity enhancement is maintained after annealing as high as 800 deg C. However this enhancement disappears after annealing at above 650 deg C for p + epilayer. This property makes GaAs high resistive only in a limited area whose minimum dimension is 0.1 μm or less, and is attractive for a device fabrication process to electrically isolate integrated elements. (author)

  9. Scanning microwave microscopy applied to semiconducting GaAs structures

    Science.gov (United States)

    Buchter, Arne; Hoffmann, Johannes; Delvallée, Alexandra; Brinciotti, Enrico; Hapiuk, Dimitri; Licitra, Christophe; Louarn, Kevin; Arnoult, Alexandre; Almuneau, Guilhem; Piquemal, François; Zeier, Markus; Kienberger, Ferry

    2018-02-01

    A calibration algorithm based on one-port vector network analyzer (VNA) calibration for scanning microwave microscopes (SMMs) is presented and used to extract quantitative carrier densities from a semiconducting n-doped GaAs multilayer sample. This robust and versatile algorithm is instrument and frequency independent, as we demonstrate by analyzing experimental data from two different, cantilever- and tuning fork-based, microscope setups operating in a wide frequency range up to 27.5 GHz. To benchmark the SMM results, comparison with secondary ion mass spectrometry is undertaken. Furthermore, we show SMM data on a GaAs p-n junction distinguishing p- and n-doped layers.

  10. Simulated and experimental spectroscopic performance of GaAs X-ray pixel detectors

    International Nuclear Information System (INIS)

    Bisogni, M.G.; Cola, A.; Fantacci, M.E.

    2001-01-01

    In pixel detectors, the electrode geometry affects the signal shape and therefore the spectroscopic performance of the device. This effect is enhanced in semiconductors where carrier trapping is relevant. In particular, semi insulating (SI) GaAs crystals present an incomplete charge collection due to a high concentration of deep traps in the bulk. In the last few years, SI GaAs pixel detectors have been developed as soft X-ray detectors for medical imaging applications. In this paper, we present a numerical method to evaluate the local charge collection properties of pixel detectors. A bi-dimensional description has been used to represent the detector geometry. According to recent models, the active region of a reverse biased SI GaAs detector is almost neutral. Therefore, the electrostatic potential inside a full active detector has been evaluated using the Laplace equation. A finite difference method with a fixed step orthogonal mesh has been adopted. The photon interaction point has been generated with a Monte Carlo method according to the attenuation length of a monochromatic X-ray beam in GaAs. The number of photogenerated carriers for each interaction has been extracted using a gaussian distribution. The induced signal on the collecting electrode has been calculated according to the Ramo's theorem and the trapping effect has been modeled introducing electron and hole lifetimes. The noise of the charge preamplifier have been also taken into account. A comparison between simulated and experimental X-ray spectra from a 241 Am source acquired with different GaAs pixel detectors has been carried out

  11. Effect of H{sup +} implantation on the optical properties of semi-insulating GaAs crystals in the IR spectral region

    Energy Technology Data Exchange (ETDEWEB)

    Klyui, N. I.; Lozinskii, V. B., E-mail: lvb@isp.kiev.ua [Jilin University, College of Physics (China); Liptuga, A. I.; Dikusha, V. N. [National Academy of Sciences of Ukraine, Lashkaryov Institute of Semiconductor Physics (Ukraine); Oksanych, A. P.; Kogdas’, M. G.; Perekhrest, A. L.; Pritchin, S. E. [Kremenchug National University (Ukraine)

    2017-03-15

    The optical properties of semi-insulating GaAs crystals subjected to multienergy hydrogen-ion implantation and treatment in a high-frequency electromagnetic field are studied in the infrared spectral region. It is established that such combined treatment provides a means for substantially increasing the transmittance of GaAs crystals to values characteristic of crystals of high optical quality. On the basis of analysis of the infrared transmittance and reflectance data, Raman spectroscopy data, and atomic-force microscopy data on the surface morphology of the crystals, a physical model is proposed to interpret the effects experimentally observed in the crystals. The model takes into account the interaction of radiation defects with the initial structural defects in the crystals as well as the effect of compensation of defect centers by hydrogen during high-frequency treatment.

  12. Towards low-dimensional hole systems in Be-doped GaAs nanowires

    DEFF Research Database (Denmark)

    Ullah, A. R.; Gluschke, J. G.; Jeppesen, Peter Krogstrup

    2017-01-01

    -gates produced using GaAs nanowires with three different Be-doping densities and various AuBe contact processing recipes. We show that contact annealing only brings small improvements for the moderately doped devices under conditions of lower anneal temperature and short anneal time. We only obtain good......GaAs was central to the development of quantum devices but is rarely used for nanowire-based quantum devices with InAs, InSb and SiGe instead taking the leading role. p-type GaAs nanowires offer a path to studying strongly confined 0D and 1D hole systems with strong spin–orbit effects, motivating...... our development of nanowire transistors featuring Be-doped p-type GaAs nanowires, AuBe alloy contacts and patterned local gate electrodes towards making nanowire-based quantum hole devices. We report on nanowire transistors with traditional substrate back-gates and EBL-defined metal/oxide top...

  13. Resistance Fluctuations in GaAs Nanowire Grids

    Directory of Open Access Journals (Sweden)

    Ivan Marasović

    2014-01-01

    Full Text Available We present a numerical study on resistance fluctuations in a series of nanowire-based grids. Each grid is made of GaAs nanowires arranged in parallel with metallic contacts crossing all nanowires perpendicularly. Electrical properties of GaAs nanowires known from previous experimental research are used as input parameters in the simulation procedure. Due to the nonhomogeneous doping, the resistivity changes along nanowire. Allowing two possible nanowire orientations (“upwards” or “downwards”, the resulting grid is partially disordered in vertical direction which causes resistance fluctuations. The system is modeled using a two-dimensional random resistor network. Transfer-matrix computation algorithm is used to calculate the total network resistance. It is found that probability density function (PDF of resistance fluctuations for a series of nanowire grids changes from Gaussian behavior towards the Bramwell-Holdsworth-Pinton distribution when both nanowire orientations are equally represented in the grid.

  14. Polarization and charge limit studies of strained GaAs photocathodes

    International Nuclear Information System (INIS)

    Saez, P.J.

    1997-03-01

    This thesis presents studies on the polarization and charge limit behavior of electron beams produced by strained GaAs photocathodes. These photocathodes are the source of high-intensity, high-polarization electron beams used for a variety of high-energy physics experiments at the Stanford Linear Accelerator Center. Recent developments on P-type, biaxially-strained GaAs photocathodes have produced longitudinal polarization in excess of 80% while yielding beam intensities of ∼ 2.5 A/cm 2 at an operating voltage of 120 kV. The SLAC Gun Test Laboratory, which has a replica of the SLAC injector, was upgraded with a Mott polarimeter to study the polarization properties of photocathodes operating in a high-voltage DC gun. Both the maximum beam polarization and the maximum charge obtainable from these photocathodes have shown a strong dependence on the wavelength of illumination, on the doping concentration, and on the negative electron affinity levels. The experiments performed for this thesis included studying the effects of temperature, cesiation, quantum efficiency, and laser intensity on the polarization of high-intensity beams. It was found that, although low temperatures have been shown to reduce the spin relaxation rate in bulk semiconductors, they don't have a large impact on the polarization of thin photocathodes. It seems that the short active region in thin photocathodes does not allow spin relaxation mechanisms enough time to cause depolarization. Previous observations that lower QE areas on the photocathode yield higher polarization beams were confirmed. In addition, high-intensity, small-area laser pulses were shown to produce lower polarization beams. Based on these results, together with some findings in the existing literature, a new proposal for a high-intensity, high-polarization photocathode is given. It is hoped that the results of this thesis will promote further investigation on the properties of GaAs photocathodes

  15. Observation of dynamic water microadsorption on Au surface

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Xiaokang, E-mail: xiaokang.huang@tqs.com; Gupta, Gaurav; Gao, Weixiang; Tran, Van; Nguyen, Bang; McCormick, Eric; Cui, Yongjie; Yang, Yinbao; Hall, Craig; Isom, Harold [TriQuint Semiconductor, Inc., 500 W Renner Road, Richardson, Texas 75080 (United States)

    2014-05-15

    Experimental and theoretical research on water wettability, adsorption, and condensation on solid surfaces has been ongoing for many decades because of the availability of new materials, new detection and measurement techniques, novel applications, and different scales of dimensions. Au is a metal of special interest because it is chemically inert, has a high surface energy, is highly conductive, and has a relatively high melting point. It has wide applications in semiconductor integrated circuitry, microelectromechanical systems, microfluidics, biochips, jewelry, coinage, and even dental restoration. Therefore, its surface condition, wettability, wear resistance, lubrication, and friction attract a lot of attention from both scientists and engineers. In this paper, the authors experimentally investigated Au{sub 2}O{sub 3} growth, wettability, roughness, and adsorption utilizing atomic force microscopy, scanning electron microscopy, reflectance spectrometry, and contact angle measurement. Samples were made using a GaAs substrate. Utilizing a super-hydrophilic Au surface and the proper surface conditions of the surrounding GaAs, dynamic microadsorption of water on the Au surface was observed in a clean room environment. The Au surface area can be as small as 12 μm{sup 2}. The adsorbed water was collected by the GaAs groove structure and then redistributed around the structure. A model was developed to qualitatively describe the dynamic microadsorption process. The effective adsorption rate was estimated by modeling and experimental data. Devices for moisture collection and a liquid channel can be made by properly arranging the wettabilities or contact angles of different materials. These novel devices will be very useful in microfluid applications or biochips.

  16. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  17. Accelerated GaAs growth through MOVPE for low-cost PV applications

    Science.gov (United States)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  18. Growth Interruption Effect on the Fabrication of GaAs Concentric Multiple Rings by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Fedorov A

    2010-01-01

    Full Text Available Abstract We present the molecular beam epitaxy fabrication and optical properties of complex GaAs nanostructures by droplet epitaxy: concentric triple quantum rings. A significant difference was found between the volumes of the original droplets and the final GaAs structures. By means of atomic force microscopy and photoluminescence spectroscopy, we found that a thin GaAs quantum well-like layer is developed all over the substrate during the growth interruption times, caused by the migration of Ga in a low As background.

  19. Inducer-independent production of pectinases in Aspergillus niger by overexpression of the D-galacturonic acid-responsive transcription factor gaaR.

    Science.gov (United States)

    Alazi, Ebru; Knetsch, Tim; Di Falco, Marcos; Reid, Ian D; Arentshorst, Mark; Visser, Jaap; Tsang, Adrian; Ram, Arthur F J

    2018-03-01

    The transcription factor GaaR is needed for the expression of genes required for pectin degradation and transport and catabolism of the main degradation product, D-galacturonic acid (GA) in Aspergillus niger. In this study, we used the strong constitutive gpdA promoter of Aspergillus nidulans to overexpress gaaR in A. niger. Overexpression of gaaR resulted in an increased transcription of the genes encoding pectinases, (putative) GA transporters, and catabolic pathway enzymes even under non-inducing conditions, i.e., in the absence of GA. Exoproteome analysis of a strain overexpressing gaaR showed that this strain secretes highly elevated levels of pectinases when grown in fructose. The genes encoding exo-polygalacturonases were found to be subjected to CreA-mediated carbon catabolite repression, even in the presence of fructose. Deletion of creA in the strain overexpressing gaaR resulted in a further increase in pectinase production in fructose. We showed that GaaR localizes mainly in the nucleus regardless of the presence of an inducer, and that overexpression of gaaR leads to an increased concentration of GaaR in the nucleus.

  20. Polaron binding energy and effective mass in the GaAs film

    International Nuclear Information System (INIS)

    Wu Zhenhua; Yan Liangxing; Tian Qiang; Li Hua; Liu Bingcan

    2012-01-01

    The binding energy and effective mass of a polaron in a GaAs film deposited on the Al 0.3 Ga 0.7 As substrate are studied theoretically by using the fractional-dimensional space approach. Our calculations show that the polaron binding energy and mass shift decrease monotonously with increasing the film thickness. For the film thicknesses with L w ≤ 70Å and the substrate thicknesses with L b ≤ 200Å, the different values of the substrate thickness influence the polaron binding energy and mass shift in the GaAs film. The polaron binding energy and mass shift increase monotonously with increasing the substrate thickness. For the film thickness with L w ≥ 70Å or the substrate thicknesses with L b ≤ 200Å, the different values of the substrate thickness have no significant influence on the polaron binding energy and mass shift in the GaAs film deposited on the Al 0.3 Ga 0.7 As substrate.

  1. Pulse GaAs field transistor amplifier with subnanosecond time transient

    International Nuclear Information System (INIS)

    Sidnev, A.N.

    1987-01-01

    Pulse amplifier on fast field effect GaAs transistors with Schottky barrier is described. The amplifier contains four cascades, the first three of which are made on combined transistors on the common-drain circuit. The last cascade is made on high-power field effect GaAs transistor for coordination with 50 ohm load. The amplifier operates within the range of input signals from 0.5 up to 100 mV with repetition frequency up to 16 Hz, The gain of the amplifier is ≅ 20 dB. The setting time at output pulses amplitude up to 1 V constitutes ∼ 0.2 ns

  2. Modeling and Design of Graphene GaAs Junction Solar Cell

    Directory of Open Access Journals (Sweden)

    Yawei Kuang

    2015-01-01

    Full Text Available Graphene based GaAs junction solar cell is modeled and investigated by Silvaco TCAD tools. The photovoltaic behaviors have been investigated considering structure and process parameters such as substrate thickness, dependence between graphene work function and transmittance, and n-type doping concentration in GaAs. The results show that the most effective region for photo photogenerated carriers locates very close to the interface under light illumination. Comprehensive technological design for junction yields a significant improvement of power conversion efficiency from 0.772% to 2.218%. These results are in good agreement with the reported experimental work.

  3. Atomic structures of a monolayer of AlAs, GaAs, and InAs on Si(111)

    International Nuclear Information System (INIS)

    Lee, Geunjung; Yoon, Younggui

    2010-01-01

    We study atomic structures of a monolayer of AlAs, GaAs, and InAs on a Si(111) substrate from first-principles. The surface with the stacking sequence of ...SiSiMAsSiAs is energetically more stable than the surface with the stacking sequence of ...SiSiSiAsMAs, where M is Al, Ga, or In. The atomic structure of the three top layers of the low-energy surfaces are quite robust, irrespective of M, and the atomic structure of the AlAsSiAs terminated surface and that of the GaAsSiAs terminated surface are very similar. For the high-energy AsMAs terminated surfaces, the broken local tetrahedral symmetry plays an important role in the atomic structures. The calculated atomic structures of InAs on the Si(111) substrate depart most from the structure of crystalline Si.

  4. Terahertz-radiation generation and detection in low-temperature-grown GaAs epitaxial films on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation); Buriakov, A. M.; Bilyk, V. R.; Mishina, E. D. [Moscow Technological University “MIREA” (Russian Federation); Klimov, E. A. [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation); Vasil’evskii, I. S. [National Research Nuclear University “MEPhI” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation)

    2017-04-15

    The efficiency of the generation and detection of terahertz radiation in the range up to 3 THz by LT-GaAs films containing equidistant Si doping δ layers and grown by molecular beam epitaxy on GaAs (100) and (111)Ga substrates is studied by terahertz spectroscopy. Microstrip photoconductive antennas are fabricated on the film surface. Terahertz radiation is generated by exposure of the antenna gap to femtosecond optical laser pulses. It is shown that the intensity of terahertz radiation from the photoconductive antenna on LT-GaAs/GaAs (111)Ga is twice as large as the intensity of a similar antenna on LT-GaAs/GaAs(100) and the sensitivity of the antenna on LT-GaAs/GaAs (111)Ga as a terahertz-radiation detector exceeds that of the antenna on LT-GaAs/GaAs(100) by a factor of 1.4.

  5. High-Performance GaAs Nanowire Solar Cells for Flexible and Transparent Photovoltaics.

    Science.gov (United States)

    Han, Ning; Yang, Zai-xing; Wang, Fengyun; Dong, Guofa; Yip, SenPo; Liang, Xiaoguang; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2015-09-16

    Among many available photovoltaic technologies at present, gallium arsenide (GaAs) is one of the recognized leaders for performance and reliability; however, it is still a great challenge to achieve cost-effective GaAs solar cells for smart systems such as transparent and flexible photovoltaics. In this study, highly crystalline long GaAs nanowires (NWs) with minimal crystal defects are synthesized economically by chemical vapor deposition and configured into novel Schottky photovoltaic structures by simply using asymmetric Au-Al contacts. Without any doping profiles such as p-n junction and complicated coaxial junction structures, the single NW Schottky device shows a record high apparent energy conversion efficiency of 16% under air mass 1.5 global illumination by normalizing to the projection area of the NW. The corresponding photovoltaic output can be further enhanced by connecting individual cells in series and in parallel as well as by fabricating NW array solar cells via contact printing showing an overall efficiency of 1.6%. Importantly, these Schottky cells can be easily integrated on the glass and plastic substrates for transparent and flexible photovoltaics, which explicitly demonstrate the outstanding versatility and promising perspective of these GaAs NW Schottky photovoltaics for next-generation smart solar energy harvesting devices.

  6. Experimental studies of the charge limit phenomenon in NEA GaAs photocathodes

    International Nuclear Information System (INIS)

    Tang, H.; Alley, R.K.; Aoyagi, H.; Clendenin, J.E.; Frisch, J.C.; Mulhollan, G.A.; Saez, P.J.; Schultz, D.C.; Turner, J.L.

    1994-06-01

    Negative electron affinity GaAs photocathodes have been in continuous use at SLAC for generating polarized electron beams since early 1992. If the quantum efficiency of a GaAs cathode is below a critical value, the maximum photoemitted charge with photons of energies close to the band gap in a 2-ns pulse is found to be limited by the intrinsic properties of the cathode instead of by the space charge limit. We have studied this novel charge limit phenomenon in a variety of GaAs photocathodes of different structures and doping densities. We find that the charge limit is strongly dependent on the cathode's quantum efficiency and the extraction electric field, and to a lesser degree on the excitation laser wavelength. In addition, we show that the temporal behavior of the charge limit depends critically on the doping density

  7. Ab initio-based approach to structural change of compound semiconductor surfaces during MBE growth

    Science.gov (United States)

    Ito, Tomonori; Akiyama, Toru; Nakamura, Kohji

    2009-01-01

    Phase diagrams of GaAs and GaN surfaces are systematically investigated by using our ab initio-based approach in conjunction with molecular beam epitaxy (MBE). The phase diagrams are obtained as a function of growth parameters such as temperature and beam equivalent pressure (BEP). The versatility of our approach is exemplified by the phase diagram calculations for GaAs(0 0 1) surfaces, where the stable phases and those phase boundaries are successfully determined as functions of temperature and As 2 and As 4 BEPs. The initial growth processes are clarified by the phase diagram calculations for GaAs(1 1 1)B-(2×2). The calculated results demonstrate that the As-trimer desorption on the GaAs(1 1 1)B-(2×2) with Ga adatoms occurs beyond 500-700 K while the desorption without Ga adatoms does beyond 800-1000 K. This self-surfactant effect induced by Ga adsorption crucially affects the initial growth of GaAs on the GaAs(1 1 1)B-(2×2). Furthermore, the phase diagram calculations for GaN(0 0 0 1) suggests that Ga adsorption or desorption during GaN MBE growth can easily change the pseudo-(1×1) to the (2×2)-Ga via newly found (1×1) and vice versa. On the basis of this finding, the possibility of ghost island formation during MBE growth is discussed.

  8. Self-assisted GaAs nanowires with selectable number density on Silicon without oxide layer

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Esposito, L; Sanguinetti, S; Frigeri, C; Fedorov, A; Geelhaar, L

    2014-01-01

    We present the growth of self-assisted GaAs nanowires (NWs) with selectable number density on bare Si(1 1 1), not covered by the silicon oxide. We determine the number density of the NWs by initially self-assembling GaAs islands on whose top a single NW is nucleated. The number density of the initial GaAs base islands can be tuned by droplet epitaxy and the same degree of control is then transferred to the NWs. This procedure is completely performed during a single growth in an ultra-high vacuum environment and requires neither an oxide layer covering the substrate, nor any pre-patterning technique. (paper)

  9. Towards quantum dots on GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Moesl, Johannes; Ludwig, Stefan [Fakultaet fuer Physik, Center for NanoScience, LMU Munich, Geschwister-Scholl- Platz 1, D-80539 Muenchen (Germany); Fontcuberta i Morral, Anna [TU Munich, Walter Schottky Institut, Am Coulombwall 3, 85748 Garching (Germany); EPF, Lausanne (Switzerland)

    2009-07-01

    Semiconductor nanowires is an emergent research topic in the field of nanoelectronics, as they form an excellent building block for 0D and 1D applications and allow novel architectures and material combinations. We study electronic transport properties of catalyst-free MBE grown GaAs nanowires, p-doped at a number of different doping levels. Detailed characterization of the wires including electronic contacts fabricated by e-beam lithography and based on palladium or annealed zinc-silver alloys are discussed. Contact properties and a pronounced hysteresis of the current through the nanowires, as a backgate-voltage is swept, are explained within tentative models. In addition we present first transport measurements on quantum dots, which are defined electrostatically as well as by etched constrictions.

  10. Multilayers of GaAs/Mn deposited on a substrate of GaAs (001)

    International Nuclear Information System (INIS)

    Bernal-Salamanca, M; Pulzara-Mora, A; Rosales-Rivera, A; Molina-Valdovinos, S; Melendez-Lira, M; Lopez-Lopez, M

    2009-01-01

    In this work GaAs/Mn multilayers were deposited on GaAs (001) substrates by R.F magnetron sputtering technique, varying the deposition time (tg). Scanning electron and atomic force Microscopy studies were realized on the surface of the samples in order to determine the morphology and average roughness. X-ray diffraction spectra show that our samples tend to do amorphous. Raman spectroscopy at room temperature was employed to analyze the structural properties of the samples. We found that for a GaAs film taken as reference, the Raman spectra is dominated by the transverse (TO) and longitudinal (LO) modes located at 266 cm -1 and 291 cm -1 , respectively. However, for the GaAs/Mn multilayers the TO and LO modes decrease dramatically, and the Mn Raman modes in the range of 100 cm -1 and 250 cm -1 are evidenced. Additional new peaks located around 650 and 690 cm -1 are only observed for the samples with high Mn content. By using the mass reduced model we estimate that the Mn related peaks are located at 650.2 cm -1 and 695.2 cm -1 , in good agreement with the experimental data, these peaks are correlated with excitations due to (Mn) m As n localized structures.

  11. Comparison of different grading schemes in InGaAs metamorphic buffers on GaAs substrate: Tilt dependence on cross-hatch irregularities

    International Nuclear Information System (INIS)

    Kumar, Rahul; Bag, Ankush; Mukhopadhyay, Partha; Das, Subhashis; Biswas, Dhrubes

    2015-01-01

    Highlights: • InGaAs graded MBs with different grading scheme has been grown by MBE on GaAs. • Continuously graded MB exhibits smoother surface morphology. • Grading scheme has been found to have little impact on lattice relaxation. • Grading schemeaffects the lattice tilt significantly. • Cross-hatch surface irregularities affect the crystallographic tilt. - Abstract: InGaAs graded metamorphic buffers (MBs) with different grading strategies have been grown by molecular beam epitaxy (MBE) on GaAs (0 0 1) substrate. A detailed comparative analysis of surface using atomic force microscopy (AFM), and bulk properties using high resolution X-ray diffraction (HRXRD) and room temperature photoluminescence (RTPL) of grown MBs have been presented to comprehend the effectiveness of different grading scheme on InGaAs MBs. Conventional, statistical and fractal analysis on measured AFM data has been performed for in-depth investigation of these surfaces. The grading scheme has been found to have little impact on residual strain while it affects the epitaxial tilt significantly. Moreover, the tilt has been found to depend on growth front irregularities. Tilt magnitude in a graded MB has been found to vary with composition while tilt azimuth has been found to be almost same in the graded layers. PL Intensity and a shift in the PL peaks have been used to study the quality of the MB and residual strain comparatively.

  12. Comparison of different grading schemes in InGaAs metamorphic buffers on GaAs substrate: Tilt dependence on cross-hatch irregularities

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Rahul, E-mail: rkp203@gmail.com [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Bag, Ankush [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Mukhopadhyay, Partha [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur 721302 (India); Das, Subhashis [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Biswas, Dhrubes [Department of Electronics & Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India)

    2015-12-01

    Highlights: • InGaAs graded MBs with different grading scheme has been grown by MBE on GaAs. • Continuously graded MB exhibits smoother surface morphology. • Grading scheme has been found to have little impact on lattice relaxation. • Grading schemeaffects the lattice tilt significantly. • Cross-hatch surface irregularities affect the crystallographic tilt. - Abstract: InGaAs graded metamorphic buffers (MBs) with different grading strategies have been grown by molecular beam epitaxy (MBE) on GaAs (0 0 1) substrate. A detailed comparative analysis of surface using atomic force microscopy (AFM), and bulk properties using high resolution X-ray diffraction (HRXRD) and room temperature photoluminescence (RTPL) of grown MBs have been presented to comprehend the effectiveness of different grading scheme on InGaAs MBs. Conventional, statistical and fractal analysis on measured AFM data has been performed for in-depth investigation of these surfaces. The grading scheme has been found to have little impact on residual strain while it affects the epitaxial tilt significantly. Moreover, the tilt has been found to depend on growth front irregularities. Tilt magnitude in a graded MB has been found to vary with composition while tilt azimuth has been found to be almost same in the graded layers. PL Intensity and a shift in the PL peaks have been used to study the quality of the MB and residual strain comparatively.

  13. Adsorption configurations of hydrocarbon ring molecules on GaAs(001)-c(4 x 4)

    Energy Technology Data Exchange (ETDEWEB)

    Passmann, R.; Bruhn, T.; Esser, N.; Vogt, P. [Institut fuer Festkoerperphysik, Technische Universitaet Berlin (Germany); ISAS, Institute for Analytical Sciences, Department Berlin, Berlin (Germany); Nilsen, T.A.; Fimland, B.O. [Department of Electronics and Telecomunications, Norwegian University of Science and Technology, Trondheim (Norway); Kneissl, M. [Institut fuer Festkoerperphysik, Technische Universitaet Berlin (Germany)

    2009-07-15

    The understanding of self-assembly and bonding mechanisms of organic molecules on semiconductor surfaces represents a central research aspect in the investigation of novel organic/inorganic interfaces and their technological applicability. Here, we investigated the adsorption and bond formation of cyclopentene and 1,4-cyclohexadiene on a GaAs(001)-c(4 x 4) surface in order to clarify the influence of the number of intra-molecular C=C double bonds on the respective adsorption sites. For a determination of the adsorption configuration, the interfaces were characterized electronically and optically by synchrotron based X-ray photoelectron spectroscopy (SXPS), low energy electron diffraction (LEED) and reflectance anisotropy spectroscopy (RAS). The results reveal significantly different adsorption configurations for the two molecules. While cyclopentene bonds with a single covalent bond to the surface, 1,4-cyclohexadiene adsorbs onto the surface by the formation of multiple covalent bonds, e.g. bridge bonds. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. MOCVD growth of CdTe and HgTe on GaAs in a vertical, high-speed, rotating-disc reactor

    International Nuclear Information System (INIS)

    Tompa, G.S.; Nelson, C.R.; Reinert, P.D.; Saracino, M.A.; Terrill, L.A.; Colter, P.C.

    1989-01-01

    The metalorganic chemical vapor deposition (MOCVD) growth of CdTe and HgTe on GaAs (111) and (100) substrates in a vertical, high-speed, rotating-disc reactor was investigated. A range of total reactor pressure, carrier gas flow rate, chemical concentrations, deposition temperature, and rotation rate have been investigated in an attempt to optimize growth conditions. Diisopropyltelluride (DIPTe) and Dimethylcadmium (DMCd) were used as growth precursors. Thickness uniformity varies less than +/- 1.5% over 50 mm diameter wafers. Films having FWHM X-ray rocking curves less than 90 arcsec were obtained on GaAs (111) substrates. The films have excellent surface morphology, exhibiting less than 5 x 10 4 cm - 2 orange peel dents which are much-lt 1 μm in size. An elemental mercury source was added to the growth system. Initial results for the growth of HgTe and HgCdTe are discussed

  15. Effect of AlSb quantum dots on efficiency of GaAs solar cell (Conference Presentation)

    Science.gov (United States)

    Mansoori, Ahmad; Addamane, Sadhvikas J.; Renteria, Emma J.; Shima, Darryl M.; Hains, Christopher P.; Balakrishnan, Ganesh

    2016-09-01

    Quantum Dots (QDs) have a broad applications in science and specifically in solar cell. Many research groups show that by adding QDs with lower bandgap respect to host material, the overall absorption of sun spectrum coverage will increase. Here, we propose using QDs with higher band gap respect to host material to improve efficiency of solar cell by improving quantum efficiency. GaAs solar cells have the highest efficiency in single junction solar cells. However, the absorption of GaAs is not good enough in wavelength lower than 550nm. AlSb can absorb shorter wavelength with higher absorption coefficient and also recombination rate should be lower because of higher bandgap of AlSb respect to GaAs. We embed AlSb QDs in GaAs solar cells and results show slight improvement in quantum efficiency and also in overall efficiency. Coverage of AlSb QDs has a direct impact on quality of AlSb QDs and efficiency of cell. In the higher coverage, intermixing between GaAs and AlSb causes to shift bandgap to lower value (having AlGaSb QDs instead of pure AlSb QDs). This intermixing decrease the Voc and overall efficiency of cell. In lower coverage, AlSb can survive from intermixing and overall performance of cell improves. Optimizing growth condition of AlSb QDs is a key point for this work. By using AlSb QDs, we can decrease the thickness of active layer of GaAs solar cells and have a thinner solar cell.

  16. Analysis of GAA/TTC DNA triplexes using nuclear magnetic resonance and electrospray ionization mass spectrometry.

    Science.gov (United States)

    Mariappan, S V Santhana; Cheng, Xun; van Breemen, Richard B; Silks, Louis A; Gupta, Goutam

    2004-11-15

    The formation of a GAA/TTC DNA triplex has been implicated in Friedreich's ataxia. The destabilization of GAA/TTC DNA triplexes either by pH or by binding to appropriate ligands was analyzed by nuclear magnetic resonance (NMR) and positive-ion electrospray mass spectrometry. The triplexes and duplexes were identified by changes in the NMR chemical shifts of H8, H1, H4, 15N7, and 15N4. The lowest pH at which the duplex is detectable depends upon the overall stability and the relative number of Hoogsteen C composite function G to T composite function A basepairs. A melting pH (pHm) of 7.6 was observed for the destabilization of the (GAA)2T4(TTC)2T4(CTT)2 triplex to the corresponding Watson-Crick duplex and the T4(CTT)2 overhang. The mass spectrometric analyses of (TTC)6.(GAA)6 composite function(TTC)6 triplex detected ions due to both triplex and single-stranded oligonucleotides under acidic conditions. The triplex ions disappeared completely at alkaline pH. Duplex and single strands were detectable only at neutral and alkaline pH values. Mass spectrometric analyses also showed that minor groove-binding ligands berenil, netropsin, and distamycin and the intercalating ligand acridine orange destabilize the (TTC)6.(GAA)6 composite function (TTC)6 triplex. These NMR and mass spectrometric methods may function as screening assays for the discovery of agents that destabilize GAA/TTC triplexes and as general methods for the characterization of structure, dynamics, and stability of DNA and DNA-ligand complexes.

  17. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko; Haggren, Tuomas; Lipsanen, Harri [Department of Micro- and Nanosciences, Micronova, Aalto University, P.O. Box 13500, FI-00076 (Finland); Naureen, Shagufta; Shahid, Naeem [Research School of Physics & Engineering, Department of Electronic Materials Engineering, Australian National University, Canberra ACT 2601 (Australia); Jiang, Hua; Kauppinen, Esko [Department of Applied Physics and Nanomicroscopy Center, Aalto University, P.O. Box 15100, FI-00076 (Finland); Srinivasan, Anand [School of Information and Communication Technology, KTH Royal Institute of Technology, Electrum 229, S-164 40 Kista (Sweden)

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  18. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Veer Dhaka

    2016-01-01

    Full Text Available Low temperature (∼200 °C grown atomic layer deposition (ALD films of AlN, TiN, Al2O3, GaN, and TiO2 were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP nanowires (NWs, and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL at low temperatures (15K, and the best passivation was achieved with a few monolayer thick (2Å film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL was achieved with a capping of 2nm thick Al2O3. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al2O3 layer increased the carrier decay time from 251 ps (as-etched nanopillars to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al2O3 provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  19. Ab initio atomistic thermodynamics calculations of the initial deposition of epitaxial MgO film on GaAs(001)-β2(2 × 4)

    International Nuclear Information System (INIS)

    Tamarany, Rizcky; Lee, Seung-Cheol; Kim, Hyung-Jun; Choi, Jung-Hae

    2013-01-01

    Ab initio calculations were performed to investigate the initial deposition of epitaxial MgO on GaAs(001)-β2(2 × 4). The differences between the chemical bonding of Mg-As and O-As were characterized by the adsorption energies of atomic O and Mg at several symmetrically distinct sites, and O bonding was substantially stronger than that of Mg. Thermodynamics were analyzed through the introduction of environmental chemical potentials simulating in situ growth conditions by the sputtering of a stoichiometric MgO target. A surface phase diagram was generated under Mg and O environments with constrained equilibrium, and the results explained the initial formation of an epitaxial MgO phase on GaAs(001)-β2(2 × 4).

  20. Characterization of a Ga-assisted GaAs nanowire array solar cell on si substrate

    DEFF Research Database (Denmark)

    Boulanger, J. P.; Chia, A. C. E.; Wood, B.

    2016-01-01

    A single-junction core-shell GaAs nanowire (NW) solar cell on Si (1 1 1) substrates is presented. A Ga-assisted vapor–liquid–solid growth mechanism was used for the formation of a patterned array of radial p-i-n GaAs NWs encapsulated in AlInP passivation. Novel device fabrication utilizing facet-...

  1. Photoabsorption modulation in GaAs: Ga1-xInx as strained-layer superlattices

    International Nuclear Information System (INIS)

    Sella, I.; Watkins, D.E.; Laurich, B.K.; Smith, D.L.; Subbanna, S.; Kroemer, H.

    1990-01-01

    Photoabsorption modulation measurements have been made on Ga 1 -x In x As -- GaAs strained-layer superlattices using two approaches: In the first the modulating beam and the test beam have the same wavelength (near the exciton resonance). In the second, the modulation wavelength is much shorter than the test beam wavelength. A dramatic difference is observed in the modulated transmission spectra near the excitonic level for the two modulating wavelengths. The difference in behavior can be explained by screening of the residual surface electric field, which only occurs for the high photon energy modulating beam. This beam excites carriers that are free to drift in the surface field before they are captured in the quantum wells. Carriers excited by the low photon energy modulation beam are created in the wells and can not effectively screen the surface field. We describe a model which explains the nonlinear intensity saturation profile and qualitatively describes the spectral line shape. 4 refs., 4 figs

  2. Radiation-induced effects in GaAs thin-film optical (10.6 μm) waveguides

    International Nuclear Information System (INIS)

    Share, S.; Epstein, A.S.; Monse, T.; Chang, W.S.C.; Chang, M.S.

    1976-01-01

    Two types of GaAs thin-film optical waveguide structures operating at 10.6 μm were examined before and after exposure to neutron and γ irradiation. The attenuation rate of the GaAs/n + -GaAs structure was particularly sensitive to neutron irradiation of 10 13 cm -2 and exhibited postirradiation annealing at 150 0 C. This is in contrast to the relative neutron irradiation insensitivity of a GaAs/GaAs 1 /sub -//subx/P/subx//n + -GaAs structure. The effect of γ radiation is less pronounced for both structures. The radiation-induced changes are discussed in terms of free-carrier absorption, index of refraction, scattering centers, and absorption by complexes

  3. Schottky barrier measurements on individual GaAs nanowires by X-ray photoemission microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Di Mario, Lorenzo [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Turchini, Stefano, E-mail: stefano.turchini@cnr.it [ISM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Zamborlini, Giovanni; Feyer, Vitaly [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, 52425 Jülich (Germany); Tian, Lin [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Schneider, Claus M. [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, 52425 Jülich (Germany); Fakultät für Physik and Center for Nanointegration Duisburg-Essen (CENIDE), Universität Duisburg-Essen, D-47048 Duisburg (Germany); Rubini, Silvia [IOM-CNR, TASC Laboratory, Basovizza 34149, Trieste (Italy); Martelli, Faustino, E-mail: faustino.martelli@cnr.it [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy)

    2016-11-15

    Highlights: • The Schottky barrier at the interface between Cu and GaAs nanowires was measured. • Individual nanowires were investigated by X-ray Photoemission Microscopy. • The Schottky barrier at different positions along the nanowire was evaluated. - Abstract: We present measurements of the Schottky barrier height on individual GaAs nanowires by means of x-ray photoelectron emission microscopy (XPEEM). Values of 0.73 and 0.51 eV, averaged over the entire wires, were measured on Cu-covered n-doped and p-doped GaAs nanowires, respectively, in agreement with results obtained on bulk material. Our measurements show that XPEEM can become a feasible and reliable investigation tool of interface formation at the nanoscale and pave the way towards the study of size-dependent effects on semiconductor-based structures.

  4. Heat load of a GaAs photocathode in an SRF electron gun

    International Nuclear Information System (INIS)

    Wang Erdong; Zhao Kui; Jorg Kewisch; Ilan Ben-Zvi; Andrew Burrill; Trivini Rao; Wu Qiong; Animesh Jain; Ramesh Gupta; Doug Holmes

    2011-01-01

    A great deal of effort has been made over the last decades to develop a better polarized electron source for high energy physics. Several laboratories operate DC guns with a gallium arsenide photocathode, which yield a highly polarized electron beam. However, the beam's emittance might well be improved by using a superconducting radio frequency (SRF) electron gun, which delivers beams of a higher brightness than that from DC guns because the field gradient at the cathode is higher. SRF guns with metal and CsTe cathodes have been tested successfully. To produce polarized electrons, a Gallium-Arsenide photo-cathode must be used: an experiment to do so in a superconducting RF gun is under way at BNL. Since a bulk gallium arsenide (GaAs) photocathode is normal conducting, a problem arises from the heat load stemming from the cathode. We present our measurements of the electrical resistance of GaAs at cryogenic temperatures, a prediction of the heat load and verification by measuring the quality factor of the gun with and without the cathode at 2 K. We simulate heat generation and flow from the GaAs cathode using the ANSYS program. By following the findings with the heat load model, we designed and fabricated a new cathode holder (plug) to decrease the heat load from GaAs. (authors)

  5. Interface structure and composition of MoO3/GaAs(0 0 1)

    Science.gov (United States)

    Sarkar, Anirban; Ashraf, Tanveer; Grafeneder, Wolfgang; Koch, Reinhold

    2018-04-01

    We studied growth, structure, stress, oxidation state as well as surface and interface structure and composition of thermally-evaporated thin MoO3 films on the technologically important III/V-semiconductor substrate GaAs(0 0 1). The MoO3 films grow with Mo in the 6+  oxidation state. The electrical resistance is tunable by the oxygen partial pressure during deposition from transparent insulating to semi-transparant halfmetallic. In the investigated growth temperature range (room temperature to 200 °C) no diffraction spots are detected by x-ray diffraction. However, high resolution transmission electron microscopy reveals the formation of MoO3 nanocrystal grains with diameters of 5–8 nm. At the interface a  ≈3 nm-thick intermediate layer has formed, where the single-crystal lattice of GaAs gradually transforms to the nanocrystalline MoO3 structure. This interpretation is corroborated by our in situ and real-time stress measurements evidencing a two-stage growth process as well as by elemental interface analysis revealing coexistance of Ga, As, Mo, and oxygen in a intermediate layer of 3–4 nm.

  6. Antisense Oligonucleotides Promote Exon Inclusion and Correct the Common c.-32-13T>G GAA Splicing Variant in Pompe Disease

    Directory of Open Access Journals (Sweden)

    Erik van der Wal

    2017-06-01

    Full Text Available The most common variant causing Pompe disease is c.-32-13T>G (IVS1 in the acid α-glucosidase (GAA gene, which weakens the splice acceptor of GAA exon 2 and induces partial and complete exon 2 skipping. It also allows a low level of leaky wild-type splicing, leading to a childhood/adult phenotype. We hypothesized that cis-acting splicing motifs may exist that could be blocked using antisense oligonucleotides (AONs to promote exon inclusion. To test this, a screen was performed in patient-derived primary fibroblasts using a tiling array of U7 small nuclear RNA (snRNA-based AONs. This resulted in the identification of a splicing regulatory element in GAA intron 1. We designed phosphorodiamidate morpholino oligomer-based AONs to this element, and these promoted exon 2 inclusion and enhanced GAA enzyme activity to levels above the disease threshold. These results indicate that the common IVS1 GAA splicing variant in Pompe disease is subject to negative regulation, and inhibition of a splicing regulatory element using AONs is able to restore canonical GAA splicing and endogenous GAA enzyme activity.

  7. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    CERN Document Server

    Verbitskaya, E; Ivanov, A; Strokan, N; Vasilev, V; Markov, A; Polyakov, A; Gavrin, V; Kozlova, Y; Veretenkin, E; Bowles, T J

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p sup + -i-n sup + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E sub v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E sub v +0....

  8. Optical Properties of GaAs Quantum Dots Fabricated by Filling of Self-Assembled Nanoholes

    Directory of Open Access Journals (Sweden)

    Heyn Ch

    2009-01-01

    Full Text Available Abstract Experimental results of the local droplet etching technique for the self-assembled formation of nanoholes and quantum rings on semiconductor surfaces are discussed. Dependent on the sample design and the process parameters, filling of nanoholes in AlGaAs generates strain-free GaAs quantum dots with either broadband optical emission or sharp photoluminescence (PL lines. Broadband emission is found for samples with completely filled flat holes, which have a very broad depth distribution. On the other hand, partly filling of deep holes yield highly uniform quantum dots with very sharp PL lines.

  9. First-principles study of structural, electronic, and optical properties of surface defects in GaAs(001) - β2(2x4)

    Science.gov (United States)

    Bacuyag, Dhonny; Escaño, Mary Clare Sison; David, Melanie; Tani, Masahiko

    2018-06-01

    We performed first-principles calculations based on density functional theory (DFT) to investigate the role of point defects in the structural, electronic, and optical properties of the GaAs(001)- β2(2x4). In terms of structural properties, AsGa is the most stable defect structure, consistent with experiments. With respect to the electronic structure, band structures revealed the existence of sub-band and midgap states for all defects. The induced sub-bands and midgap states originated from the redistributions of charges towards these defects and neighboring atoms. The presence of these point defects introduced deep energy levels characteristic of EB3 (0.97 eV), EL4 (0.52 eV), and EL2 (0.82 eV) for AsGa, GaAs, GaV, respectively. The optical properties are found to be strongly related to these induced gap states. The calculated onset values in the absorption spectra, corresponding to the energy gaps, confirmed the absorption below the known bulk band gap of 1.43 eV. These support the possible two-step photoabsorption mediated by midgap states as observed in experiments.

  10. Growth initiation processes for GaAs and AlGaAs in CBE

    International Nuclear Information System (INIS)

    Hill, D.

    2002-01-01

    The aim of this work was to investigate the nature of the transient period found in reflectance anisotropy (RA) measurements of high III:V BEP ratio growth of gallium arsenide (GaAs) and aluminium gallium arsenide (AIGaAs) by chemical beam epitaxy (CBE). Growth at substrate temperatures between 510-610 deg C with arsine (AsH 3 ) thermally cracked to As 2 , triethylgallium (TEGa), trimethylgallium (TMGa), trimethylaminealane (TMAA) and diethylmethylaminealane (DEMAA) at high III:V BEP ratios reveals that the transition from 'pre-growth' to 'in-growth' reconstructions is not as straightforward as that for lower III:V BEP ratio growth. Instead of the reconstruction changing directly to the usual 2x4 'in-growth' reconstruction over 1-2 seconds it passes through several other transient reconstructions over a period of up to and greater than 60s, firstly the Ga rich 4x2 then several other 2x4 As-stable reconstructions. It has been shown that at the III:V BEP ratios and substrate temperatures used in this work growth is taking place in a transitional area of the phase diagram for 'in-growth' reconstructions. At higher III:V BEP ratio growth the transition is believed to be direct, from the 'pre-growth' reconstruction to a 4x2 Ga-rich 'in-growth' reconstruction. The surfaces grown with any of the precursors are initially saturated with Ga and then as the As coverage gradually increases the reconstructions change until enough As is present on the surface for usual 2x4 'in-growth' reconstruction to stabilise. However unlike for TMGa, GaAs growth with TEGa proceeds by a non-self limiting growth mode and TEGa rapidly dissociates. The result of this is that TEGa decomposes on top of other TEGa molecules, or their fragments and due to the high flux rate this leads to a 'stacking-up' of Ga on the surface. The presence of excess Ga provides a rapid increase of surface reflectance and then its subsequent decay as the excess Ga is incorporated by the increasing As content of the

  11. GaAs nanowire array solar cells with axial p-i-n junctions.

    Science.gov (United States)

    Yao, Maoqing; Huang, Ningfeng; Cong, Sen; Chi, Chun-Yung; Seyedi, M Ashkan; Lin, Yen-Ting; Cao, Yu; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2014-06-11

    Because of unique structural, optical, and electrical properties, solar cells based on semiconductor nanowires are a rapidly evolving scientific enterprise. Various approaches employing III-V nanowires have emerged, among which GaAs, especially, is under intense research and development. Most reported GaAs nanowire solar cells form p-n junctions in the radial direction; however, nanowires using axial junction may enable the attainment of high open circuit voltage (Voc) and integration into multijunction solar cells. Here, we report GaAs nanowire solar cells with axial p-i-n junctions that achieve 7.58% efficiency. Simulations show that axial junctions are more tolerant to doping variation than radial junctions and lead to higher Voc under certain conditions. We further study the effect of wire diameter and junction depth using electrical characterization and cathodoluminescence. The results show that large diameter and shallow junctions are essential for a high extraction efficiency. Our approach opens up great opportunity for future low-cost, high-efficiency photovoltaics.

  12. Secondary ion yield changes in Si and GaAs due to topography changes during O+2 or Cs+ ion bombardment

    International Nuclear Information System (INIS)

    Stevie, F.A.; Kahora, P.M.; Simons, D.S.; Chi, P.

    1988-01-01

    Changes in secondary ion yields of matrix and dopant species have been correlated with changes in surface topography during O + 2 bombardment of Si and GaAs. In Si, profiles were measured in (100) wafers at 6- and 8-keV impact energy. At 6 keV, a yield increase of about 70% occurred for Si + over a depth range of 2.5 to 3.5 μm, with changes in other species ranging from a decrease of ∼20% for Si + 3 to an increase of more than 25% for O + . The development of a rippled surface topography was observed in scanning electron micrographs over the same depth range. Similar effects occurred over a 3--5 μm depth range for 8-keV ions, and in (111) silicon at a depth of 3 to 4 μm for 6-keV ions. No differences were noted between p- and n-type silicon, or implanted and unimplanted silicon. In GaAs, profiles were measured in (100) wafers at 2.5-, 5.5-, and 8-keV impact energies. At 8 keV, a yield increase of about 70% was found for GaO + in the range 0.6--1.0 μm, with smaller changes for other matrix species. At 5.5 keV, similar effects were observed, but over a depth interval of 0.3 to 0.7 μm. No yield changes were detected at 2.5-keV impact energy. The yield changes at the higher energies were again correlated with the onset of changes in topography. No change in ion yield or surface topography was noted for Cs + bombardment of Si or GaAs. The topography and ion yield changes are affected by the angle of incidence and, for Si, the oxygen coverage. The results show that the practice of normalizing secondary ion mass spectrometry dopant profiles to a matrix signal must be modified for situations where matrix yield changes occur

  13. Ab initio atomistic thermodynamics calculations of the initial deposition of epitaxial MgO film on GaAs(001)-{beta}2(2 Multiplication-Sign 4)

    Energy Technology Data Exchange (ETDEWEB)

    Tamarany, Rizcky; Lee, Seung-Cheol [Korea Institute of Science and Technology, Seoul 136-791 (Korea, Republic of); Department of Nanomaterials Science and Engineering, University of Science and Technology, Daejeon 305-350 (Korea, Republic of); Kim, Hyung-Jun; Choi, Jung-Hae [Korea Institute of Science and Technology, Seoul 136-791 (Korea, Republic of)

    2013-02-07

    Ab initio calculations were performed to investigate the initial deposition of epitaxial MgO on GaAs(001)-{beta}2(2 Multiplication-Sign 4). The differences between the chemical bonding of Mg-As and O-As were characterized by the adsorption energies of atomic O and Mg at several symmetrically distinct sites, and O bonding was substantially stronger than that of Mg. Thermodynamics were analyzed through the introduction of environmental chemical potentials simulating in situ growth conditions by the sputtering of a stoichiometric MgO target. A surface phase diagram was generated under Mg and O environments with constrained equilibrium, and the results explained the initial formation of an epitaxial MgO phase on GaAs(001)-{beta}2(2 Multiplication-Sign 4).

  14. An ultra-high-speed direct digital frequency synthesizer implemented in GaAs HBT technology

    International Nuclear Information System (INIS)

    Chen Gaopeng; Wu Danyu; Jin Zhi; Liu Xinyu

    2010-01-01

    This paper presents a 10-GHz 8-bit direct digital synthesizer (DDS) microwave monolithic integrated circuit implemented in 1 μm GaAs HBT technology. The DDS takes a double-edge-trigger (DET) 8-stage pipeline accumulator with sine-weighted DAC-based ROM-less architecture, which can maximize the utilization ratio of the GaAs HBT's high-speed potential. With an output frequency up to 5 GHz, the DDS gives an average spurious free dynamic range of 23.24 dBc through the first Nyquist band, and consumes 2.4 W of DC power from a single -4.6 V DC supply. Using 1651 GaAs HBT transistors, the total area of the DDS chip is 2.4 x 2.0 mm 2 . (semiconductor integrated circuits)

  15. Exciton states in GaAs δ-doped systems under magnetic fields and hydrostatic pressure

    Energy Technology Data Exchange (ETDEWEB)

    Mora-Ramos, M.E. [Facultad de Ciencias, Universidad Autónoma del Estado de Morelos, Ave. Universidad 1001, CP 62209 Cuernavaca, Morelos (Mexico); Instituto de Física, Universidad de Antioquia, AA 1226 Medellín (Colombia); Duque, C.A., E-mail: cduque@fisica.udea.edu.co [Instituto de Física, Universidad de Antioquia, AA 1226 Medellín (Colombia)

    2013-04-15

    Excitons in GaAs n-type δ-doped quantum wells are studied taking into account the effects of externally applied magnetic fields as well as of hydrostatic pressure. The one-dimensional potential profile in both the conduction and valence bands is described including Hartree effects via a Thomas–Fermi-based local density approximation. The allowed uncorrelated energy levels are calculated within the effective mass and envelope function approximations by means of an expansion over an orthogonal set of infinite well eigenfunctions and a variational method is used to obtain the exciton states. The results are presented as functions of the two-dimensional doping concentration and the magnetic field strength for zero and finite values of the hydrostatic pressure. In general, it is found that the exciton binding energy is a decreasing function of the doping-density and an increasing function of the magnetic field intensity. A comparison with recent experiments on exciton-related photoluminescence in n-type δ-doped GaAs is made.

  16. Computer-Aided Design of Microstrip GaAs Mesfet Amplifiers

    DEFF Research Database (Denmark)

    Nielsen, Niels Ole

    1976-01-01

    Results on computer-aided design of broadband GaAs MESFET amplifiers in microstrip is presented. The analysis of an amplifier is based on measured scattering parameters and a model of the microstrip structure, which includes parasitics and junction effects. The optimized performance of one stage...... amplifiers with lossless distributed matching elements is presented. Realized amplifiers are in good agreement with the theory. One stage amplifiers with a 1 ¿m FET in chip form exhibit 5.8 dB of gain in the range 8-12 GHz, while a gain of 4.5 dB from 4-8 GHz has been obtained with a packaged 1 ¿m FET....

  17. Theoretical aspects of studies of oxide and semiconductor surfaces using low energy positrons

    Science.gov (United States)

    Fazleev, N. G.; Maddox, W. B.; Weiss, A. H.

    2011-01-01

    This paper presents the results of a theoretical study of positron surface and bulk states and annihilation characteristics of surface trapped positrons at the oxidized Cu(100) single crystal and at both As- and Ga-rich reconstructed GaAs(100) surfaces. The variations in atomic structure and chemical composition of the topmost layers of the surfaces associated with oxidation and reconstructions and the charge redistribution at the surfaces are found to affect localization and spatial extent of the positron surface-state wave functions. The computed positron binding energy, work function, and annihilation characteristics reveal their sensitivity to charge transfer effects, atomic structure and chemical composition of the topmost layers of the surfaces. Theoretical positron annihilation probabilities with relevant core electrons computed for the oxidized Cu(100) surface and the As- and Ga-rich reconstructed GaAs(100) surfaces are compared with experimental ones estimated from the positron annihilation induced Auger peak intensities measured from these surfaces.

  18. Structure, magnetism, and interface properties of epitactical thin Fe and FePt films on GaAs(001) substrates; Struktur, Magnetismus und Grenzflaecheneigenschaften epitaktischer duenner Fe- und FePt-Filme auf GaAs(001)-Substraten

    Energy Technology Data Exchange (ETDEWEB)

    Schuster, Ellen Ursula

    2007-12-17

    The research in this thesis is focused on the study of the Fe spin structure and interface magnetism of thin epitaxial Fe layers or epitaxial FePt alloy films with chemical L1{sub 0} order on GaAs(001) surfaces. The main method of investigation was isotope-specific conversion electron Moessbauer spectroscopy (CEMS) combined with the {sup 57}Fe probe-layer technique in the temperature range of 4.2-300 K. The film structure was studied using electron diffraction (RHEED) and X-ray diffraction (XRD). The chemical order parameter S determined by XRD was found to increase with rising growth temperature, T{sub S}, to a maximum value of 0.71, until long range order is destroyed at T{sub S}>350 C by alloying with the substrate. As an important result a linear correlation between short-range order (revealed by the relative spectral area of the L1{sub 0} phase) and long-range order S was observed. The observed perpendicular Fe spin texture, characterized by the mean tilting angle left angle {theta} right angle of the Fe spins (relative to the film normal direction), was found to correlate with the L1{sub 0} phase content and with S. Furthermore, epitaxial Fe(001) films on GaAs(001)-(4 x 6) and on GaAs(001)-LED surfaces were grown successfully. In the initial stage of Fe film growth non-monotonous behavior of the in-plane lattice parameter was observed by RHEED. The magnetic hyperfine field distributions P(B{sub hf}) at the Fe/GaAs interface extracted from CEMS spectra for T{sub S}=-140 C or room temperature (RT) were found to be very similar. The observed large mean hyperfine fields of left angle B{sub hf} right angle {approx}25-27 T at the interface indicate the presence of high average Fe moments of 1.7-1.8 {mu}{sub B}. Nonmagnetic interface layers either can be excluded (Fe/GaAs) or are very thin (0.5 ML,Fe/GaAs-LED). Owing to its island structure an ultrathin (1.9 ML thick) uncoated Fe(001) film on GaAs(001)-(4 x 6) shows superparamagnetism with a blocking temperature of

  19. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    Science.gov (United States)

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  20. Structural characterization of ZnTe grown by atomic-layer-deposition regime on GaAs and GaSb (100) oriented substrates

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, Roberto Saúl [Universidad Politécnica de Pachuca (Mexico); Díaz-Reyes, Joel; Peralta-Clara, María de la Cruz; Veloz-Rendón, Julieta Salomé, E-mail: joel_diaz_reyes@hotmail.com [Centro de Investigación en Biotecnología Aplicada, Instituto Politécnico Nacional, Tlaxcala, (Mexico); Galván-Arellano, Miguel [Centro de Investigación y de Estudios Avanzados, Instituto Politécnico Nacional (Mexico); Anda-Salazar, Francisco de [Instituto de Investigación en Comunicación Óptica, Universidad Autónoma de San Luis Potosí (Mexico); Contreras-Rascon, Jorge Indalecio [Departamento de Física, Universidad de Sonora (Mexico)

    2017-10-15

    This work presents the characterization of ZnTe nano layers grown on GaAs and GaSb (100) substrates by the Atomic Layer Deposition (ALD) regime. Under certain conditions, the alternating exposition of a substrate surface to the element vapours makes possible the growth of atomic layers in a reactor where the atmosphere is high-purity hydrogen. ZnTe was grown simultaneously on GaAs and GaSb at the same run, allowing, a comparison between the effects produced by the superficial processes due to the different used substrates, thereby eliminating possible unintended changes of growth parameters. Nano layers on GaSb maintained their shiny appearance even at temperatures near 420°C. It was found that for exposure times below 2.5 s there was not growth on GaAs, while for GaSb the shortest time was 1.5 s at 385°C. By HRXRD the peak corresponding to (004) diffraction plane of ZnTe was identified and investigated, the FWHM resulted very wide (600-800 arcsec) indicating a highly distorted lattice mainly due to mosaicity. Raman scattering shows the peak corresponding to LO-ZnTe, which is weak and slightly shifted in comparison with the reported for the bulk ZnTe at 210 cm{sup -1}. Additionally, the measurements suggest that the crystalline quality have a dependence with the growth temperature. (author)

  1. Advanced digital modulation: Communication techniques and monolithic GaAs technology

    Science.gov (United States)

    Wilson, S. G.; Oliver, J. D., Jr.; Kot, R. C.; Richards, C. R.

    1983-01-01

    Communications theory and practice are merged with state-of-the-art technology in IC fabrication, especially monolithic GaAs technology, to examine the general feasibility of a number of advanced technology digital transmission systems. Satellite-channel models with (1) superior throughput, perhaps 2 Gbps; (2) attractive weight and cost; and (3) high RF power and spectrum efficiency are discussed. Transmission techniques possessing reasonably simple architectures capable of monolithic fabrication at high speeds were surveyed. This included a review of amplitude/phase shift keying (APSK) techniques and the continuous-phase-modulation (CPM) methods, of which MSK represents the simplest case.

  2. Multilayers of GaAs/Mn deposited on a substrate of GaAs (001)

    Energy Technology Data Exchange (ETDEWEB)

    Bernal-Salamanca, M; Pulzara-Mora, A; Rosales-Rivera, A [Laboratorio de Magnetismo y Materiales Avanzados, Universidad Nacional de Colombia, Sede Manizales, A.A. 127 (Colombia); Molina-Valdovinos, S; Melendez-Lira, M [Physics Department, Centro de Investigacion y Estudios Avanzados del IPN, Av. IPN No. 2508, Apartado Postal 14-740, 07000 Mexico D.F (Mexico); Lopez-Lopez, M, E-mail: aopulzaram@unal.edu.c [Centro de Fisica Aplicada y Tecnologia Avanzada, Universidad Nacional Autonoma de Mexico, Apartado Postal 1-1010, Queretaro 76000 (Mexico)

    2009-05-01

    In this work GaAs/Mn multilayers were deposited on GaAs (001) substrates by R.F magnetron sputtering technique, varying the deposition time (tg). Scanning electron and atomic force Microscopy studies were realized on the surface of the samples in order to determine the morphology and average roughness. X-ray diffraction spectra show that our samples tend to do amorphous. Raman spectroscopy at room temperature was employed to analyze the structural properties of the samples. We found that for a GaAs film taken as reference, the Raman spectra is dominated by the transverse (TO) and longitudinal (LO) modes located at 266 cm{sup -1} and 291 cm{sup -1}, respectively. However, for the GaAs/Mn multilayers the TO and LO modes decrease dramatically, and the Mn Raman modes in the range of 100 cm{sup -1} and 250 cm{sup -1} are evidenced. Additional new peaks located around 650 and 690 cm {sup -1} are only observed for the samples with high Mn content. By using the mass reduced model we estimate that the Mn related peaks are located at 650.2 cm{sup -1} and 695.2 cm{sup -1}, in good agreement with the experimental data, these peaks are correlated with excitations due to (Mn){sub m}As{sub n} localized structures.

  3. Base excision repair of chemotherapeutically-induced alkylated DNA damage predominantly causes contractions of expanded GAA repeats associated with Friedreich's ataxia.

    Directory of Open Access Journals (Sweden)

    Yanhao Lai

    Full Text Available Expansion of GAA·TTC repeats within the first intron of the frataxin gene is the cause of Friedreich's ataxia (FRDA, an autosomal recessive neurodegenerative disorder. However, no effective treatment for the disease has been developed as yet. In this study, we explored a possibility of shortening expanded GAA repeats associated with FRDA through chemotherapeutically-induced DNA base lesions and subsequent base excision repair (BER. We provide the first evidence that alkylated DNA damage induced by temozolomide, a chemotherapeutic DNA damaging agent can induce massive GAA repeat contractions/deletions, but only limited expansions in FRDA patient lymphoblasts. We showed that temozolomide-induced GAA repeat instability was mediated by BER. Further characterization of BER of an abasic site in the context of (GAA20 repeats indicates that the lesion mainly resulted in a large deletion of 8 repeats along with small expansions. This was because temozolomide-induced single-stranded breaks initially led to DNA slippage and the formation of a small GAA repeat loop in the upstream region of the damaged strand and a small TTC loop on the template strand. This allowed limited pol β DNA synthesis and the formation of a short 5'-GAA repeat flap that was cleaved by FEN1, thereby leading to small repeat expansions. At a later stage of BER, the small template loop expanded into a large template loop that resulted in the formation of a long 5'-GAA repeat flap. Pol β then performed limited DNA synthesis to bypass the loop, and FEN1 removed the long repeat flap ultimately causing a large repeat deletion. Our study indicates that chemotherapeutically-induced alkylated DNA damage can induce large contractions/deletions of expanded GAA repeats through BER in FRDA patient cells. This further suggests the potential of developing chemotherapeutic alkylating agents to shorten expanded GAA repeats for treatment of FRDA.

  4. Initial Stages of GaAs/Au Eutectic Alloy Formation for the Growth of GaAs Nano wires

    International Nuclear Information System (INIS)

    Rosnita, M.; Yussof, W.; Zuhairi, I.; Zulkafli, O.; Samsudi, S.

    2012-01-01

    Annealing temperature plays an important role in the formation of an Au-Ga eutectic alloy. The effects of the annealing temperature on gold nanoparticles colloid and substrate surface were studied using AFM, FE-SEM and TEM. At 600 degree Celsius, the layer of gold colloids particle formed an island in the state of molten eutectic alloy and absorbed evaporated metal-organics to formed nano wire (NW) underneath the alloy. Pit formed on the substrate surface due to the chemical reactions during the annealing process have an impact on the direction of growth of the NW. Without annealing, the NW formed vertically on the GaAs (100) surface. The growth direction depends on the original nucleation facets and surface energy when annealed. When annealed, the wire base is large and curved due to the migration of Ga atoms on the substrate surface towards the tip of the wire and the line tension between the substrate surface and gold particle. (author)

  5. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    International Nuclear Information System (INIS)

    Verbitskaya, E.; Eremin, V.; Ivanov, A.; Strokan, N.; Vasilev, V.; Markov, A.; Polyakov, A.; Gavrin, V.; Kozlova, Yu.; Veretenkin, E.; Bowles, T.J.

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p + -i-n + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E v +0.075 eV, presumably assigned to Ga antisite and its influence on the concentration of the ionized deep donor level EL2 +

  6. Subnanosecond, high-voltage photoconductive switching in GaAs

    Science.gov (United States)

    Druce, Robert L.; Pocha, Michael D.; Griffin, Kenneth L.; O'Bannon, Jim

    1991-03-01

    We are conducting research on the switching properties of photoconductive materials to explore their potential for generating highpower microwaves (HPM) and for high reprate switching. We have investigated the performance of Gallium Arsenide (GaAs) in linear mode (the conductivity of the device follows the optical pulse) as well as an avalanchelike mode (the optical pulse only controls switch closing) . Operating in the unear mode we have observed switch closing times of less than 200 Ps with a 100 ps duration laser pulse and opening times of less than 400 ps at several kV/cm fields using neutron irradiated GaAs. In avalanche and lockon modes high fields are switched with lower laser pulse energies resulting in higher efficiencies but with measurable switching delay and jitter. We are currently investigating both large area (1 cm2) and small area 1 mm2) switches illuminated by AlGaAs laser diodes at 900 nm and Nd:YAG lasers at 1. 06 tim.

  7. On the origin of reflectance-anisotropy oscillations during GaAs (0 0 1) homoepitaxy

    Science.gov (United States)

    Ortega-Gallegos, J.; Guevara-Macías, L. E.; Ariza-Flores, A. D.; Castro-García, R.; Lastras-Martínez, L. F.; Balderas-Navarro, R. E.; López-Estopier, R. E.; Lastras-Martínez, A.

    2018-05-01

    We report on the first spectroscopic study of reflectance-anisotropy (RA) oscillations during molecular beam epitaxy (MBE) GaAs homoepitaxy. Real-time RA spectra measured during epitaxial growth were carried out with a recently developed rapid RA multichannel spectrometer with 100 ms per spectrum acquisition time. An analysis of the time-resolved RA spectra shows that RA oscillations are mostly due to the periodic modulation of the surface orthorhombic strain associated to surface reconstruction. Results reported here demonstrate the power of real-time RA spectroscopy as a probe for the study of epitaxial growth processes. In particular, given its sub monolayer surface-strain sensitivity, RA spectroscopy results a very convenient tool to study epitaxial growth mechanisms in real-time with sub monolayer resolution. This capability allows for real-time RA spectroscopy to be used as a probe for the in situ, real-time control of epitaxial growth, with the additional advantage of operating in higher pressure systems such as CVD, where RHEED monitoring cannot be implemented.

  8. Dynamic grazing incidence fast atom diffraction during molecular beam epitaxial growth of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Atkinson, P., E-mail: atkinson@insp.jussieu.fr; Eddrief, M. [Sorbonne Universités, UPMC Univ. Paris 06, UMR 7588, INSP, F-75005 Paris (France); CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); Etgens, V. H. [CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); VeDeCom-Université Versailles Saint-Quentin en Yvelines, Versailles (France); Khemliche, H., E-mail: hocine.khemliche@u-psud.fr; Debiossac, M.; Mulier, M.; Lalmi, B.; Roncin, P. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Momeni, A. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Univ. Cergy Pontoise, F-95031 Cergy (France)

    2014-07-14

    A Grazing Incidence Fast Atom Diffraction (GIFAD) system has been mounted on a commercial molecular beam epitaxy chamber and used to monitor GaAs growth in real-time. In contrast to the conventionally used Reflection High Energy Electron Diffraction, all the GIFAD diffraction orders oscillate in phase, with the change in intensity related to diffuse scattering at step edges. We show that the scattered intensity integrated over the Laue circle is a robust method to monitor the periodic change in surface roughness during layer-by-layer growth, with oscillation phase and amplitude independent of incidence angle and crystal orientation. When there is a change in surface reconstruction at the start of growth, GIFAD intensity oscillations show that there is a corresponding delay in the onset of layer-by-layer growth. In addition, changes in the relative intensity of different diffraction orders have been observed during growth showing that GIFAD has the potential to provide insight into the preferential adatom attachment sites on the surface reconstruction during growth.

  9. Modeling the effect of deep impurity ionization on GaAs photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Yee, J.H.; Khanaka, G.H.; Druce, R.L.; Pocha, M.D.

    1992-01-01

    The ionization coefficient of deep traps in GaAs is determined from a gas breakdown model together with the recent experimental data obtained at LLNL (Lawrence Livermore National Laboratory) and Boeing. Using this coefficient in our nonlinear device transport code, we have investigated theoretically the nonlinear switching phenomena in GaAs devices. The results obtained from our investigations show that if we take into consideration the effect of the field ionization of the deep traps, we can show how the Lock-On'' phenomena could occur in the device.

  10. Modeling the effect of deep impurity ionization on GaAs photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Yee, J.H.; Khanaka, G.H.; Druce, R.L.; Pocha, M.D.

    1992-01-01

    The ionization coefficient of deep traps in GaAs is determined from a gas breakdown model together with the recent experimental data obtained at LLNL (Lawrence Livermore National Laboratory) and Boeing. Using this coefficient in our nonlinear device transport code, we have investigated theoretically the nonlinear switching phenomena in GaAs devices. The results obtained from our investigations show that if we take into consideration the effect of the field ionization of the deep traps, we can show how the ``Lock-On`` phenomena could occur in the device.

  11. GaAs thin film solar cells. Final report; Duennschicht-Solarzellen aus Galliumarsenid; Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Bett, A.; Bronner, W.; Cardona, S.; Ehrhardt, A.; Habermann, G.; Habich, A.; Lanyi, P.; Lutz, F.; Nguyen, T.; Schetter, C.; Sulima, O.; Welter, H.; Yavas, O.

    1992-11-01

    This R and D project focused on the development of materials and technologies for the production of GaAs solar cells on GaAs and other substrates. Three subjects were gone into on particular: Material preparation (epitaxy), solar cell technology, characterisation of materials and processes. (orig.) [Deutsch] Das vorliegende Forschungsvorhaben hatte die Material- und Technologieentwickung fuer die Herstellung von GaAs-Solarzellen auf Eigen- und Fremdsubstrat zum Gegenstand. Drei Hauptaufgabenbereiche waren: Materialpraeparation (Epitaxie), Solarzellentechnologie, sowie Material- und Prozesscharakterisierung. (orig.)

  12. GaAs monolayer: Excellent SHG responses and semi metallic to metallic transition modulated by vacancy effect

    Science.gov (United States)

    Rozahun, Ilmira; Bahti, Tohtiaji; He, Guijie; Ghupur, Yasenjan; Ablat, Abduleziz; Mamat, Mamatrishat

    2018-05-01

    Monolayer materials are considered as a promising candidate for novel applications due to their attractive magnetic, electronic and optical properties. Investigation on nonlinear optical (NLO) properties and effect of vacancy on monolayer materials are vital to property modulations of monolayers and extending their applications. In this work, with the aid of first-principles calculations, the crystal structure, electronic, magnetic, and optical properties of GaAs monolayers with the vacancy were investigated. The result shows gallium arsenic (GaAs) monolayer produces a strong second harmonic generation (SHG) response. Meanwhile, the vacancy strongly affects structural, electronic, magnetic and optical properties of GaAs monolayers. Furthermore, arsenic vacancy (VAs) brings semi metallic to metallic transition, while gallium vacancy (VGa) causes nonmagnetic to magnetic conversion. Our result reveals that GaAs monolayer possesses application potentials in Nano-amplifying modulator and Nano-optoelectronic devices, and may provide useful guidance in designing new generation of Nano-electronic devices.

  13. Nano-dot and nano-pit fabrication on a GaAs substrate by a pulse applied AFM

    International Nuclear Information System (INIS)

    Kim, H C; Yu, J S; Ryu, S H

    2012-01-01

    The nano-patterning characteristics of GaAs is investigated using a pulse applied atomic force microscope (AFM). Very short range voltage pulses of micro to nano-seconds’ duration are applied to a conductive diamond-coated silicon (Si) tip in contact mode, to regulate the created feature size. The effects of pulse conditions such as pulse voltage, duration, frequency, offset voltage, anodization time, and applied tip pressure on nano-dot generation are characterized, based on the experiments. An interesting phenomenon, nano-pit creation instead of nano-dot creation, is observed when the applied pulse duration is less than 100 μs. Pulse frequency and offset voltage are also involved in nano-pit generation. The electrical spark discharge between the tip and the GaAs's surface is the most probable cause of the nano-pit creation and its generation mechanism is explained by considering the relevant pulse parameters. Nano-pits over 15 nm in depth are acquired on the GaAs substrate by adjusting the pulse conditions. This research facilitates the fabrication of more complex nano-structures on semiconductor materials since nano-dots and nano-pits could be easily made without any additional post-processes. (paper)

  14. Removal of NO {sub x} by microwave reactor with ammonium bicarbonate and Ga-A zeolites at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Z.S. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China)]. E-mail: weizaishan98@163.com; Du, Z.Y. [School of Light Industry and Chemical Engineering, Guangdong University of Technology, Guangzhou 510006 (China); Lin, Z.H. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China); He, H.M. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China); Qiu, R.L. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China)

    2007-08-15

    Microwave reactor with the mixture of ammonium bicarbonate (NH{sub 4}HCO{sub 3}) and Ga-A zeolites was set up to study the removal of nitrogen oxides (NO {sub x} ) from waste gas with excess oxygen concentration (14-19%) at low temperature (80-120 deg. C). The results showed that the microwave reactor filled with NH{sub 4}HCO{sub 3} and Ga-A zeolites could reduce NO {sub x} to nitrogen with the best purifying efficiency of 95.45% and the best denitrification amount of 89.28 mg h{sup -1}. The optimal microwave power and residence time (RT) on denitrification was 259-280 W and 0.259 s, respectively. Microwave denitrification effect of the experiment using ammonium bicarbonate and Ga-A zeolites was much higher than that using ammonium bicarbonate or Ga-A zeolites only. The mechanism for microwave-induced NO {sub x} reduction can be explained as the microwave-induced catalytic reaction between NO {sub x} and ammonium bicarbonate with Ga-A zeolites being the catalyst and microwave absorbent.

  15. Epitaxial growth on porous GaAs substrates

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Nohavica, Dušan; Gladkov, Petar; Hulicius, Eduard; Pangrác, Jiří; Piksová, K.

    2013-01-01

    Roč. 16, č. 1 (2013), s. 59-64 ISSN 1631-0748 R&D Projects: GA ČR GAP102/10/1201; GA ČR GAP108/10/0253 Institutional support: RVO:67985882 ; RVO:68378271 Keywords : Electrochemical etching * Porous semiconductors * Epitaxial growth * GaAs Subject RIV: BH - Optics, Masers, Lasers; JA - Electronics ; Optoelectronics, Electrical Engineering (FZU-D) Impact factor: 1.483, year: 2013

  16. The lower yield point of InP and GaAs

    International Nuclear Information System (INIS)

    Siethoff, H.

    1987-01-01

    A study of the strain-rate and temperature dependence of the lower yield stress (τ ly ) in undoped InP and of the strain-rate dependence of τ ly in undoped and Zn-doped GaAs is reported. The deformation along (123) orientation was carried out in compression at constant strain rates ranging from 10 -5 to 10 -2 s -1 . The temperature range extended from 540 to 780 0 C. The activation energy and stress exponent of the dislocation velocity were calculated. Experiments have shown that τ ly of InP depends on temperature and strain rate in a manner similar to other semiconductors like Si and InSb, whereas τ ly of GaAs shows an unusual strain-rate dependence

  17. Low defect densities in molecular beam epitaxial GaAs achieved by isoelectronic In doping

    Science.gov (United States)

    Bhattacharya, P. K.; Dhar, S.; Berger, P.; Juang, F.-Y.

    1986-01-01

    A study has been made of the effects of adding small amounts of In (0.2-1.2 pct) to GaAs grown by molecular beam epitaxy. The density of four electron traps decreases in concentration by an order of magnitude, and the peak intensities of prominent emissions in the excitonic spectra are reduced with increase in In content. Based on the higher surface migration rate of In, compared to Ga, at the growth temperatures it is apparent that the traps and the excitonic transitions are related to point defects. This agrees with earlier observations by Briones and Collins (1982) and Skromme et al. (1985).

  18. Growth and characterization of InAs columnar quantum dots on GaAs substrate

    International Nuclear Information System (INIS)

    Li, L. H.; Patriarche, G.; Rossetti, M.; Fiore, A.

    2007-01-01

    The growth of InAs columnar quantum dots (CQDs) on GaAs substrates by molecular beam epitaxy was investigated. The CQDs were formed by depositing a 1.8 monolayer (ML) InAs seed dot layer and a short period GaAs/InAs superlattice (SL). It was found that the growth of the CQDs is very sensitive to growth interruption (GI) and growth temperature. Both longer GI and higher growth temperature impact the size dispersion of the CQDs, which causes the broadening of photoluminescence (PL) spectrum and the presence of the additional PL peak tails. By properly choosing the GI and the growth temperature, CQDs including GaAs (3 ML)/InAs (0.62 ML) SL with period number up to 35 without plastic relaxation were grown. The corresponding equivalent thickness of the SL is 41 nm which is two times higher than the theoretical critical thickness of the strained InGaAs layer with the same average In composition of 16%. The increase of the critical thickness is partially associated with the formation of the CQDs. Based on a five-stack CQD active region, laser diodes emitting around 1120 nm at room temperature were demonstrated, indicating a high material quality. CQDs with nearly isotropic cross section (20 nmx20 nm dimensions) were formed by depositing a 16-period GaAs (3 ML)/InAs (0.62 ML) SL on an InAs seed dot layer, indicating the feasibility of artificial shape engineering of QDs. Such a structure is expected to be very promising for polarization insensitive device applications, such as semiconductor optical amplifiers

  19. Performance of Series Connected GaAs Photovoltaic Converters under Multimode Optical Fiber Illumination

    Directory of Open Access Journals (Sweden)

    Tiqiang Shan

    2014-01-01

    Full Text Available In many military and industrial applications, GaAs photovoltaic (PV converters are connected in series in order to generate the required voltage compatible with most common electronics. Multimode optical fibers are usually used to carry high-intensity laser and illuminate the series connected GaAs PV converters in real time. However, multimode optical fiber illumination has a speckled intensity pattern. The series connected PV array is extremely sensitive to nonuniform illumination; its performance is limited severely by the converter that is illuminated the least. This paper quantifies the effects of multimode optical fiber illumination on the performance of series connected GaAs PV converters, analyzes the loss mechanisms due to speckles, and discusses the maximum illumination efficiency. In order to describe the illumination dependent behavior detailedly, modeling of the series connected PV array is accomplished based on the equivalent circuit for PV cells. Finally, a series of experiments are carried out to demonstrate the theory analysis.

  20. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  1. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Dutta, P.; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V.; Zheng, N.; Ahrenkiel, P.; Martinez, J.

    2014-01-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10 7  cm −2 . Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm 2 /V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  2. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, P., E-mail: pdutta2@central.uh.edu; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V. [Department of Mechanical Engineering, University of Houston, Houston, Texas 77204 (United States); Zheng, N.; Ahrenkiel, P. [Department of Nanoscience and Nanoengineering, South Dakota School of Mines and Technology, Rapid City, South Dakota 57701 (United States); Martinez, J. [Materials Evaluation Laboratory, NASA Johnson Space Center, Houston, Texas 77085 (United States)

    2014-09-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10{sup 7 }cm{sup −2}. Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm{sup 2}/V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  3. GaAs structures with InAs and As quantum dots produced in a single molecular beam epitaxy process

    International Nuclear Information System (INIS)

    Nevedomskii, V. N.; Bert, N. A.; Chaldyshev, V. V.; Preobrazhenskii, V. V.; Putyato, M. A.; Semyagin, B. R.

    2009-01-01

    Epitaxial GaAs layers containing InAs semiconductor quantum dots and As metal quantum dots are grown by molecular beam epitaxy. The InAs quantum dots are formed by the Stranskii-Krastanow mechanism, whereas the As quantum dots are self-assembled in the GaAs layer grown at low temperature with a large As excess. The microstructure of the samples is studied by transmission electron microscopy. It is established that the As metal quantum dots formed in the immediate vicinity of the InAs semiconductor quantum dots are larger in size than the As quantum dots formed far from the InAs quantum dots. This is apparently due to the effect of strain fields of the InAs quantum dots upon the self-assembling of As quantum dots. Another phenomenon apparently associated with local strains around the InAs quantum dots is the formation of V-like defects (stacking faults) during the overgrowth of the InAs quantum dots with the GaAs layer by low-temperature molecular beam epitaxy. Such defects have a profound effect on the self-assembling of As quantum dots. Specifically, on high-temperature annealing needed for the formation of large-sized As quantum dots by Ostwald ripening, the V-like defects bring about the dissolution of the As quantum dots in the vicinity of the defects. In this case, excess arsenic most probably diffuses towards the open surface of the sample via the channels of accelerated diffusion in the planes of stacking faults.

  4. A comparative study of Mg and Pt contacts on semi-insulating GaAs: electrical and XPS characterization

    Czech Academy of Sciences Publication Activity Database

    Dubecký, F.; Kindl, Dobroslav; Hubík, Pavel; Mičušík, M.; Dubecký, M.; Boháček, P.; Vanko, G.; Gombia, E.; Nečas, V.; Mudroň, J.

    2017-01-01

    Roč. 395, Feb (2017), s. 131-135 ISSN 0169-4332 Institutional support: RVO:68378271 Keywords : semi-insulating GaAs * metal -semiconductor contact * interface * work function * electron transport * XPS Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 3.387, year: 2016

  5. Optical and electrical characterization of n-GaAs surfaces passivated by N{sub 2}-H{sub 2} plasma

    Energy Technology Data Exchange (ETDEWEB)

    Augelli, V.; Ligonzo, T.; Minafra, A.; Schiavulli, L.; Capozzi, V. E-mail: capozzi@ba.infn.it; Perna, G.; Ambrico, M.; Losurdo, M

    2003-05-01

    The passivation of GaAs (1 0 0) surface has been performed by using remote N{sub 2}-H{sub 2} (3% in H{sub 2}) RF plasma nitridation. The samples, consisting of n-doped GaAs wafers, show photoluminescence enhancement when the nitridation time and exposure to the plasma are in a narrow temporal window, so that a very thin (about 10 A) GaN layer is deposited on the GaAs surface. Pure N{sub 2} nitridation does not provide an efficient passivation, because it results in GaN layers with As and AsN{sub x} segregation at the GaN/GaAs interface. Increase of Au-GaAs Schottky barrier with the insertion of GaN interlayer and improvement of current-voltage characteristic have been observed.

  6. RF-MMW Dipole Antenna Arrays From Laser Illuminated GaAs

    National Research Council Canada - National Science Library

    Umphenour, D

    1998-01-01

    High resistivity photoconductive Gallium Arsenide (GaAs) can be used as elemental Hertzian dipole antenna arrays in which the time varying dipole current is produced by temporally modulating a laser (0.63um...

  7. Density-dependent electron scattering in photoexcited GaAs

    DEFF Research Database (Denmark)

    Mics, Zoltán; D'’Angio, Andrea; Jensen, Søren A.

    2013-01-01

    —In a series of systematic optical pump - terahertz probe experiments we study the density-dependent electron scattering rate in photoexcited GaAs in a large range of carrier densities. The electron scattering time decreases by as much as a factor of 4, from 320 to 60 fs, as the electron density...

  8. Interface formation between hydrocarbon ring molecules and III-V semiconductor surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Passmann, Regina

    2008-08-15

    In this work a systematical study to investigate the adsorption structures of small hydrocarbon ring shaped molecules on III-V semiconductor surfaces with Photo-Emission Spectroscopy (PES), Reflectance Anisotropy Spectroscopy (RAS), Scanning Tunneling Microscopy (STM) as well as Low Electron Energy Diffraction (LEED) was performed. To investigate the influence of the surface structure in detail the surface dimer configuration to the adsorption process of organic molecules GaAs(001) surfaces, the c(4 x 4), the (2 x 4) and the (4 x 2) have been investigated as well as the adsorption of cyclopentene on the InP(001)(2 x 4) reconstructed surface. In the direct comparison it is shown that cyclopentene bonds to the InP(001)(2 x 4) surface via a cycloaddition like reaction. During this adsorption the double bond splits which is in contrast to the adsorption of cyclopentene on the GaAs(001) surfaces. Therefrom it is concluded that the surface geometry has an influence on the resulting adsorption structure. In order to investigate the influence of the intra-molecular double bonds, cyclopentene (one double bond), 1,4-cyclohexadiene (two double bonds) and benzene (three double bonds) were used for the characterization of the interface formation. With the investigations on the GaAs(001) reconstructed surfaces it was shown that a dependency of the bonding configuration on the intra-molecular double bonds exists. During the adsorption of cyclopentene no evidence was found that the double bond has to be involved in the interface formation while during the adsorption of 1,4-cyclohexadiene and benzene the double bonds are involved. Furthermore it was found that a bonding to As atoms of the surface is more likely than a bonding to Ga atoms. (orig.)

  9. Interface formation between hydrocarbon ring molecules and III-V semiconductor surfaces

    International Nuclear Information System (INIS)

    Passmann, Regina

    2008-01-01

    In this work a systematical study to investigate the adsorption structures of small hydrocarbon ring shaped molecules on III-V semiconductor surfaces with Photo-Emission Spectroscopy (PES), Reflectance Anisotropy Spectroscopy (RAS), Scanning Tunneling Microscopy (STM) as well as Low Electron Energy Diffraction (LEED) was performed. To investigate the influence of the surface structure in detail the surface dimer configuration to the adsorption process of organic molecules GaAs(001) surfaces, the c(4 x 4), the (2 x 4) and the (4 x 2) have been investigated as well as the adsorption of cyclopentene on the InP(001)(2 x 4) reconstructed surface. In the direct comparison it is shown that cyclopentene bonds to the InP(001)(2 x 4) surface via a cycloaddition like reaction. During this adsorption the double bond splits which is in contrast to the adsorption of cyclopentene on the GaAs(001) surfaces. Therefrom it is concluded that the surface geometry has an influence on the resulting adsorption structure. In order to investigate the influence of the intra-molecular double bonds, cyclopentene (one double bond), 1,4-cyclohexadiene (two double bonds) and benzene (three double bonds) were used for the characterization of the interface formation. With the investigations on the GaAs(001) reconstructed surfaces it was shown that a dependency of the bonding configuration on the intra-molecular double bonds exists. During the adsorption of cyclopentene no evidence was found that the double bond has to be involved in the interface formation while during the adsorption of 1,4-cyclohexadiene and benzene the double bonds are involved. Furthermore it was found that a bonding to As atoms of the surface is more likely than a bonding to Ga atoms. (orig.)

  10. Computational study of GaAs1-xNx and GaN1-yAsy alloys and arsenic impurities in GaN

    International Nuclear Information System (INIS)

    Laaksonen, K; Komsa, H-P; Arola, E; Rantala, T T; Nieminen, R M

    2006-01-01

    We have studied the structural and electronic properties of As-rich GaAs 1-x N x and N-rich GaN 1-y As y alloys in a large composition range using first-principles methods. We have systematically investigated the effect of the impurity atom configuration near both GaAs and GaN sides of the concentration range on the total energies, lattice constants and bandgaps. The N (As) atoms, replacing substitutionally As (N) atoms in GaAs (GaN), cause the surrounding Ga atoms to relax inwards (outwards), making the Ga-N (Ga-As) bond length about 15% shorter (longer) than the corresponding Ga-As (Ga-N) bond length in GaAs (GaN). The total energies of the relaxed alloy supercells and the bandgaps experience large fluctuations within different configurations and these fluctuations grow stronger if the impurity concentration is increased. Substituting As atoms with N in GaAs induces modifications near the conduction band minimum, while substituting N atoms with As in GaN modifies the states near the valence band maximum. Both lead to bandgap reduction, which is at first rapid but later slows down. The relative size of the fluctuations is much larger in the case of GaAs 1-x N x alloys. We have also looked into the question of which substitutional site (Ga or N) As occupies in GaN. We find that under Ga-rich conditions arsenic prefers the substitutional N site over the Ga site within a large range of Fermi level values

  11. Vacancies and negative ions in GaAs

    International Nuclear Information System (INIS)

    Corbel, C.

    1991-01-01

    We use positron lifetime studies performed in GaAs materials to show the defect properties which can be investigated by implanting positive positrons in semiconductors. The studies concern native and electron irradiation induced defects. These studies show that vacancy charge state and vacancy ionization levels can be determined from positron annihilation. They show also that positrons are trapped by negative ions and give information on their concentration

  12. A comprehensive study of cryogenic cooled millimeter-wave frequency multipliers based on GaAs Schottky-barrier varactors

    DEFF Research Database (Denmark)

    Johansen, Tom Keinicke; Rybalko, Oleksandr; Zhurbenko, Vitaliy

    2018-01-01

    The benefit of cryogenic cooling on the performance of millimeter-wave GaAs Schottky-barrier varactor-based frequency multipliers has been studied. For this purpose, a dedicated compact model of a GaAs Schottky-barrier varactor using a triple-anode diode stack has been developed for use...... with a commercial RF and microwave CAD tool. The model implements critical physical phenomena such as thermionic-field emission current transport at cryogenic temperatures, temperature dependent mobility, reverse breakdown, self-heating, and high-field velocity saturation effects. A parallel conduction model...... is employed in order to include the effect of barrier inhomogeneities which is known to cause deviation from the expected I--V characteristics at cryogenic temperatures. The developed model is shown to accurately fit the I--V --T dataset from 25 to 295 K measured on the varactor diode stack. Harmonic balance...

  13. Photo-irradiation effects on GaAs atomic layer epitaxial growth. GaAs no genshiso epitaxial seicho ni okeru hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y.; Sasaki, M.; Ishikawa, H. (Toshiba Corp., Kawasaki (Japan). Research and Development Center)

    1990-08-10

    Single atomic layer epitaxy (ALE) aims at controlling a growing film at a precision of single molecular layer. In this article, it is reported that the growth temperature range of ALE was expanded by the vertical irradiation of KrF exima laser (248 nm) onto the substrate for the ALE growth of GaAs using the metalorganic chemical vapor deposition (MOCVD) method. Thanks for the results of the above experiment, it was demonstrated that the irradiation effect was not thermal, but photochemical. In addition, this article studies the possibility of adsorption layer irradiation and surface irradiation as the photo-irradiation mechanism, and points out that coexistence of both irradiation mechanisms can be considered and, in case of exima laser, strong possibility of direct irradiation of the adsorption layer because of its high power density. Hereinafter, by using both optical growth ALE and thermal growth ALE jointly, the degree of freedom of combination of hetero ALE increases and its application to various material systems becomes possible. 16 refs., 6 figs.

  14. Croissance epitaxiale de GaAs sur substrats de Ge par epitaxie par faisceaux chimiques

    Science.gov (United States)

    Belanger, Simon

    La situation energetique et les enjeux environnementaux auxquels la societe est confrontee entrainent un interet grandissant pour la production d'electricite a partir de l'energie solaire. Parmi les technologies actuellement disponibles, la filiere du photovoltaique a concentrateur solaire (CPV pour concentrator photovoltaics) possede un rendement superieur et mi potentiel interessant a condition que ses couts de production soient competitifs. La methode d'epitaxie par faisceaux chimiques (CBE pour chemical beam epitaxy) possede plusieurs caracteristiques qui la rendent interessante pour la production a grande echelle de cellules photovoltaiques a jonctions multiples a base de semi-conducteurs III-V. Ce type de cellule possede la meilleure efficacite atteinte a ce jour et est utilise sur les satellites et les systemes photovoltaiques a concentrateur solaire (CPV) les plus efficaces. Une des principales forces de la technique CBE se trouve dans son potentiel d'efficacite d'utilisation des materiaux source qui est superieur a celui de la technique d'epitaxie qui est couramment utilisee pour la production a grande echelle de ces cellules. Ce memoire de maitrise presente les travaux effectues dans le but d'evaluer le potentiel de la technique CBE pour realiser la croissance de couches de GaAs sur des substrats de Ge. Cette croissance constitue la premiere etape de fabrication de nombreux modeles de cellules solaires a haute performance decrites plus haut. La realisation de ce projet a necessite le developpement d'un procede de preparation de surface pour les substrats de germanium, la realisation de nombreuses sceances de croissance epitaxiale et la caracterisation des materiaux obtenus par microscopie optique, microscopie a force atomique (AFM), diffraction des rayons-X a haute resolution (HRXRD), microscopie electronique a transmission (TEM), photoluminescence a basse temperature (LTPL) et spectrometrie de masse des ions secondaires (SIMS). Les experiences ont permis

  15. Burst annealing of high temperature GaAs solar cells

    Science.gov (United States)

    Brothers, P. R.; Horne, W. E.

    1991-01-01

    One of the major limitations of solar cells in space power systems is their vulnerability to radiation damage. One solution to this problem is to periodically heat the cells to anneal the radiation damage. Annealing was demonstrated with silicon cells. The obstacle to annealing of GaAs cells was their susceptibility to thermal damage at the temperatures required to completely anneal the radiation damage. GaAs cells with high temperature contacts and encapsulation were developed. The cells tested are designed for concentrator use at 30 suns AMO. The circular active area is 2.5 mm in diameter for an area of 0.05 sq cm. Typical one sun AMO efficiency of these cells is over 18 percent. The cells were demonstrated to be resistant to damage after thermal excursions in excess of 600 C. This high temperature tolerance should allow these cells to survive the annealing of radiation damage. A limited set of experiments were devised to investigate the feasibility of annealing these high temperature cells. The effect of repeated cycles of electron and proton irradiation was tested. The damage mechanisms were analyzed. Limitations in annealing recovery suggested improvements in cell design for more complete recovery. These preliminary experiments also indicate the need for further study to isolate damage mechanisms. The primary objective of the experiments was to demonstrate and quantify the annealing behavior of high temperature GaAs cells. Secondary objectives were to measure the radiation degradation and to determine the effect of repeated irradiation and anneal cycles.

  16. Burst annealing of high temperature GaAs solar cells

    International Nuclear Information System (INIS)

    Brothers, P.R.; Horne, W.E.

    1991-01-01

    One of the major limitations of solar cells in space power systems is their vulnerability to radiation damage. One solution to this problem is to periodically heat the cells to anneal the radiation damage. Annealing was demonstrated with silicon cells. The obstacle to annealing of GaAs cells was their susceptibility to thermal damage at the temperatures required to completely anneal the radiation damage. GaAs cells with high temperature contacts and encapsulation were developed. The cells tested are designed for concentrator use at 30 suns AMO. The circular active area is 2.5 mm in diameter for an area of 0.05 sq cm. Typical one sun AMO efficiency of these cells is over 18 percent. The cells were demonstrated to be resistant to damage after thermal excursions in excess of 600 degree C. This high temperature tolerance should allow these cells to survive the annealing of radiation damage. A limited set of experiments were devised to investigate the feasibility of annealing these high temperature cells. The effect of repeated cycles of electron and proton irradiation was tested. The damage mechanisms were analyzed. Limitations in annealing recovery suggested improvements in cell design for more complete recovery. These preliminary experiments also indicate the need for further study to isolate damage mechanisms. The primary objective of the experiments was to demonstrate and quantify the annealing behavior of high temperature GaAs cells. Secondary objectives were to measure the radiation degradation and to determine the effect of repeated irradiation and anneal cycles

  17. Optical properties of GaAs

    International Nuclear Information System (INIS)

    Akinlami, J. O.; Ashamu, A. O.

    2013-01-01

    We have investigated the optical properties of gallium arsenide (GaAs) in the photon energy range 0.6–6.0 eV. We obtained a refractive index which has a maximum value of 5.0 at a photon energy of 3.1 eV; an extinction coefficient which has a maximum value of 4.2 at a photon energy of 5.0 eV; the dielectric constant, the real part of the complex dielectric constant has a maximum value of 24 at a photon energy of 2.8 eV and the imaginary part of the complex dielectric constant has a maximum value of 26.0 at a photon energy of 4.8 eV; the transmittance which has a maximum value of 0.22 at a photon energy of 4.0 eV; the absorption coefficient which has a maximum value of 0.22 × 10 8 m −1 at a photon energy of 4.8 eV, the reflectance which has a maximum value of 0.68 at 5.2eV; the reflection coefficient which has a maximum value of 0.82 at a photon energy of 5.2 eV; the real part of optical conductivity has a maximum value of 14.2 × 10 15 at 4.8 eV and the imaginary part of the optical conductivity has a maximum value of 6.8 × 10 15 at 5.0 eV. The values obtained for the optical properties of GaAs are in good agreement with other results. (semiconductor physics)

  18. Effect of e-beam dose on the fractional density of Au-catalyzed GaAs nanowire growth

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jeung Hun, E-mail: jeunghunpark@gmail.com [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States); Gambin, Vincent [Northrop Grumman Aerospace Systems, Redondo Beach, CA 90278 (United States); Kodambaka, Suneel, E-mail: kodambaka@ucla.edu [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2016-05-31

    Using Au/GaAs as a model system, the effect of initial catalyst patterning conditions on the growth of nanowire was studied. Resulting morphologies and fractional surface densities are determined as a function of e-beam dose, dot size, and inter-dot spacing using scanning and transmission electron microscopies. The majority of resulting nanowires grow randomly oriented with respect to the substrate. The nanowires are tapered with narrow tops, wider bases, and catalysts at the wire tips — characteristics of vapor–liquid–solid process. The base diameters of the wires are larger than the dot size, which is likely due to the non-catalyzed vapor–solid deposition along the sidewalls. The higher dose rate used in pattering leads to the formation of higher aspect ratio nanowires with narrower bases. The fractional surface density is found to increase linearly with the clearing dose and the critical dose for nanowire growth increases with decreasing catalyst pattern size and spacing. At a given dose, the fractional density increases with increasing Au dot size and with decreasing inter-dot spacing. Our results may provide new insights into the role of catalyst preparing conditions on the high density, wafer-scale growth of nanowires. - Highlights: • Initial Au catalyst layers are prepared using electron beam lithography. • GaAs nanowires are grown on GaAs(111)B using molecular beam epitaxy. • Effect of dose, size and spacing of Au dots on morphology and density is studied. • Density of nanowires is controlled by changing exposed dose on Au catalyst.

  19. Femtosecond coherent emission from GaAs bulk microcavities

    Science.gov (United States)

    Gurioli, Massimo; Bogani, Franco; Ceccherini, Simone; Colocci, Marcello; Beltram, Fabio; Sorba, Lucia

    1999-02-01

    The emission from a λ/2 GaAs bulk microcavity resonantly excited by femtosecond pulses has been characterized by using an interferometric correlation technique. It is found that the emission is dominated by the coherent signal due to light elastically scattered by disorder, and that scattering is predominantly originated from the lower polariton branch.

  20. Tunneling effect on double potential barriers GaAs and PbS

    Science.gov (United States)

    Prastowo, S. H. B.; Supriadi, B.; Ridlo, Z. R.; Prihandono, T.

    2018-04-01

    A simple model of transport phenomenon tunnelling effect through double barrier structure was developed. In this research we concentrate on the variation of electron energy which entering double potential barriers to transmission coefficient. The barriers using semiconductor materials GaAs (Galium Arsenide) with band-gap energy 1.424 eV, distance of lattice 0.565 nm, and PbS (Lead Sulphide) with band gap energy 0.41 eV distance of lattice is 18 nm. The Analysisof tunnelling effect on double potentials GaAs and PbS using Schrodinger’s equation, continuity, and matrix propagation to get transmission coefficient. The maximum energy of electron that we use is 1.0 eV, and observable from 0.0025 eV- 1.0 eV. The shows the highest transmission coefficient is0.9982 from electron energy 0.5123eV means electron can pass the barriers with probability 99.82%. Semiconductor from materials GaAs and PbS is one of selected material to design semiconductor device because of transmission coefficient directly proportional to bias the voltage of semiconductor device. Application of the theoretical analysis of resonant tunnelling effect on double barriers was used to design and develop new structure and combination of materials for semiconductor device (diode, transistor, and integrated circuit).

  1. Subnanosecond, high voltage photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L. (Lawrence Livermore National Lab., CA (USA)); O' Bannon, B.J. (Rockwell International Corp., Anaheim, CA (USA))

    1990-01-01

    We are conducting research on the switching properties of photoconductive materials to explore their potential for generating high-power microwaves (HPM) and for high rep-rate switching. We have investigated the performance of Gallium Arsenide (GaAs) in linear mode (the conductivity of the device follows the optical pulse) as well as an avalanche-like mode (the optical pulse only controls switch closing). Operating in the linear mode, we have observed switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps at several kV/cm fields using neutron irradiated GaAs. In avalanche and lock-on modes, high fields are switched with lower laser pulse energies, resulting in higher efficiencies; but with measurable switching delay and jitter. We are currently investigating both large area (1 cm{sup 2}) and small area (<1 mm{sup 2}) switches illuminated by AlGaAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 {mu}m.

  2. X-ray imaging bilinear staggered GaAs detectors

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A.; Dvoryankin, V.F. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G.; Dikaev, Y.M.Yu.M.; Krikunov, A.I.; Kudryashov, A.A.; Panova, T.M.; Petrov, A.G.; Telegin, A.A

    2004-09-21

    The multichannel bilinear X-ray detector based on epitaxial GaAs structures is developed to obtain a digital X-ray image. Each detector operates in photovoltaic mode without reverse bias that enables almost complete elimination of detector noise arising due to leakage currents. The sensitivity range of the epitaxial GaAs photovoltaic X-ray detector covers the effective energies from 8 to 120 keV. A maximum response of the detector operating in the short-circuit mode was observed at an energy of 35 keV and amounted to 30 {mu}A min/(Gy cm{sup 2}). The multichannel detector was made of 1024 pixels with pitch of 0.8 mm. The spatial resolution of double staggered sensor row is twice as high as the resolution of that of single sensor row with the same pitch. Measured spatial resolution is 1.2 line-pairs/mm, contrast sensitivity not worse 1% and dynamic range defined as the ratio of maximum detectable X-ray signal to electronic noise level more than 2000 are received.

  3. X-ray imaging bilinear staggered GaAs detectors

    International Nuclear Information System (INIS)

    Achmadullin, R.A.; Dvoryankin, V.F.; Dvoryankina, G.G.; Dikaev, Y.M.Yu.M.; Krikunov, A.I.; Kudryashov, A.A.; Panova, T.M.; Petrov, A.G.; Telegin, A.A.

    2004-01-01

    The multichannel bilinear X-ray detector based on epitaxial GaAs structures is developed to obtain a digital X-ray image. Each detector operates in photovoltaic mode without reverse bias that enables almost complete elimination of detector noise arising due to leakage currents. The sensitivity range of the epitaxial GaAs photovoltaic X-ray detector covers the effective energies from 8 to 120 keV. A maximum response of the detector operating in the short-circuit mode was observed at an energy of 35 keV and amounted to 30 μA min/(Gy cm 2 ). The multichannel detector was made of 1024 pixels with pitch of 0.8 mm. The spatial resolution of double staggered sensor row is twice as high as the resolution of that of single sensor row with the same pitch. Measured spatial resolution is 1.2 line-pairs/mm, contrast sensitivity not worse 1% and dynamic range defined as the ratio of maximum detectable X-ray signal to electronic noise level more than 2000 are received

  4. Origin of the suppression in low frequency terahertz conductivity in dilute GaAs nitride and bismide alloys

    DEFF Research Database (Denmark)

    Cocker, Tylor; Lu, Xianfeng; Cooke, David

    We have performed time-resolved terahertz spectroscopy on GaAs1-xBix (x=7%) and observed a low-frequency suppression of the real conductivity previously seen only in dilute GaAs nitrides. We have developed a modified Drude model with a frequency-dependent scattering time that provides excellent...

  5. Ceramic substrate including thin film multilayer surface conductor

    Science.gov (United States)

    Wolf, Joseph Ambrose; Peterson, Kenneth A.

    2017-05-09

    A ceramic substrate comprises a plurality of ceramic sheets, a plurality of inner conductive layers, a plurality of vias, and an upper conductive layer. The ceramic sheets are stacked one on top of another and include a top ceramic sheet. The inner conductive layers include electrically conductive material that forms electrically conductive features on an upper surface of each ceramic sheet excluding the top ceramic sheet. The vias are formed in each of the ceramic sheets with each via being filled with electrically conductive material. The upper conductive layer includes electrically conductive material that forms electrically conductive features on an upper surface of the top ceramic sheet. The upper conductive layer is constructed from a stack of four sublayers. A first sublayer is formed from titanium. A second sublayer is formed from copper. A third sublayer is formed from platinum. A fourth sublayer is formed from gold.

  6. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    Science.gov (United States)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  7. Spatial structure of single and interacting Mn acceptors in GaAs

    Science.gov (United States)

    Koenraad, Paul

    2005-03-01

    Ferromagnetic semiconductors such as Ga1-xMnxAs are receiving a lot of attention at the moment because of their application in spintronic devices. However, despite intense study of deep acceptors in III-V semiconductors such as MnGa, little information has been obtained on their electronic properties at the atomic scale. Yet the spatial shape of the Mn acceptor state will influence the hole-mediated Mn-Mn coupling and thus all of the magnetic properties of ferromagnetic semiconductors such as Ga1-xMnxAs. This study presents an experimental and theoretical description of the spatial symmetry of the Mn acceptor wave-function in GaAs. We present measurements of the spatial mapping of the anisotropic wavefunction of a hole localized at a Mn acceptor. To achieve this, we have used the STM tip not only to image the Mn acceptor but also to manipulate its charge state A^0/A^- at room temperature. Within an envelope function effective mass model (EFM) the anisotropy in the acceptor wave-function can be traced to the influence of the cubic symmetry of the GaAs crystal which selects specific d-states that mix into the ground state due to the spin-orbit interaction in the valence band. Comparison with calculations based on a tight-binding model (TBM) for the Mn acceptor structure supports this conclusion. Using the same experimental and theoretical approach we furthermore explored the interaction between Mn acceptors directly by analyzing close Mn-Mn pairs, which were separated by less than 2 nm. We will discuss some implications of these results for Mn delta-doped layers grown on differently oriented growth surfaces.

  8. Distinctions of the growth and structural-spectroscopic investigations of thin AlN films grown on the GaAs substrates

    Science.gov (United States)

    Seredin, P. V.; Kashkarov, V. M.; Arsentyev, I. N.; Bondarev, A. D.; Tarasov, I. S.

    2016-08-01

    Using X-ray diffraction analysis, atomic force microscopy, IR and UV spectroscopy, the properties of thin aluminium nitride films (4.0 for the wavelength band around 250 nm and an optical band-gap of 5 eV. It was shown that the morphology, surface composition and optical functional characteristics of AlN/GaAs heterophase systems can be controlled owing to the use of misoriented GaAs substrates as well choice of the technological parameters used for the film growth.

  9. GaAs detectors with an ultra-thin Schottky contact for spectrometry of charged particles

    Energy Technology Data Exchange (ETDEWEB)

    Chernykh, S.V., E-mail: chsv_84@mail.ru [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Chernykh, A.V. [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Didenko, S.I.; Baryshnikov, F.M. [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Burtebayev, N. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Institute of Nuclear Physics, Almaty (Kazakhstan); Britvich, G.I. [Institute of High Energy Physics, Protvino, Moscow region (Russian Federation); Chubenko, A.P. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); P.N. Lebedev Physical Institute of the Russian Academy of Sciences, Moscow (Russian Federation); Guly, V.G.; Glybin, Yu.N. [LLC “SNIIP Plus”, Moscow (Russian Federation); Zholdybayev, T.K.; Burtebayeva, J.T.; Nassurlla, M. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Institute of Nuclear Physics, Almaty (Kazakhstan)

    2017-02-11

    For the first time, samples of particle detectors based on high-purity GaAs epilayers with an active area of 25 and 80 mm{sup 2} and an ultra-thin Pt Schottky barrier were fabricated for use in the spectrometry of charged particles and their operating characteristics were studied. The obtained FWHM of 14.2 (for 25 mm{sup 2} detector) and 15.5 keV (for 80 mm{sup 2} detector) on the 5.499 MeV line of {sup 238}Pu is at the level of silicon spectrometric detectors. It was found that the main component that determines the energy resolution of the detector is a fluctuation in the number of collected electron–hole pairs. This allows us to state that the obtained energy resolution is close to the limit for VPE GaAs. - Highlights: • VPE GaAs particle detectors with an active area of 25 and 80 mm{sup 2} were fabricated. • 120 Å ultra-thin Pt Schottky barrier was used as a rectifying contact. • The obtained FWHM of 14.2 keV ({sup 238}Pu) is at the level of Si spectrometric detectors. • Various components of the total energy resolution were analyzed. • It was shown that obtained energy resolution is close to its limit for VPE GaAs.

  10. Photoacoustic study of the effect of doping concentration on the transport properties of GaAs epitaxial layers

    NARCIS (Netherlands)

    George, S.D.; Dilna, S.; Prasanth, R.; Radhakrishnan, P.; Vallabhan, C.P.G.; Nampoori, V.P.N.

    2003-01-01

    We report a photoacoustic (PA) study of the thermal and transport properties of a GaAs epitaxial layer doped with Si at varying doping concentration, grown on GaAs substrate by molecular beam epitaxy. The data are analyzed on the basis of Rosencwaig and Gersho's theory of the PA effect. The

  11. GaAs low-energy X-ray radioluminescence nuclear battery

    Science.gov (United States)

    Zhang, Zheng-Rong; Liu, Yun-Peng; Tang, Xiao-Bin; Xu, Zhi-Heng; Yuan, Zi-Cheng; Liu, Kai; Chen, Wang

    2018-01-01

    The output properties of X-ray radioluminescence (RL) nuclear batteries with different phosphor layers were investigated by using low-energy X-ray. Results indicated that the values of electrical parameters increased as the X-ray energy increased, and the output power of nuclear battery with ZnS:Cu phosphor layer was greater than those of batteries with ZnS:Ag, (Zn,Cd)S:Cu or Y2O3:Eu phosphor layers under the same excitation conditions. To analyze the RL effects of the phosphor layers under X-ray excitation, we measured the RL spectra of the different phosphor layers. Their fluorescence emissions were absorbed by the GaAs device. In addition, considering luminescence utilization in batteries, we introduced an aluminum (Al) film between the X-ray emitter and phosphor layer. Al film is a high performance reflective material and can increase the fluorescence reaching the GaAs photovoltaic device. This approach significantly improved the output power of the battery.

  12. 2.5 Gb/s laser-driver GaAS IC

    DEFF Research Database (Denmark)

    Riishøj, Jesper

    1993-01-01

    A laser-diode driver GaAs IC incorporating an optional NRZ/RZ (non-return-to-zero/return-to-zero) conversion facility, having ECL (emitter-coupled logic) and SCFL (source-coupled FET logic)-compatible inputs and providing a 0-60-mA adjustable output current into a 50-Ω/5-V termination at bit rates...... obtained. To verify laser driving performance a back-to-back optical-fiber transmission experiment was performed, giving good optical eye diagrams at 2.5 Gb/s. The electrooptical interplay between laser-diode driver and laser-diode has been demonstrated using SPICE simulations...... up to 2 Gb/s NRZ and maintaining a clear eye opening of 50 mA at 2.5 Gb/s NRZ bit rate has been designed, using a commercial 1-μm gate-length (Fτ=12 GHz) GaAs MESFET foundry service. The high maximum output current is obtained by implementing the output driver as a cascode differential amplifier...

  13. Identification of the limiting factors for high-temperature GaAs, GaInP, and AlGaInP solar cells from device and carrier lifetime analysis

    Science.gov (United States)

    Perl, E. E.; Kuciauskas, D.; Simon, J.; Friedman, D. J.; Steiner, M. A.

    2017-12-01

    We analyze the temperature-dependent dark saturation current density and open-circuit voltage (VOC) for GaAs, GaInP, and AlGaInP solar cells from 25 to 400 °C. As expected, the intrinsic carrier concentration, ni, dominates the temperature dependence of the dark currents. However, at 400 °C, we measure VOC that is ˜50 mV higher for the GaAs solar cell and ˜60-110 mV lower for the GaInP and AlGaInP solar cells compared to what would be expected from commonly used solar cell models that consider only the ni2 temperature dependence. To better understand these deviations, we measure the carrier lifetimes of p-type GaAs, GaInP, and AlGaInP double heterostructures (DHs) from 25 to 400 °C using time-resolved photoluminescence. Temperature-dependent minority carrier lifetimes are analyzed to determine the relative contributions of the radiative recombination, interface recombination, Shockley-Read-Hall recombination, and thermionic emission processes. We find that radiative recombination dominates for the GaAs DHs with the effective lifetime approximately doubling as the temperature is increased from 25 °C to 400 °C. In contrast, we find that thermionic emission dominates for the GaInP and AlGaInP DHs at elevated temperatures, leading to a 3-4× reduction in the effective lifetime and ˜40× increase in the surface recombination velocity as the temperature is increased from 25 °C to 400 °C. These observations suggest that optimization of the minority carrier confinement layers for the GaInP and AlGaInP solar cells could help to improve VOC and solar cell efficiency at elevated temperatures. We demonstrate VOC improvement at 200-400 °C in GaInP solar cells fabricated with modified AlGaInP window and back surface field layers.

  14. Surface Modification of Sputtered Ga.5In.5Sb Thin Films ISHU ...

    African Journals Online (AJOL)

    MICHAEL

    IR detectors useful for fiber optic communication. Since the efficiency of detector depends very much on the surface properties of the substrate material, improvement of substrate surfaces is a challenging task in device technology. Reports on the improved electrical properties of GaAs and InP surfaces by. Ru3+ modification ...

  15. Conductive atomic force microscopy study of InAs growth kinetics on vicinal GaAs (110)

    International Nuclear Information System (INIS)

    Tejedor, Paloma; Diez-Merino, Laura; Beinik, Igor; Teichert, Christian

    2009-01-01

    Conductive atomic force microscopy has been used to investigate the effect of atomic hydrogen and step orientation on the growth behavior of InAs on GaAs (110) misoriented substrates. Samples grown by conventional molecular beam epitaxy exhibit higher conductivity on [110]-multiatomic step edges, where preferential nucleation of InAs nanowires takes place by step decoration. On H-terminated substrates with triangular terraces bounded by [115]-type steps, three-dimensional InAs clusters grow selectively at the terrace apices as a result of a kinetically driven enhancement in upward mass transport via AsH x intermediate species and a reduction in the surface free energy.

  16. Radial Growth of Self-Catalyzed GaAs Nanowires and the Evolution of the Liquid Ga-Droplet Studied by Time-Resolved in Situ X-ray Diffraction.

    Science.gov (United States)

    Schroth, Philipp; Jakob, Julian; Feigl, Ludwig; Mostafavi Kashani, Seyed Mohammad; Vogel, Jonas; Strempfer, Jörg; Keller, Thomas F; Pietsch, Ullrich; Baumbach, Tilo

    2018-01-10

    We report on a growth study of self-catalyzed GaAs nanowires based on time-resolved in situ X-ray structure characterization during molecular-beam-epitaxy in combination with ex situ scanning-electron-microscopy. We reveal the evolution of nanowire radius and polytypism and distinguish radial growth processes responsible for tapering and side-wall growth. We interpret our results using a model for diameter self-stabilization processes during growth of self-catalyzed GaAs nanowires including the shape of the liquid Ga-droplet and its evolution during growth.

  17. Magnetic Properties of Fe(001) Thin Films on GaAs(001) Deposited by RF Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ikeya, Hirokazu; Takahashi, Yutaka; Inaba, Nobuyuki; Kirino, Fumiyoshi; Ohtake, Mitsuru; Futamoto, Masaaki

    2011-01-01

    Fe thin films, down to 6 nm thick, were prepared on GaAs(001) substrates by RF magnetron sputtering. The x-ray diffraction (XRD) analyses show that the epitaxial thin films of Fe(001) were grown with cube-on-cube orientation on GaAs(001). Magnetic properties were investigated by vibrating sample magnetometry (VSM) and ferromagnetic resonance (FMR) spectroscopy. The magnetization curves obtained by applying in-plane magnetic fields indicate that easy (hard) direction is along [100] ([110]) and the saturation magnetization is close to the bulk values. The in-plane magnetic anisotropy measured by FMR shows four-fold symmetry, as expected for bcc Fe. We did not observe the in-plane uniaxial magnetic anisotropy reported on the MBE-grown Fe films on GaAs substrates.

  18. Large area, low capacitance, GaAs nanowire photodetector with a transparent Schottky collecting junction

    International Nuclear Information System (INIS)

    Seyedi, M. A.; Yao, M.; O'Brien, J.; Dapkus, P. D.; Wang, S. Y.

    2013-01-01

    We present experimental results on a GaAs/Indium-Tin-Oxide Schottky-like heterojunction photodetector based on a nanowire device geometry. By distributing the active detecting area over an array of nanowires, it is possible to achieve large area detection with low capacitance. Devices with bare GaAs and passivated AlGaAs/GaAs nanowires are fabricated to compare the responsivity with and without surface passivation. We are able to achieve responsivity of >0.5A/W and Signal-Noise-Ratio in excess of 7 dB for 2 V applied reverse bias with passivated nanowire devices. Capacitance-voltage measurement yields 2 , which shows a strong possibility for high-speed applications with a broad area device

  19. GaAs droplet quantum dots with nanometer-thin capping layer for plasmonic applications

    Science.gov (United States)

    In Park, Suk; Trojak, Oliver Joe; Lee, Eunhye; Song, Jin Dong; Kyhm, Jihoon; Han, Ilki; Kim, Jongsu; Yi, Gyu-Chul; Sapienza, Luca

    2018-05-01

    We report on the growth and optical characterization of droplet GaAs quantum dots (QDs) with extremely-thin (11 nm) capping layers. To achieve such result, an internal thermal heating step is introduced during the growth and its role in the morphological properties of the QDs obtained is investigated via scanning electron and atomic force microscopy. Photoluminescence measurements at cryogenic temperatures show optically stable, sharp and bright emission from single QDs, at visible wavelengths. Given the quality of their optical properties and the proximity to the surface, such emitters are good candidates for the investigation of near field effects, like the coupling to plasmonic modes, in order to strongly control the directionality of the emission and/or the spontaneous emission rate, crucial parameters for quantum photonic applications.

  20. Diffusion of $^{56}$Co in GaAs and SiGe alloys

    CERN Multimedia

    Koskelo, O K

    2007-01-01

    Following our previous diffusion studies performed with the modified radiotracer technique, we propose to determine the diffusion of cobalt in GaAs and SiGe alloys under intrinsic conditions. In the literature only three previous studies for Co diffusion in GaAs may be found and the results differ by over four orders of magnitude from each other. For Co diffusion in SiGe alloys no previous data is available in the literature. For Co diffusion in Ge one study may be found but the results have been obtained with material having increased dislocation density. For dislocation-free material no previous measurements are available. For such experiments we ask for two runs of 3 shifts (total of 6 shifts) with $^{56}$Co$^{+}$ ion beam.

  1. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  2. Strong coupling between bi-dimensional electron gas and nitrogen localized states in heavily doped GaAs1-xN x structures

    International Nuclear Information System (INIS)

    Hamdouni, A.; Bousbih, F.; Ben Bouzid, S.; Oueslati, M.; Chtourou, R.; Harmand, J.C.

    2005-01-01

    We report a low-temperature photoluminescence spectra (LTPL) of GaAs 1-x N x layers and two-dimension electron gas (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure grown on GaAs substrates by molecular beam epitaxy (MBE) with low nitrogen content [N] = 2 x 10 18 cm -3 . At low temperature, PL spectra of GaAs 1-x N x layers are governed by several features associate to the excitons bound to nitrogen complexes, these features disappear in (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure and the PL peak energy decrease with the laser power excitation. This effect is explained by the strongly coupling of the (2DEG) fundamental state with the nitrogen localized states. An activated energy of about 55 meV is deduced by photoluminescence measurements in the 10-300 K range for a laser power excitation P = 6 W/cm 2

  3. Isolating GaSb Membranes Grown Metamorphically on GaAs Substrates Using Highly Selective Substrate Removal Etch Processes

    Science.gov (United States)

    Renteria, E. J.; Muniz, A. J.; Addamane, S. J.; Shima, D. M.; Hains, C. P.; Balakrishnan, G.

    2015-05-01

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11,000 ± 2000, whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2- μm-thick GaSb epilayers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high- resolution x-ray diffraction and atomic force microscopy.

  4. Antisites and anisotropic diffusion in GaAs and GaSb

    KAUST Repository

    Tahini, H. A.; Bracht, H.; Chroneos, Alexander; Grimes, R. W.; Murphy, S. T.; Schwingenschlö gl, Udo

    2013-01-01

    The significant diffusion of Ga under Ga-rich conditions in GaAs and GaSb is counter intuitive as the concentration of Ga vacancies should be depressed although Ga vacancies are necessary to interpret the experimental evidence for Ga transport

  5. Optical Properties of InGaAs/ GaAs Multi Quantum Wells Structure Grown By Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Mohd Sharizal Alias; Mohd Fauzi Maulud; Mohd Razman Yahya; Abdul Fatah Awang Mat; Suomalainen, Soile

    2008-01-01

    Inclusive analysis on the optical characteristics of InGaAs/ GaAs QW structure for 980 nm semiconductor laser operation is presented from experimental and theoretical point of view. The InGaAs/ GaAs quantum well structure is grown by molecular beam epitaxy at different indium composition and quantum well thickness for optical characteristic comparison. Photoluminescence spectra from the measurement show that the spectrum is in good agreement with the simulation results. Detail simulation on the material gain for the InGaAs/ GaAs quantum well as a function of carrier densities and operating temperature is also performed in order to optimize the semiconductor laser design for device fabrication. (author)

  6. X-ray diffraction study on pressure-induced phase transformation in nanocrystalline GaAs

    DEFF Research Database (Denmark)

    Jiang, Jianzhong; Olsen, J. S.; Gerward, Leif

    2002-01-01

    We have shown that the onset and transition pressures of the GaAs I --> II transition are 17 GPa and 20 GPa, respectively, for both bulk and nanophase material. The observed gradual change in resistivity of nanophase GaAs,at the semiconductor-to-metal transition is explained by the two-component ......We have shown that the onset and transition pressures of the GaAs I --> II transition are 17 GPa and 20 GPa, respectively, for both bulk and nanophase material. The observed gradual change in resistivity of nanophase GaAs,at the semiconductor-to-metal transition is explained by the two...

  7. Stable Amplification and High Current Drop Bistable Switching in Supercritical GaAs Tills

    DEFF Research Database (Denmark)

    Izadpanah, S.H; Jeppsson, B; Jeppesen, Palle

    1974-01-01

    Bistable switching with current drops of 40% and switching times of 100 ps are obtained in pulsed operation of 10¿m supercritically doped n+ nn+ GaAs Transferred Electron Devices (TEDs). When CW-operated the same devices exhibit a 5-17 GHz bandwidth for the stable negative resistance.......Bistable switching with current drops of 40% and switching times of 100 ps are obtained in pulsed operation of 10¿m supercritically doped n+ nn+ GaAs Transferred Electron Devices (TEDs). When CW-operated the same devices exhibit a 5-17 GHz bandwidth for the stable negative resistance....

  8. Optical conductivity calculation of a k.p model semiconductor GaAs incorporating first-order electron-hole vertex correction

    Science.gov (United States)

    Nurhuda, Maryam; Aziz Majidi, Muhammad

    2018-04-01

    The role of excitons in semiconducting materials carries potential applications. Experimental results show that excitonic signals also appear in optical absorption spectra of semiconductor system with narrow gap, such as Gallium Arsenide (GaAs). While on the theoretical side, calculation of optical spectra based purely on Density Functional Theory (DFT) without taking electron-hole (e-h) interactions into account does not lead to the appearance of any excitonic signal. Meanwhile, existing DFT-based algorithms that include a full vertex correction through Bethe-Salpeter equation may reveal an excitonic signal, but the algorithm has not provided a way to analyze the excitonic signal further. Motivated to provide a way to isolate the excitonic effect in the optical response theoretically, we develop a method of calculation for the optical conductivity of a narrow band-gap semiconductor GaAs within the 8-band k.p model that includes electron-hole interactions through first-order electron-hole vertex correction. Our calculation confirms that the first-order e-h vertex correction reveals excitonic signal around 1.5 eV (the band gap edge), consistent with the experimental data.

  9. Diffraction anomalous fine-structure study of strained Ga1-xInxAs on GaAs(001)

    International Nuclear Information System (INIS)

    Woicik, J.C.; Cross, J.O.; Bouldin, C.E.; Ravel, B.; Pellegrino, J.G.; Steiner, B.; Bompadre, S.G.; Sorensen, L.B.; Miyano, K.E.; Kirkland, J.P.

    1998-01-01

    Diffraction anomalous fine-structure measurements performed at both the Ga and As K edges have determined the Ga-As bond length to be 2.442±0.005thinsp Angstrom in a buried, 213-Angstrom-thick Ga 0.785 In 0.215 As layer grown coherently on GaAs(001). This bond length corresponds to a strain-induced contraction of 0.013±0.005thinsp Angstrom relative to the Ga-As bond length in bulk Ga 1-x In x As of the same composition. Together with recent extended x-ray-absorption fine-structure measurements performed at the In K edge [Woicik et al., Phys. Rev. Lett. 79, 5026 (1997)], excellent agreement is found with the uniform bond-length distortion model for strained-layer semiconductors on (001) substrates. copyright 1998 The American Physical Society

  10. High-resolution X-ray diffraction characterisation of piezoelectric InGaAs / GaAs multiquantum wells and superlattices on (111)B GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Sanz-Hervas, A.; Aguilar, M. [Madrid, Univ. (Spain). Dept. Tecnologia Electronica. E.T.S.I. Telecomunicacion; Lopez, M.; Llorente, C.; Lorenzo, R.; Abril, E. J. [Valladolid, Real de Burgos Univ. (Spain). Dept. Teoria de la Senal u Comunicaciones e Ingegneria Telematica. E.T.S.I. Telecomunicacion; Sacedon, A.; Sanchez, J. L.; Calleja, E.; Munoz, E. [Madrid, Univ. (Spain). Dept. Ingegnieria Electronica. E.T.S.I. Telecomunicacion

    1997-02-01

    In this paper the authors show some examples of strained InGaAs / GaAs multilayers on (111)B GaAs substrates studied by high-resolution X-ray diffractometry. The samples consisted of a multiquantum well or superlattice embedded in the intrinsic region of a p-i-n photodiode. They have analysed piezoelectric (111)B structures with 3, 7, 10, and 40 periods and different indium contents and compared the results with identical structures simultaneously grown on (001) substrates. The interpretation of the diffraction profiles has been carried out with a computer simulation model developed in our labs, which allows the calculation of symmetric and asymmetric reflections regardless of the substrate orientation or miscut angle. The agreement between the experimental scans and the theory was very satisfactory in all the samples, which has enabled us to determine the main structural parameters of the diodes, Asymmetric 224{+-} reflections on (111)B structures have been simulated for the first time. They have also compared the structural parameters obtained by high-resolution X-ray diffractometry with the results deduced from photoluminescence and photocurrent spectroscopies.

  11. High-resolution X-ray diffraction characterisation of piezoelectric InGaAs / GaAs multiquantum wells and superlattices on (111)B GaAs

    International Nuclear Information System (INIS)

    Sanz-Hervas, A.; Aguilar, M.; Lopez, M.; Llorente, C.; Lorenzo, R.; Abril, E. J.; Sacedon, A.; Sanchez, J. L.; Calleja, E.; Munoz, E.

    1997-01-01

    In this paper the authors show some examples of strained InGaAs / GaAs multilayers on (111)B GaAs substrates studied by high-resolution X-ray diffractometry. The samples consisted of a multiquantum well or superlattice embedded in the intrinsic region of a p-i-n photodiode. They have analysed piezoelectric (111)B structures with 3, 7, 10, and 40 periods and different indium contents and compared the results with identical structures simultaneously grown on (001) substrates. The interpretation of the diffraction profiles has been carried out with a computer simulation model developed in our labs, which allows the calculation of symmetric and asymmetric reflections regardless of the substrate orientation or miscut angle. The agreement between the experimental scans and the theory was very satisfactory in all the samples, which has enabled us to determine the main structural parameters of the diodes, Asymmetric 224± reflections on (111)B structures have been simulated for the first time. They have also compared the structural parameters obtained by high-resolution X-ray diffractometry with the results deduced from photoluminescence and photocurrent spectroscopies

  12. The influence of γ-irradiation cobalt 60 on electrical properties of undoped GaAs treated with hydrogen plasma

    International Nuclear Information System (INIS)

    Korshunov, F.P.; Kurilovich, N.F.; Prokhorenko, T.A.; Bumaj, Yu.A.; Ul'yashin, A.G.

    1999-01-01

    The influence of exposition to a hydrogen plasma (hydrogenation) on the electrical properties alteration under gamma-irradiation in bulk GaAs have been investigated. It is shown that crystals hydrogenation before irradiation leads to particularly passivation of electrically active defects that are responsible for carriers scattering and removing processes in irradiated crystals. Radiation defects thermostability in hydrogenated GaAs crystals is lower than that in non hydrogenated ones. The energetic levels position of main defect that effects on electrical properties alteration after irradiation in GaAs crystals was detected. It is equal to E D =E C -0,125±0,0005 eV

  13. Experimental investigations of atomic ordering effects in the epitaxial Ga{sub x}In{sub 1-x}P, coherently grown on GaAs (100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Seredin, P.V., E-mail: paul@phys.vsu.ru [Voronezh State University, Universitetskaya pl., 1, 394006 Voronezh (Russian Federation); Goloshchapov, D.L.; Khudyakov, Yu.Yu.; Lenshin, A.S.; Lukin, A.N. [Voronezh State University, Universitetskaya pl., 1, 394006 Voronezh (Russian Federation); Arsentyev, I.N., E-mail: arsentyev@mail.ioffe.ru [Ioffe Physical and Technical Institute, Polytekhnicheskaya, 26, 194021 St-Petersburg (Russian Federation); Prutskij, Tatiana, E-mail: prutskij@yahoo.com [Instituto de Ciencias, Benemérita Universidad Autónoma de Puebla, Privada 17 Norte, No 3417, Col San Miguel Hueyotlipan, 72050 Puebla, Puebla (Mexico)

    2017-03-15

    A range of structural and spectroscopic techniques were used for the study of the properties of epitaxial Ga{sub x}In{sub 1-x}P alloys with an ordered arrangement of atoms in a crystal lattice grown by MOCVD on single-crystalline substrates of GaAs (100). The appearance of atomic ordering in the coherent growth conditions of the ordered Ga{sub x}In{sub 1-x}P alloy on GaAs (100) resulted in cardinal changes of the structural and optical properties of semiconductor in comparison to disordered alloys, including the change of the crystal lattice parameter and, consequently, reduced crystal symmetry, decreased band gap and formation of two different types of surface nanorelief. This is the first report of the calculation of parameters of the crystal lattice in Ga{sub x}In{sub 1-x}P with ordering taking into account the elastic stresses dependent on long-range ordering. Based on the variance analysis data with regard to the IR-reflection spectra as well as the UV-spectroscopy data obtained in the transmission-reflection mode, the main optical characteristics of the ordered Ga{sub x}In{sub 1-x}P alloys were determined for the first time, namely, refractive index dispersion and high-frequency dielectric constant. All of the experimental results were in good agreement with the previously developed theoretical beliefs.

  14. Laser-induced band-gap collapse in GaAs

    Science.gov (United States)

    Glezer, E. N.; Siegal, Y.; Huang, L.; Mazur, E.

    1995-03-01

    We present experimentally determined values of the dielectric constant of GaAs at photon energies of 2.2 and 4.4 eV following excitation of the sample with 1.9-eV, 70-fs laser pulses spanning a fluence range from 0 to 2.5 kJ/m2. The data show that the response of the dielectric constant to the excitation is dominated by changes in the electronic band structure and not by the optical susceptibility of the excited free carriers. The behavior of the dielectric constant indicates a drop in the average bonding-antibonding splitting of GaAs following the laser-pulse excitation. This drop in the average splitting leads to a collapse of the band gap on a picosecond time scale for excitation at fluences near the damage threshold of 1.0 kJ/m2 and on a subpicosecond time scale at higher excitation fluences. The changes in the electronic band structure result from a combination of electronic screening of the ionic potential as well as structural deformation of the lattice caused by the destabilization of the covalent bonds.

  15. In situ electron backscattered diffraction of individual GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Prikhodko, S.V. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)], E-mail: sergey@seas.ucla.edu; Sitzman, S. [Oxford Instruments America, Concord, MA 01742 (United States); Gambin, V. [Northrop Grumman Space Technology, Redondo Beach, CA 90278 (United States); Kodambaka, S. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2008-12-15

    We suggest and demonstrate that electron backscattered diffraction, a scanning electron microscope-based technique, can be used for non-destructive structural and morphological characterization of statistically significant number of nanowires in situ on their growth substrate. We obtain morphological, crystal phase, and crystal orientation information of individual GaAs nanowires in situ on the growth substrate GaAs(1 1 1) B. Our results, verified using transmission electron microscopy and selected area electron diffraction analyses of the same set of wires, indicate that most wires possess a wurtzite structure with a high density of thin structural defects aligned normal to the wire growth axis, while others grow defect-free with a zincblende structure. The demonstrated approach is general, applicable to other material systems, and is expected to provide important insights into the role of substrate structure on nanowire structure on nanowire crystallinity and growth orientation.

  16. Biexciton emission from single isoelectronic traps formed by nitrogen-nitrogen pairs in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Takamiya, Kengo; Fukushima, Toshiyuki; Yagi, Shuhei; Hijikata, Yasuto; Yaguchi, Hiroyuki [Graduate School of Science and Engineering, Saitama University, 255 Shimo-Okubo, Sakura-ku , Saitama 338-8570 (Japan); Mochizuki, Toshimitsu; Yoshita, Masahiro; Akiyama, Hidefumi [Institute for Solid State Physics, The University of Tokyo, 5-1-5 Kashiwanoha, Kashiwa, Chiba 277-8581 (Japan); Kuboya, Shigeyuki; Onabe, Kentaro [Department of Advanced Materials Science, The University of Tokyo, 5-1-5 Kashiwanoha, Kashiwa, Chiba 277-8581 (Japan); Katayama, Ryuji [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan)

    2013-12-04

    We have studied photoluminescence (PL) from individual isoelectronic traps formed by nitrogen-nitrogen (NN) pairs in GaAs. Sharp emission lines due to exciton and biexciton were observed from individual isoelectronic traps in nitrogen atomic-layer doped (ALD) GaAs. The binding energy of biexciton bound to individual isoelectronic traps was approximately 8 meV. Both the exciton and biexciton luminescence lines show completely random polarization and no fine-structure splitting. These results are desirable to the application to the quantum cryptography used in the field of quantum information technology.

  17. Lifetime measurements by open circuit voltage decay in GaAs and InP diodes

    International Nuclear Information System (INIS)

    Bhimnathwala, H.G.; Tyagi, S.D.; Bothra, S.; Ghandhi, S.K.; Borrego, J.M.

    1990-01-01

    Minority carrier lifetimes in the base of solar cells made in GaAs and InP are measured by open circuit voltage decay method. This paper describes the measurement technique and the conditions under which the minority carrier lifetimes can be measured. Minority carrier lifetimes ranging from 1.6 to 34 ns in InP of different doping concentrations are measured. A minority carrier lifetime of 6 ns was measured in n-type GaAs which agrees well with the lifetime of 5.7 ns measured by transient microwave reflection

  18. Capacitance-voltage characteristics of GaAs ion-implanted structures

    Directory of Open Access Journals (Sweden)

    Privalov E. N.

    2008-08-01

    Full Text Available A noniterative numerical method is proposed to calculate the barrier capacitance of GaAs ion-implanted structures as a function of the Schottky barrier bias. The features of the low- and high-frequency capacitance-voltage characteristics of these structures which are due to the presence of deep traps are elucidated.

  19. Nonlocal continuum-based modeling of breathing mode of nanowires including surface stress and surface inertia effects

    Science.gov (United States)

    Ghavanloo, Esmaeal; Fazelzadeh, S. Ahmad; Rafii-Tabar, Hashem

    2014-05-01

    Nonlocal and surface effects significantly influence the mechanical response of nanomaterials and nanostructures. In this work, the breathing mode of a circular nanowire is studied on the basis of the nonlocal continuum model. Both the surface elastic properties and surface inertia effect are included. Nanowires can be modeled as long cylindrical solid objects. The classical model is reformulated using the nonlocal differential constitutive relations of Eringen and Gurtin-Murdoch surface continuum elasticity formalism. A new frequency equation for the breathing mode of nanowires, including small scale effect, surface stress and surface inertia is presented by employing the Bessel functions. Numerical results are computed, and are compared to confirm the validity and accuracy of the proposed method. Furthermore, the model is used to elucidate the effect of nonlocal parameter, the surface stress, the surface inertia and the nanowire orientation on the breathing mode of several types of nanowires with size ranging from 0.5 to 4 nm. Our results reveal that the combined surface and small scale effects are significant for nanowires with diameter smaller than 4 nm.

  20. Nonlocal continuum-based modeling of breathing mode of nanowires including surface stress and surface inertia effects

    International Nuclear Information System (INIS)

    Ghavanloo, Esmaeal; Fazelzadeh, S. Ahmad; Rafii-Tabar, Hashem

    2014-01-01

    Nonlocal and surface effects significantly influence the mechanical response of nanomaterials and nanostructures. In this work, the breathing mode of a circular nanowire is studied on the basis of the nonlocal continuum model. Both the surface elastic properties and surface inertia effect are included. Nanowires can be modeled as long cylindrical solid objects. The classical model is reformulated using the nonlocal differential constitutive relations of Eringen and Gurtin–Murdoch surface continuum elasticity formalism. A new frequency equation for the breathing mode of nanowires, including small scale effect, surface stress and surface inertia is presented by employing the Bessel functions. Numerical results are computed, and are compared to confirm the validity and accuracy of the proposed method. Furthermore, the model is used to elucidate the effect of nonlocal parameter, the surface stress, the surface inertia and the nanowire orientation on the breathing mode of several types of nanowires with size ranging from 0.5 to 4 nm. Our results reveal that the combined surface and small scale effects are significant for nanowires with diameter smaller than 4 nm.

  1. Nonlocal continuum-based modeling of breathing mode of nanowires including surface stress and surface inertia effects

    Energy Technology Data Exchange (ETDEWEB)

    Ghavanloo, Esmaeal, E-mail: ghavanloo@shirazu.ac.ir [School of Mechanical Engineering, Shiraz University, Shiraz 71963-16548 (Iran, Islamic Republic of); Fazelzadeh, S. Ahmad [School of Mechanical Engineering, Shiraz University, Shiraz 71963-16548 (Iran, Islamic Republic of); Rafii-Tabar, Hashem [Department of Medical Physics and Biomedical Engineering, Research Center for Medical Nanotechnology and Tissue Engineering, Shahid Beheshti University of Medical Sciences, Evin, Tehran (Iran, Islamic Republic of); Computational Physical Sciences Research Laboratory, School of Nano-Science, Institute for Research in Fundamental Sciences (IPM), Tehran (Iran, Islamic Republic of)

    2014-05-01

    Nonlocal and surface effects significantly influence the mechanical response of nanomaterials and nanostructures. In this work, the breathing mode of a circular nanowire is studied on the basis of the nonlocal continuum model. Both the surface elastic properties and surface inertia effect are included. Nanowires can be modeled as long cylindrical solid objects. The classical model is reformulated using the nonlocal differential constitutive relations of Eringen and Gurtin–Murdoch surface continuum elasticity formalism. A new frequency equation for the breathing mode of nanowires, including small scale effect, surface stress and surface inertia is presented by employing the Bessel functions. Numerical results are computed, and are compared to confirm the validity and accuracy of the proposed method. Furthermore, the model is used to elucidate the effect of nonlocal parameter, the surface stress, the surface inertia and the nanowire orientation on the breathing mode of several types of nanowires with size ranging from 0.5 to 4 nm. Our results reveal that the combined surface and small scale effects are significant for nanowires with diameter smaller than 4 nm.

  2. Impact of built-in fields and contact configuration on the characteristics of ultra-thin GaAs solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Aeberhard, Urs, E-mail: u.aeberhard@fz-juelich.de [IEK-5 Photovoltaik, Forschungszentrum Jülich, D-52425 Jülich (Germany)

    2016-07-18

    We discuss the effects of built-in fields and contact configuration on the photovoltaic characteristics of ultra-thin GaAs solar cells. The investigation is based on advanced quantum-kinetic simulations reaching beyond the standard semi-classical bulk picture concerning the consideration of charge carrier states and dynamics in complex potential profiles. The thickness dependence of dark and photocurrent in the ultra-scaled regime is related to the corresponding variation of both, the built-in electric fields and associated modification of the density of states, and the optical intensity in the films. Losses in open-circuit voltage and short-circuit current due to the leakage of electronically and optically injected carriers at minority carrier contacts are investigated for different contact configurations including electron and hole blocking barrier layers. The microscopic picture of leakage currents is connected to the effect of finite surface recombination velocities in the semi-classical description, and the impact of these non-classical contact regions on carrier generation and extraction is analyzed.

  3. Design of a GaAs X-ray imaging sensor with integrated HEMT readout circuitry

    Energy Technology Data Exchange (ETDEWEB)

    Boardman, D

    2002-01-01

    A new monolithic semi-insulating (SI) GaAs sensor design for X-ray imaging applications between 10-100keV has been proposed. Monolithic pixel detectors offer a number of advantages over hybrid bump-bonded detectors, such as high device yield, low costs and are easier to produce large scale arrays. In this thesis, an investigation is made of the use of a SI GaAs wafer as both a detector element and substrate for the epitaxially grown High Electron Mobility Transistors (HEMTs). The design of the HEMT transistors, optimised for this application, were produced with the aid of the Silvaco 'Virtual Wafer Fab' simulation package. It was determined that the device characteristics would consist of a small positive threshold voltage, a low off-state drain current and high transconductance. The final HEMT transistor design, that would be integrated to a pixel detector, had a threshold voltage of 0.17V, an off-state leakage current of {approx}1nA and a transconductance of 7.4mS. A number of test detectors were characterised using an ion beam induced charge technique. Charge collection efficiency maps of the test detectors were produced to determine their quality as a X-ray detection material. From the results, the inhomogeneity of SI GaAs, homogeneity of epitaxial GaAs and granular nature of polycrystalline GaAs, were observed. The best of these detectors was used in conjunction with a commercial field effect transistor to produce a hybrid device. The charge switching nature of the hybrid device was shown and a sensitivity of 0.44pC/{mu}Gy mm{sup 2}, for a detector bias of 60V, was found. The functionality of the hybrid sensor was the same to that proposed for the monolithic sensor. The fabrication of the monolithic sensor, with an integrated HEMT transistor and external capacitor, was achieved. To reach the next stage of producing a monolithic sensor that integrates charge, requires further work in the design and the fabrication process. (author)

  4. Design of a GaAs X-ray imaging sensor with integrated HEMT readout circuitry

    International Nuclear Information System (INIS)

    Boardman, D.

    2002-01-01

    A new monolithic semi-insulating (SI) GaAs sensor design for X-ray imaging applications between 10-100keV has been proposed. Monolithic pixel detectors offer a number of advantages over hybrid bump-bonded detectors, such as high device yield, low costs and are easier to produce large scale arrays. In this thesis, an investigation is made of the use of a SI GaAs wafer as both a detector element and substrate for the epitaxially grown High Electron Mobility Transistors (HEMTs). The design of the HEMT transistors, optimised for this application, were produced with the aid of the Silvaco 'Virtual Wafer Fab' simulation package. It was determined that the device characteristics would consist of a small positive threshold voltage, a low off-state drain current and high transconductance. The final HEMT transistor design, that would be integrated to a pixel detector, had a threshold voltage of 0.17V, an off-state leakage current of ∼1nA and a transconductance of 7.4mS. A number of test detectors were characterised using an ion beam induced charge technique. Charge collection efficiency maps of the test detectors were produced to determine their quality as a X-ray detection material. From the results, the inhomogeneity of SI GaAs, homogeneity of epitaxial GaAs and granular nature of polycrystalline GaAs, were observed. The best of these detectors was used in conjunction with a commercial field effect transistor to produce a hybrid device. The charge switching nature of the hybrid device was shown and a sensitivity of 0.44pC/μGy mm 2 , for a detector bias of 60V, was found. The functionality of the hybrid sensor was the same to that proposed for the monolithic sensor. The fabrication of the monolithic sensor, with an integrated HEMT transistor and external capacitor, was achieved. To reach the next stage of producing a monolithic sensor that integrates charge, requires further work in the design and the fabrication process. (author)

  5. Study of irradiation defects in GaAs

    International Nuclear Information System (INIS)

    Loualiche, S.

    1982-11-01

    Characterization techniques: C(V) differential capacity, DLTS deep level transient spectroscopy, DDLTS double deep level transient spectroscopy and DLOS deep level optical spectroscopy are studied and theoretical and experimental fundamentals are re-examined. In particular the centres created by ionic or electronic bombardment of p-type GaAs. New quantitative theoretical bases for the C(V) method are obtained. Study of the optical properties of traps due to irradiation using DLOS. The nature of irradiation defects are discussed [fr

  6. Substrate Misorientation Effects On (A1,Ga)As And (Al,Ga)As/GaAs Structures Grown By Molecular Beam Epitaxy

    Science.gov (United States)

    Tsui, Raymond K.; Kramer, Gary D.; Curless, J. A.; Peffley, Marilyn S.

    1987-04-01

    (Al,Ga)As layers have rough surface morphologies when deposited under certain growth conditions in molecular beam epitaxy (MBE). This leads to poor interfaces between (A1,Ga)- As and GaAs and degraded performance in heterojunction devices. We have observed that by misorienting the substrate slightly from (100), in a manner specific to the growth conditions, smooth (Al,Ga)As layers 3-4 μm thick can be grown at a rate of ≍ 1 μm/h for various AlAs mole fractions, x. Similar conditions for nominal (100) result in a rough, textured morphology. Experiments were carried out using flat substrates of specific misorientations as well as lens-shaped substrates. The lenticular substrates allowed all orientations within 14° of (100) [i.e., out to (511)] to be evaluated in one growth run. Deposition conditions that were varied included x, substrate temperature, and V/III beam flux ratio. Smooth layers obtained using optimal misorientations showed superior optical characteris-tics as determined from low-temperature photoluminescence (PL) measurements. The 4.2K PL spectra of smooth layers exhibit well-resolved exciton-related peaks, and do not have the deeper-level defect-related peaks observed in the spectra of rough layers. Single quantum well structures with A10.3Ga0.7As barriers and a 100 A-wide GaAs well deposited on mis-oriented substrates also have superior optical properties compared to a structure grown on nominal (100). Such findings may have significant implications for the performance of heterojunction device structures grown by MBE.

  7. Selfsimilar and fractal analysis of n-type delta-doped quasiregular GaAs quantum wells

    International Nuclear Information System (INIS)

    García-Cervantes, H.; Rodríguez-Vargas, I.

    2014-01-01

    We study the electronic structure of n-type delta-doped quantum wells in GaAs in which the multiple well system is built according to the Fibonacci sequence. The building blocks A and B correspond to delta-doped wells with impurities densities n 2DA and n 2DB , and the same well width. The Thomas-Fermi approximation, the semi-empirical sp 3 s* tight-binding model including spin, the Surface Green Function Matching method and the Transfer Matrix approach were implemented to obtain the confining potential, the electronic structure and the selfsimilarity of the spectrum. The fragmentation of the electronic spectra is observed whenever the building blocks A and B interact and it increases as the difference of impurities density between A and B increases as well. The wave function of the first sate of the fragmented bands presents critical characteristics, this is, it is not a localized state nor a extended one as well as it has selfsimilar features. So, the quasiregular characteristics are preserved irrespective of the complexity of the system and can affect the performance of devices based on these structures

  8. Selfsimilar and fractal analysis of n-type delta-doped quasiregular GaAs quantum wells

    Energy Technology Data Exchange (ETDEWEB)

    García-Cervantes, H.; Rodríguez-Vargas, I. [Unidad Académica de Física, Universidad Autónoma de Zacatecas, Calzada Solidaridad Esquina Con Paseo La Bufa S/N, 98060 Zacatecas, Zac. (Mexico)

    2014-05-15

    We study the electronic structure of n-type delta-doped quantum wells in GaAs in which the multiple well system is built according to the Fibonacci sequence. The building blocks A and B correspond to delta-doped wells with impurities densities n{sub 2DA} and n{sub 2DB}, and the same well width. The Thomas-Fermi approximation, the semi-empirical sp{sub 3}s* tight-binding model including spin, the Surface Green Function Matching method and the Transfer Matrix approach were implemented to obtain the confining potential, the electronic structure and the selfsimilarity of the spectrum. The fragmentation of the electronic spectra is observed whenever the building blocks A and B interact and it increases as the difference of impurities density between A and B increases as well. The wave function of the first sate of the fragmented bands presents critical characteristics, this is, it is not a localized state nor a extended one as well as it has selfsimilar features. So, the quasiregular characteristics are preserved irrespective of the complexity of the system and can affect the performance of devices based on these structures.

  9. Hydrogenation of GaAs covered by GaAlAs and subgrain boundary passivation

    Science.gov (United States)

    Djemel, A.; Castaing, J.; Chevallier, J.; Henoc, P.

    1992-12-01

    Cathodoluminescence (CL) has been performed to study the influence of hydrogen on electronic properties of GaAs with and without a GaAlAs layer. Recombination at sub-boundaries has been examined. These extended defects have been introduced by high temperature plastic deformation. The results show that they are passivated by hydrogen. The penetration of hydrogen is slowed down by the GaAlAs layer. La cathodoluminescence (CL) a été utilisée pour étudier l'influence de l'hydrogène sur les propriétés électroniques de GaAs nu et recouvert d'une couche de GaAlAs. Le caractère recombinant des sous-joints de grains a été examiné. Ces défauts étendus ont été introduits par déformation plastique à chaud. Les résultats montrent que l'hydrogène passive ces défauts. La pénétration de l'hydrogène à l'intérieur de GaAs est retardée par la présence de la couche de GaAlAs.

  10. Fabrication of GaAs nanowire devices with self-aligning W-gate electrodes using selective-area MOVPE

    International Nuclear Information System (INIS)

    Ooike, N.; Motohisa, J.; Fukui, T.

    2004-01-01

    We propose and demonstrate a novel self-aligning process for fabricating the tungsten (W) gate electrode of GaAs nanowire FETs by using selective-area metalorganic vapor phase epitaxy (SA-MOVPE) where SiO 2 /W composite films are used to mask the substrates. First, to study the growth process and its dependence on mask materials, GaAs wire structures were grown on masked substrates partially covered with a single W layer or SiO 2 /W composite films. We found that lateral growth over the masked regions could be suppressed when a wire along the [110] direction and a SiO 2 /W composite mask were used. Using this composite mask, we fabricated GaAs narrow channel FETs using W as a Schottky gate electrode, and we were able to observe FET characteristics at room temperature

  11. Real-time observation of rotational twin formation during molecular-beam epitaxial growth of GaAs on Si (111) by x-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Hidetoshi, E-mail: hsuzuki@cc.miyazaki-u.ac.jp [Faculty of Engineering, University of Miyazaki, 1-1 Gakuen-Kibanadai-Nishi, Miyazaki 889-2192 (Japan); Nakata, Yuka; Takahasi, Masamitu [Graduate School of Materials Science, University of Hyogo, 3-2-1 Koto, Kamigori-cho, Hyogo 678-1297 (Japan); Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Koto, Sayo-cho, Hyogo 679-5148 (Japan); Ikeda, Kazuma [Toyota Technological Institute, 2-12-1 Hisakata, Tempaku, Nagoya 468-8511 (Japan); Ohshita, Yoshio; Morohara, Osamu; Geka, Hirotaka; Moriyasu, Yoshitaka [Advanced Devices and Sensor Systems Development Center, Asahi Kasei Co. Ltd., 2-1 Samejima, Fuji 416-8501 (Japan)

    2016-03-15

    The formation and evolution of rotational twin (TW) domains introduced by a stacking fault during molecular-beam epitaxial growth of GaAs on Si (111) substrates were studied by in situ x-ray diffraction. To modify the volume ratio of TW to total GaAs domains, GaAs was deposited under high and low group V/group III (V/III) flux ratios. For low V/III, there was less nucleation of TW than normal growth (NG) domains, although the NG and TW growth rates were similar. For high V/III, the NG and TW growth rates varied until a few GaAs monolayers were deposited; the mean TW domain size was smaller for all film thicknesses.

  12. Studies on the controlled growth of InAs nanostructures on scission surfaces

    International Nuclear Information System (INIS)

    Bauer, J.

    2006-01-01

    The aim of this thesis was the controlled alignment of self-assembled InAs nano-structures on a {110}-oriented surface. The surface is prestructured with the atomic precision offered by molecular beam epitaxy, using the cleaved edge overgrowth-technique. On all samples grown within this work, the epitaxial template in the first growth step was deposited on a (001)GaAs substrate, while the InAs-layer forming the nanostructures during the second growth step was grown on cleaved {110}-GaAs surfaces. Atomic Force Microscopy (AFM) investigations demonstrate the formation of quantum dot (QD)-like nanostructures on top of the AlAs-stripes. X-ray diffraction measurements on large arrays of aligned quantum dots demonstrate that the quantum dots are formed of pure InAs. First investigations on the optical properties of these nanostructures were done using microphotoluminescence-spectroscopy with both high spatial and spectral resolution. (orig.)

  13. GaAs Solar Cells on V-Grooved Silicon via Selective Area Growth: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Warren, Emily L [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Jain, Nikhil [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Tamboli, Adele C [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Vaisman, Michelle [Yale University; Li, Qiang [Hong Kong University of Science and Technology; Lau, Kei May [Hong Kong University of Science and Technology

    2017-08-31

    Interest in integrating III-Vs onto Si has recently resurged as a promising pathway towards high-efficiency, low-cost tandem photovoltaics. Here, we present a single junction GaAs solar cell grown monolithically on polished Si (001) substrates using V-grooves, selective area growth, and aspect ratio trapping to mitigate defect formation without the use of expensive, thick graded buffers. The GaAs is free of antiphase domains and maintains a relatively low TDD of 4x107 cm-2, despite the lack of a graded buffer. This 6.25 percent-efficient demonstration solar cell shows promise for further improvements to III-V/Si tandems to enable cost-competitive photovoltaics.

  14. Two-dimensional superconducting state of monolayer Pb films grown on GaAs(110) in a strong parallel magnetic field.

    Science.gov (United States)

    Sekihara, Takayuki; Masutomi, Ryuichi; Okamoto, Tohru

    2013-08-02

    Two-dimensional (2D) superconductivity was studied by magnetotransport measurements on single-atomic-layer Pb films on a cleaved GaAs(110) surface. The superconducting transition temperature shows only a weak dependence on the parallel magnetic field up to 14T, which is higher than the Pauli paramagnetic limit. Furthermore, the perpendicular-magnetic-field dependence of the sheet resistance is almost independent of the presence of the parallel field component. These results are explained in terms of an inhomogeneous superconducting state predicted for 2D metals with a large Rashba spin splitting.

  15. Optical techniques to feed and control GaAs MMIC modules for phased array antenna applications

    Science.gov (United States)

    Bhasin, K. B.; Anzic, G.; Kunath, R. R.; Connolly, D. J.

    1986-01-01

    A complex signal distribution system is required to feed and control GaAs monolithic microwave integrated circuits (MMICs) for phased array antenna applications above 20 GHz. Each MMIC module will require one or more RF lines, one or more bias voltage lines, and digital lines to provide a minimum of 10 bits of combined phase and gain control information. In a closely spaced array, the routing of these multiple lines presents difficult topology problems as well as a high probability of signal interference. To overcome GaAs MMIC phased array signal distribution problems optical fibers interconnected to monolithically integrated optical components with GaAs MMIC array elements are proposed as a solution. System architecture considerations using optical fibers are described. The analog and digital optical links to respectively feed and control MMIC elements are analyzed. It is concluded that a fiber optic network will reduce weight and complexity, and increase reliability and performance, but higher power will be required.

  16. Monitoring of tritium-contaminated surfaces, including skin

    International Nuclear Information System (INIS)

    Surette, R.A.; Wood, M.J.

    1994-05-01

    We have examined various commercially available tritium surface contamination monitors along with different swipe media and techniques for direct and indirect (swipe) monitoring of contaminated surfaces, including skin. None of the methods or instruments evaluated were more sensitive than the swipe and liquid scintillation counting (LSC) method. Swipe measurements with open-window proportional counters were, in general, less than half as sensitive as LSC, but have the advantages of having the results available almost immediately, and no sample preparation is required. The Nuclear Measurement Corporation's PC-55 is the most suitable instrument we tested for the analysis of routine swipe measurement. The PC-55 was about one third as sensitive as LSC when used with Ontario Hydro filter paper swipe media. Surface contamination measurement results can be obtained within minutes using the PC-55, compared to hours using LSC. The selection of swipe media for use with proportional counter-based instruments is critical. A medium that is electrically insulating can develop an electrostatic charge on its surface; this may alter the field gradient in the detector and may adversely influence the results. Although the PC-55 is sufficiently sensitive and very convenient, operational experience with the instrument is needed before recommending that it replace current LSC methods. The PC-55's susceptibility to internal tritium contamination may limit its practical usefulness. Because of the complexity of using live animals to evaluate direct and indirect methods for assessing skin contamination, pig skin was investigated as a possible substitute. We concluded that, for the first few hours post-exposure, pig skin mimics the kinetics of animal skin that has contacted a tritium-contaminated surface. (author). 30 refs., 1 tab., 7 figs

  17. Large area, low capacitance, GaAs nanowire photodetector with a transparent Schottky collecting junction

    Energy Technology Data Exchange (ETDEWEB)

    Seyedi, M. A., E-mail: seyedi@usc.edu; Yao, M.; O' Brien, J.; Dapkus, P. D. [Center for Energy Nanoscience, University of Southern California, Los Angeles, California 90089 (United States); Wang, S. Y. [Center for Energy Nanoscience, University of Southern California, Los Angeles, California 90089 (United States); Nanostructured Energy Conversion Technology and Research (NECTAR), Advanced Studies Laboratories, University of California, Santa Cruz, California 95064, USA and NASA Ames Research Center, Moffett Field, California 94035 (United States)

    2013-12-16

    We present experimental results on a GaAs/Indium-Tin-Oxide Schottky-like heterojunction photodetector based on a nanowire device geometry. By distributing the active detecting area over an array of nanowires, it is possible to achieve large area detection with low capacitance. Devices with bare GaAs and passivated AlGaAs/GaAs nanowires are fabricated to compare the responsivity with and without surface passivation. We are able to achieve responsivity of >0.5A/W and Signal-Noise-Ratio in excess of 7 dB for 2 V applied reverse bias with passivated nanowire devices. Capacitance-voltage measurement yields <5 nF/cm{sup 2}, which shows a strong possibility for high-speed applications with a broad area device.

  18. Laser-excited photoluminescence of three-layer GaAs double-heterostructure laser material

    International Nuclear Information System (INIS)

    Nash, F.R.; Dixon, R.W.; Barnes, P.A.; Schumaker, N.E.

    1975-01-01

    The successful fabrication of high-quality DH GaAs lasers from a simplified three-layer structure is reported. A major asset of this structure is the transparency of its final layer to recombination radiation occurring in the active layer, thus permitting the use of nondestructive photoluminescent techniques for material evaluation prior to device fabrication. In the course of photoluminescence investigations on this material the additional important observation has been made that indirect excitation (in which photocarriers are generated in the top ternary layer) has significant advantages over direct excitation (in which photocarriers are generated directly in the active layer). These include (i) the direct measurement of Al concentrations in both upper layers, (ii) the measurements of the minority-carrier diffusion length in the upper layer, (iii) an easily obtained indication of taper in the thickness of the upper layer, and (iv) surprisingly effective excitation of the active layer. By combining direct and indirect excitation it is shown that a clearer understanding of the location and detrimental influences of defects in the GaAs laser structure may be obtained. For example, the width of the region of reduced luminescence associated with many defects is found to be very excitation dependent and is confirmed to arise fr []m reduced active region luminescence. The photoluminescent excitation techniques described should be useful in the study of other heterostructure devices and material systems

  19. Integration of single-photon sources and detectors on GaAs

    NARCIS (Netherlands)

    Digeronimo, G.E.; Petruzzella, Maurangelo; Birindelli, Simone; Gaudio, Rosalinda; Poor, Sartoon Fattah; van Otten, Frank W.M.; Fiore, Andrea

    2016-01-01

    Quantum photonic integrated circuits (QPICs) on a GaAs platform allow the generation, manipulation, routing, and detection of non-classical states of light, which could pave the way for quantum information processing based on photons. In this article, the prototype of a multi-functional QPIC is

  20. Spin-Relaxation Anisotropy in a GaAs Quantum Dot

    NARCIS (Netherlands)

    Scarlino, P.; Kawakami, E.; Stano, P.; Shafiei, M.; Reichl, C.; Wegscheider, W.; Vandersypen, L.M.K.

    2014-01-01

    We report that the electron spin-relaxation time T1 in a GaAs quantum dot with a spin-1/2 ground state has a 180° periodicity in the orientation of the in-plane magnetic field. This periodicity has been predicted for circular dots as being due to the interplay of Rashba and Dresselhaus spin orbit

  1. A graphene/single GaAs nanowire Schottky junction photovoltaic device.

    Science.gov (United States)

    Luo, Yanbin; Yan, Xin; Zhang, Jinnan; Li, Bang; Wu, Yao; Lu, Qichao; Jin, Chenxiaoshuai; Zhang, Xia; Ren, Xiaomin

    2018-05-04

    A graphene/nanowire Schottky junction is a promising structure for low-cost high-performance optoelectronic devices. Here we demonstrate a graphene/single GaAs nanowire Schottky junction photovoltaic device. The Schottky junction is fabricated by covering a single layer graphene onto an n-doped GaAs nanowire. Under 532 nm laser excitation, the device exhibits a high responsivity of 231 mA W-1 and a short response/recover time of 85/118 μs at zero bias. Under AM 1.5 G solar illumination, the device has an open-circuit voltage of 75.0 mV and a short-circuit current density of 425 mA cm-2, yielding a remarkable conversion efficiency of 8.8%. The excellent photovoltaic performance of the device is attributed to the strong built-in electric field in the Schottky junction as well as the transparent property of graphene. The device is promising for self-powered high-speed photodetectors and low-cost high-efficiency solar cells.

  2. The Mn site in Mn-doped GaAs nanowires: an EXAFS study

    International Nuclear Information System (INIS)

    D’Acapito, F; Rovezzi, M; Boscherini, F; Jabeen, F; Bais, G; Piccin, M; Rubini, S; Martelli, F

    2012-01-01

    We present an EXAFS study of the Mn atomic environment in Mn-doped GaAs nanowires. Mn doping has been obtained either via the diffusion of the Mn used as seed for the nanowire growth or by providing Mn during the growth of Au-induced wires. As a general finding, we observe that Mn forms chemical bonds with As but is not incorporated in a substitutional site. In Mn-induced GaAs wires, Mn is mostly found bonded to As in a rather disordered environment and with a stretched bond length, reminiscent of that exhibited by MnAs phases. In Au-seeded nanowires, along with stretched MnAs coordination, we have found the presence of Mn in a MnAu intermetallic compound. (paper)

  3. Formation of columnar (In,Ga)As quantum dots on GaAs(100)

    International Nuclear Information System (INIS)

    He, J.; Noetzel, R.; Offermans, P.; Koenraad, P.M.; Gong, Q.; Hamhuis, G.J.; Eijkemans, T.J.; Wolter, J.H.

    2004-01-01

    Columnar (In,Ga)As quantum dots (QDs) with homogeneous composition and shape in the growth direction are realized by molecular-beam epitaxy on GaAs(100) substrates. The columnar (In,Ga)As QDs are formed on InAs seed QDs by alternating deposition of thin GaAs intermediate layers and monolayers of InAs with extended growth interruptions after each layer. The height of the columnar (In,Ga)As QDs is controlled by varying the number of stacked GaAs/InAs layers. The structural and optical properties are studied by cross-sectional scanning tunneling microscopy, atomic force microscopy, and photoluminescence spectroscopy. With increase of the aspect ratio of the columnar QDs, the emission wavelength is redshifted and the linewidth is reduced

  4. Lattice location of diffused Zn atoms in GaAs and InP single crystals

    International Nuclear Information System (INIS)

    Chan, L.Y.; Yu, K.M.; Ben-Tzur, M.; Haller, E.E.; Jaklevic, J.M.; Walukiewicz, W.; Hanson, C.M.

    1991-01-01

    We have investigated the saturation phenomenon of the free carrier concentration in p-type GaAs and InP single crystals doped by zinc diffusion. The free hole saturation occurs at 10 20 cm -3 for GaAs, but the maximum concentration for InP appears at mid 10 18 cm -3 . The difference in the saturation hole concentrations for these materials is investigated by studying the incorporation and the lattice location of the impurity zinc, an acceptor when located on a group III atom site. Zinc is diffused into the III-V wafers in a sealed quartz ampoule. Particle-induced x-ray emission with ion-channeling techniques are employed to determine the exact lattice location of the zinc atoms. We have found that over 90% of all zinc atoms occupy Ga sites in the diffused GaAs samples, while for the InP case, the zinc substitutionality is dependent on the cooling rate of the sample after high-temperature diffusion. For the slowly cooled sample, a large fraction (∼90%) of the zinc atoms form random precipitates of Zn 3 P 2 and elemental Zn. However, when rapidly cooled only 60% of the zinc forms such precipitates while the rest occupies specific sites in the InP. We analyze our results in terms of the amphoteric native defect model. We show that the difference in the electrical activity of the Zn atoms in GaAs and InP is a consequence of the different location of the Fermi level stabilization energy in these two materials

  5. Type I band alignment in GaAs{sub 81}Sb{sub 19}/GaAs core-shell nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Xu, T. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Key Laboratory of Advanced Display and System Application, Shanghai University, 149 Yanchang Road, Shanghai 200072 (China); Wei, M. J. [Key Laboratory of Advanced Display and System Application, Shanghai University, 149 Yanchang Road, Shanghai 200072 (China); Capiod, P.; Díaz Álvarez, A.; Han, X. L.; Troadec, D.; Nys, J. P.; Berthe, M.; Lefebvre, I.; Grandidier, B., E-mail: bruno.grandidier@isen.iemn.univ-lille1.fr [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Patriarche, G. [CNRS-Laboratoire de Photonique et de Nanostructures (LPN), Route de Nozay, 91460 Marcoussis (France); Plissard, S. R. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); CNRS-Laboratoire d' Analyse et d' Architecture des Systèmes (LAAS), Univ. de Toulouse, 7 Avenue du Colonel Roche, F-31400 Toulouse (France); Caroff, P. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, Australian Capital Territory 0200 (Australia); and others

    2015-09-14

    The composition and band gap of the shell that formed during the growth of axial GaAs/GaAs{sub 81}Sb{sub 19}/ GaAs heterostructure nanowires have been investigated by transmission electron microscopy combined with energy dispersion spectroscopy, scanning tunneling spectroscopy, and density functional theory calculations. On the GaAs{sub 81}Sb{sub 19} intermediate segment, the shell is found to be free of Sb (pure GaAs shell) and transparent to the tunneling electrons, despite the (110) biaxial strain that affects its band gap. As a result, a direct measurement of the core band gap allows the quantitative determination of the band offset between the GaAs{sub 81}Sb{sub 19} core and the GaAs shell and identifies it as a type I band alignment.

  6. Radiation effects in pigtailed GaAs and GaA1As LEDs

    International Nuclear Information System (INIS)

    Barnes, C.E.

    1981-06-01

    Permanent and transient radiation effects have been studied in Plessey pigtailed, high radiance GaAs and GaAlAs LEDs using neutron, gamma ray and X-ray sources. The radiation-induced source of degradation in these devices was determined by also examining both bare, unpigtailed LEDs and separate samples of the Corning fibers used as pigtails. No transient effects were observed in the unpigtailed LEDs during either pulsed neutron or X-ray exposure. In contrast, the Corning doped silica fibers exhibited strong transient attenuation following pulsed X-ray bombardment. Permanent neutron damage in these pigtailed LEDs consisted essentially of light output degradation in the LED itself. Permanent gamma ray effects due to a Co-60 irradiation of 1 megarad were restricted to a small increase in attenuation in the fiber. The two primary radiation effects were then transient attenuation in the fiber pigtail and permanent neutron-induced degradation of the LED

  7. Schottky and Ohmic Au contacts on GaAs: Microscopic and electrical investigation

    International Nuclear Information System (INIS)

    Liliental-Weber, Z.; Gronsky, R.; Washburn, J.; Newman, N.; Spicer, W.E.; Weber, E.R.

    1986-01-01

    We report here a systematic study which uses electrical device measurements and transmission electron microscopy (TEM) methods to investigate the electrical, morphological, and structural properties of Au/GaAs Schottky diodes. The electrical characteristics of Au diodes formed on atomically clean and air-exposed GaAs(110) surfaces are found to change from rectifying to Ohmic behavior after annealing above the Au--Ga eutectic temperature (360 0 C). This change is shown to be due to an Ohmic-like contact at the periphery of the device. TEM studies of these structures indicate that the Ohmic peripheral current pathway can be correlated with the formation of near surface Ga-rich Au crystallites at the diode circumference upon annealing. Further evidence of the correlation of the Ohmic electrical characteristics with the morphology of the periphery comes from data which indicate that the removal of these Au crystallites by mesa etching is also accompanied with the elimination of the Ohmic current. The morphology of the overlayer was found to depend strongly on annealing and surface treatment. TEM indicates that the interface is flat and abrupt for all unannealed diodes, as well as for annealed diodes formed on atomically clean surfaces. For annealed diodes formed on the air-exposed surfaces, the metal--semiconductor interface contains large metallic protrusions extending up to several hundred angstroms into the semiconductor. For comparison to practical structures, the morphology of annealed diodes formed using typical commercial processing technology [i.e., formed on chemically prepared (100) surfaces annealed in forming gas] was also investigated using TEM. The interface for these structures is more complex than interfaces formed on the atomically clean and air-exposed cleaved (110) surfaces

  8. On the evolution of InAs thin films grown by molecular beam epitaxy on the GaAs(001) surface

    International Nuclear Information System (INIS)

    Grabowski, Jan

    2010-01-01

    Semiconductor nanostructures are currently of high interest for a wide variety of electronic and optoelectronic applications. A large number of devices, in particular for the optical data transmission in the long-wavelength range, essential in modern communication, are based on InAs/GaAs quantum dot (QD) structures. Though the properties of the InAs/GaAs QDs have been extensively studied, only little is known about the formation and structure of the wetting layer (WL) yet. In the present work, the pathway of the InAs WL evolution is studied in detail. For this purpose, InAs thin films in the range of one monolayer (ML) are deposited on the GaAs(001) surface by molecular beam epitaxy (MBE) and studied by reflection high energy electron diffraction (RHEED) and in particular by scanning tunneling microscopy (STM). The InAs thin films are grown in both typical growth regimes, on the GaAs-c(4 x 4) and the GaAs-β2(2 x 4) reconstructed surface, in a variety of thicknesses starting from submonolayers with 0.09 ML of InAs up to 1.65 ML of InAs exceeding the critical thickness for QD growth. In principle, three growth stages are found. At low InAs coverages, the indium adsorbs in agglomerations of typically eight In atoms at energetically preferable surface sites. In the STM images, the signatures of these In agglomerations appear with a clear bright contrast. A structural model for the initial formation of these signatures is presented, and its electronic and strain related properties are discussed. At an InAs coverage of about 0.67ML the initial surface transforms into a (4 x 3) reconstructed In 2/3 Ga 1/3 As ML and the detailed structure and strain properties of this surface are unraveled. On top of the InGaAs ML further deposited InAs forms a second layer, characterized by a typical zig-zag alignment of (2 x 4) reconstructed unit cells, with an alternating α2/α2-m configuration. In contrast to the previous surface reconstructions, where structural strain is

  9. On the evolution of InAs thin films grown by molecular beam epitaxy on the GaAs(001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Grabowski, Jan

    2010-12-14

    Semiconductor nanostructures are currently of high interest for a wide variety of electronic and optoelectronic applications. A large number of devices, in particular for the optical data transmission in the long-wavelength range, essential in modern communication, are based on InAs/GaAs quantum dot (QD) structures. Though the properties of the InAs/GaAs QDs have been extensively studied, only little is known about the formation and structure of the wetting layer (WL) yet. In the present work, the pathway of the InAs WL evolution is studied in detail. For this purpose, InAs thin films in the range of one monolayer (ML) are deposited on the GaAs(001) surface by molecular beam epitaxy (MBE) and studied by reflection high energy electron diffraction (RHEED) and in particular by scanning tunneling microscopy (STM). The InAs thin films are grown in both typical growth regimes, on the GaAs-c(4 x 4) and the GaAs-{beta}2(2 x 4) reconstructed surface, in a variety of thicknesses starting from submonolayers with 0.09 ML of InAs up to 1.65 ML of InAs exceeding the critical thickness for QD growth. In principle, three growth stages are found. At low InAs coverages, the indium adsorbs in agglomerations of typically eight In atoms at energetically preferable surface sites. In the STM images, the signatures of these In agglomerations appear with a clear bright contrast. A structural model for the initial formation of these signatures is presented, and its electronic and strain related properties are discussed. At an InAs coverage of about 0.67ML the initial surface transforms into a (4 x 3) reconstructed In{sub 2/3}Ga{sub 1/3}As ML and the detailed structure and strain properties of this surface are unraveled. On top of the InGaAs ML further deposited InAs forms a second layer, characterized by a typical zig-zag alignment of (2 x 4) reconstructed unit cells, with an alternating {alpha}2/{alpha}2-m configuration. In contrast to the previous surface reconstructions, where

  10. Annealing of proton-damaged GaAs and 1/f noise

    NARCIS (Netherlands)

    Chen, X.Y.; Folter, de L.C.

    1997-01-01

    GaAs layers were grown by MBE. The layers were then damaged by 3 MeV proton irradiation and later annealed. We performed Hall effect and low-frequency noise measurements at temperatures between 77 K and 300 K after each step. Several generation - recombination noise components created by proton

  11. Scanning tunneling microscopy: A powerful tool for surface analysis

    International Nuclear Information System (INIS)

    Walle, G.F.A. van de; Nelissen, B.J.; Soethout, L.L.; Kempen, H. van

    1987-01-01

    The invention of the Scanning Tunneling Microscope (STM) has opened a new area of surface analysis. A description of the principle of operation is given in this paper. Also the technical problems encountered and their solution are described. Two examples demonstrating the possibilities of the STM are presented: topographic and spectroscopic measurements on a stepped Ni (111) surface and photoconductive measurements on GaAs. (orig.)

  12. Monitoring of tritium-contaminated surfaces, including skin

    Energy Technology Data Exchange (ETDEWEB)

    Surette, R A; Wood, M J

    1994-05-01

    We have examined various commercially available tritium surface contamination monitors along with different swipe media and techniques for direct and indirect (swipe) monitoring of contaminated surfaces, including skin. None of the methods or instruments evaluated were more sensitive than the swipe and liquid scintillation counting (LSC) method. Swipe measurements with open-window proportional counters were, in general, less than half as sensitive as LSC, but have the advantages of having the results available almost immediately, and no sample preparation is required. The Nuclear Measurement Corporation`s PC-55 is the most suitable instrument we tested for the analysis of routine swipe measurement. The PC-55 was about one third as sensitive as LSC when used with Ontario Hydro filter paper swipe media. Surface contamination measurement results can be obtained within minutes using the PC-55, compared to hours using LSC. The selection of swipe media for use with proportional counter-based instruments is critical. A medium that is electrically insulating can develop an electrostatic charge on its surface; this may alter the field gradient in the detector and may adversely influence the results. Although the PC-55 is sufficiently sensitive and very convenient, operational experience with the instrument is needed before recommending that it replace current LSC methods. The PC-55`s susceptibility to internal tritium contamination may limit its practical usefulness. Because of the complexity of using live animals to evaluate direct and indirect methods for assessing skin contamination, pig skin was investigated as a possible substitute. We concluded that, for the first few hours post-exposure, pig skin mimics the kinetics of animal skin that has contacted a tritium-contaminated surface. (author). 30 refs., 1 tab., 7 figs.

  13. GaAs mixed signal multi-function X-band MMIC with 7 bit phase and amplitude control and integrated serial to parallel converter

    NARCIS (Netherlands)

    Boer, A. de; Mouthaan, K.

    2000-01-01

    The design and measured performance of a GaAs multi-function X-band MMIC for spacebased synthetic aperture radar (SAR) applications with 7-bit phase and amplitude control and integrated serial to parallel converter (including level conversion) is presented. The main application for the

  14. Lifetime studies of self-activated photoluminescence in heavily silicon-doped GaAs

    Science.gov (United States)

    Sauncy, T.; Palsule, C. P.; Holtz, M.; Gangopadhyay, S.; Massie, S.

    1996-01-01

    We report results of a detailed temperature dependence study of photoluminescence lifetime and continuous emission properties in silicon-doped GaAs. The primary focus is on a defect-related emission at 1.269 eV (T=20 K). GaAs crystals were grown using molecular-beam epitaxy with most of the experiments conducted on a sample having a carrier concentration of 4.9×1018 cm-3. The intensity is seen to decrease above 100 K, with no corresponding decrease in the measured lifetime of 9.63+/-0.25 ns. The intensity decrease implies an activation energy of 19+/-2 meV, which is approximately one order of magnitude smaller than what was previously obtained for similar defects in Czochralski-grown GaAs with other dopants. We interpret our results in terms of a configuration coordinate model and obtain a more complete picture of the energy-level structure. The experiments indicate that the upper level in the recombination process is about 20 meV below the conduction-band continuum, with the lower state approximately 300 meV above the valence band. Our results are consistent with the identification of the corresponding defect complex microstructure as being a silicon-at-gallium substitution, weakly interacting with a gallium vacancy second-nearest neighbor, known as the Si-Y defect complex.

  15. A high resolution EELS study of free-carrier variations in H2+/H+ bombarded (100)GaAs

    International Nuclear Information System (INIS)

    Dubois, L.H.; Schwartz, G.P.

    1984-01-01

    High resolution electron energy loss spectroscopy (EELS) has been used to examine whether thermal recovery of the near-surface free-carrier concentration in Te-doped (100) GaAs is accomplished following low energy (250--1500 eV) hydrogen ion bombardment. For hydrogen ion impact energies below 500 eV, the nominal bulk free-carrier density is recovered by annealing at 725 K for 2 h. For comparable ion doses, the net free-carrier concentration decreases monotonically at higher impact energies under similar annealing conditions. The threshold for damage retention occurs close to the value of transmitted energy which is necessary to create either a Ga or an As interstitial point defect

  16. TIME-DEPENDENT MOSSBAUER-SPECTROSCOPY AND 119MTE-IMPLANTED GAAS

    NARCIS (Netherlands)

    MO, D; ZHANG, GL; NIESEN, L; Waard , de Hendrik

    1991-01-01

    A new type of time-dependent Mossbauer spectroscopy is proposed and realized on the basis of using the two-step decay (119m)Te --> 113Sb --> Sn-119. For the GaAs samples, implanted with a dose of 110-keV (119m)Te + 10(15) stable Te/cm2 and annealed at 600-degrees-C, the relative intensities of

  17. Selenium passivation of GaAs(001): a combined experimental and theoretical study

    International Nuclear Information System (INIS)

    Gonzalez, C; Benito, I; Ortega, J; Jurczyszyn, L; Blanco, J M; Perez, R; Flores, F; Kampen, T U; Zahn, D R T; Braun, W

    2004-01-01

    The chemical and electronic properties of selenium passivated GaAs(001)-2 x 1 surfaces were investigated by a combination of theoretical calculations and core level photoemission experiments. An anion exchange results in gallium-selenide like layers showing a 2 x 1 reconstruction in low energy electron diffraction (LEED). The analysis of the different components in the core level spectra of As 3d, Ga 3d and Se 3d limits the number of possible structural models. The Se/GaAs(001)-2 x 1 reconstruction has been also analysed by means of DFT-LDA calculations and theoretical STM currents. In a first step, different geometries are considered and the most stable one, from the point of view of the thermodynamic potential, is determined. Then, STM currents and the corresponding surface corrugation are calculated and compared with the experimental evidence. We conclude that the Se/GaAs(001)-2 x 1 reconstruction has a single Se atom in the last crystal layer, bonded to two Ga atoms of the second layer, and another Se layer replacing the third As layer of the crystal. These surfaces may be considered as chemically stable because they withstand considerable exposure to air. In terms of electronic passivation, i.e. the removal of any surface band bending, the selenium modification is not successful. Band bending on n-type doped samples is reduced while band bending on the p-type doped samples is further increased

  18. Subsurface dimerization in III-V semiconductor (001) surfaces

    DEFF Research Database (Denmark)

    Kumpf, C.; Marks, L.D.; Ellis, D.

    2001-01-01

    We present the atomic structure of the c(8 X 2) reconstructions of InSb-, InAs-, and GaAs-(001) surfaces as determined by surface x-ray diffraction using direct methods. Contrary to common belief, group III dimers are not prominent on the surface, instead subsurface dimerization of group m atoms ...... takes place in the second bilayer, accompanied by a major rearrangement of the surface atoms above the dimers to form linear arrays. By varying the occupancies of four surface sites the (001)-c(8 X 2) reconstructions of III-V semiconductors can be described in a unified model....

  19. Ion induced charge collection in GaAs MESFETs

    International Nuclear Information System (INIS)

    Campbell, A.; Knudson, A.; McMorrow, D.; Anderson, W.; Roussos, J.; Espy, S.; Buchner, S.; Kang, K.; Kerns, D.; Kerns, S.

    1989-01-01

    Charge collection measurements on GaAs MESFET test structures demonstrate that more charge can be collected at the gate than is deposited in the active layer and more charge can be collected at the drain than the total amount of charge produced by the ion. Enhanced charge collection at the gate edge is also observed. The current transients produced by the energetic ions have been measured directly with about 20 picosecond resolution

  20. Solar heating of GaAs nanowire solar cells.

    Science.gov (United States)

    Wu, Shao-Hua; Povinelli, Michelle L

    2015-11-30

    We use a coupled thermal-optical approach to model the operating temperature rise in GaAs nanowire solar cells. We find that despite more highly concentrated light absorption and lower thermal conductivity, the overall temperature rise in a nanowire structure is no higher than in a planar structure. Moreover, coating the nanowires with a transparent polymer can increase the radiative cooling power by 2.2 times, lowering the operating temperature by nearly 7 K.

  1. Photoluminescence characterization of GaAs/GaAs0.64P0.19Sb0.17/GaAs heterostructure

    International Nuclear Information System (INIS)

    Chen, J.Y.; Chen, B.H.; Huang, Y.S.; Chin, Y.C.; Tsai, H.S.; Lin, H.H.; Tiong, K.K.

    2013-01-01

    Interfacial characteristics of GaAs/GaAs 0.64 P 0.19 Sb 0.17 GaAs heterostructures and emission properties of a quaternary GaAs 0.64 P 0.19 Sb 0.17 layer were studied by excitation-power- and temperature-dependent photoluminescence (PL) measurements. The GaAs-to-GaAsPSb upper interface related emission feature and signals from GaAsPSb and GaAs were observed and characterized. The upper interface related emission peak was attributed to the radiative recombination of spatially separated electron–hole pairs and suggesting the type-II alignment at the GaAs/GaAsPSb interface. The localized excitonic emission feature of GaAsPSb revealed a blueshift due to the saturation effect of localized states and showed a fast thermal-quench with the increase of temperature. The temperature variation of the band edge emission signal of GaAsPSb was found to follow that of GaAs closely. -- Highlights: ► PL characterization of GaAs/GaAsPSb/GaAs heterostructure. ► Type-II alignment at the GaAs/GaAsPSb interface. ► Near-band-edge emission lines of GaAsPSb

  2. Spectroscopic ellipsometry analysis of GaAs1-xNx layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ben Sedrine, N.; Rihani, J.; Stehle, J.L.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    In this work, we present the effect of nitrogen incorporation on the dielectric function of GaAsN samples, grown by molecular beam epitaxy (MBE) followed by a rapid thermal annealing (for 90 s at 680 deg. C). The GaAs 1-x N x samples with N content up to 1.5% (x = 0.0%, 0.1%, 0.5%, 1.5%), are investigated using room temperature spectroscopic ellipsometry (SE). The optical transitions in the spectral region around 3 eV are analyzed by fitting analytical critical point line shapes to the second derivative of the dielectric function. It was found that the features associated with E 1 and E 1 + Δ 1 transitions are blue-shifted and become less sharp with increasing nitrogen incorporation, in contrast to the case of E 0 transition energy in GaAs 1-x N x . An increase of the split-off Δ 1 energy with nitrogen content was also obtained, in agreement to results found with MOVPE GaAs 1-x N x grown samples

  3. A 35 GHz wireless millimeter-wave power sensor based on GaAs micromachining technology

    International Nuclear Information System (INIS)

    Wang, De-bo; Liao, Xiao-ping

    2012-01-01

    A novel MEMS wireless millimeter-wave power sensor based on GaAs MMIC technology is presented in this paper. The principle of this wireless millimeter-wave power sensor is explained. It is designed and fabricated using MEMS technology and the GaAs MMIC process. With the millimeter-wave power range from 0.1 to 80 mW, the sensitivity of the wireless millimeter-wave power sensor is about 0.246 mV mW −1 at 35 GHz. In order to verify the power detection capability, this wireless power sensor is mounted on a PCB which influences the microwave performance of the CPW-fed antenna including the return loss and the radiation pattern. The frequency-dependent characteristic and the degree-dependent characteristic of this wireless power sensor are researched. Furthermore, in addition to the combination of the advantages of CPW-fed antenna with the advantages of the thermoelectric power sensor, another significant advantage of this wireless millimeter-wave power sensor is that it can be integrated with MMICs and other planar connecting circuit structures with zero dc power consumption. These features make it suitable for various applications ranging from the environment or space radiation detection systems to radar receiver and transmitter systems. (paper)

  4. Remote PECVD silicon nitride films with improved electrical properties for GaAs P-HEMT passivation

    CERN Document Server

    Sohn, M K; Kim, K H; Yang, S G; Seo, K S

    1998-01-01

    In order to obtain thin silicon nitride films with excellent electrical and mechanical properties, we employed RPECVD (Remote Plasma Enhanced Chemical Vapor Deposition) process which produces less plasma-induced damage than the conventional PECVD. Through the optical and electrical measurements of the deposited films, we optimized the various RPECVD process parameters. The optimized silicon nitride films showed excellent characteristics such as small etch rate (approx 33 A/min by 7:1 BHF), high breakdown field (>9 MV/cm), and low compressive stress (approx 3.3x10 sup 9 dyne/cm sup 2). We successfully applied thin RPECVD silicon nitride films to the surface passivation of GaAs pseudomorphic high electron mobility transistors (P-HEMTs) with negligible degradations in DC and RF characteristics.

  5. High Purity GaAs Far IR Photoconductor With Enhanced Quantum Efficieny, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This proposal introduces an innovative concept aimed to significantly enhance the quantum efficiency of a far-infrared GaAs photoconductor and achieve sensitivity...

  6. Ultrafast recombination in H+ bombarded InP and GaAs: Consequences for the carrier distribution functions

    International Nuclear Information System (INIS)

    Lamprecht, K.F.; Juen, S.; Hoepfel, R.A.; Palmetshofer, L.

    1992-01-01

    The authors studied the lifetimes and the luminescence spectra of photoexcited carriers in H + bombarded InP and GaAs for different damage doses by means of femtosecond luminescence spectroscopy. For InP the lifetime decreases down to 95 fs for the highest dose, whereas for GaAs no shorter lifetime than 650 fs could be observed. With decreasing lifetime they observe an increase of the high energy tail of the time-integrated luminescence spectrum which is even inverted for the 95 fs InP sample

  7. Initial test of an rf gun with a GaAs cathode installed

    International Nuclear Information System (INIS)

    Aulenbacher, K.; Bossart, R.; Braun, H.

    1996-09-01

    The operation of an rf gun with a GaAs crystal installed as the cathode has been tested in anticipation of eventually producing a polarized electron beam for a future e + /e - collider using an rf photoinjector

  8. Annealing of low-temperature GaAs studied using a variable energy positron beam

    International Nuclear Information System (INIS)

    Keeble, D.J.; Umlor, M.T.; Asoka-Kumar, P.; Lynn, K.G.; Cooke, P.W.

    1993-01-01

    The annihilation characteristics of monoenergetic positrons implanted in a molecular beam epitaxy layer of low-temperature (LT) GaAs annealed at temperatures from 300 to 600 degree C were measured. A gallium vacancy concentration of approximately 3x10 17 cm -3 is inferred for the as-grown material. The S parameter increased significantly upon anneal to 500 degree C. The dominant positron traps in samples annealed at and below 400 degree C are distinct from those acting for samples annealed to 500 or 600 degree C. The change in S parameter for the 600 degree C annealed sample compared to the GaAs substrate, S LT,600 =1.047S sub , is consistent with divacancies or larger open volume defects

  9. Damage related deep electron levels in ion implanted GaAs

    International Nuclear Information System (INIS)

    Allsopp, D.W.E.; Peaker, A.R.

    1986-01-01

    A study has been made of the deep electron levels in semi-insulating GaAs implanted with either 78 Se + or 29 Si + ions and rendered n-type by subsequent annealing without encapsulation in partial pressures of arsenic or arsine. Three implantation related deep states were detected with concentration profiles approximating to the type of Gaussian distributions expected for point defects related to ion implantation damage. Further heat treatment of the samples at 500 0 C in a gas ambient of U 2 /H 2 substantially reduced concentration of these deep levels. Two of these states were thought to be related to displacements of the substrate atoms. The third, at Esubc -0.67 eV, was found in only 78 Se + ion implanted GaAs substrates and was thought to be a defect involving both Se and As atoms, rather than intrinsic lattice disorder. It is proposed that the annealing rate of these implantation related deep levels depends crucially on the in-diffusion of arsenic vacancies during heat treatments. (author)

  10. Individual GaAs nanorods imaged by coherent X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Pietsch, Ullrich; Biermanns, Andreas; Davydok, Anton [Universitaet Siegen (Germany); Paetzelt, Hendrik [Universitaet Leipzig (Germany); IOM Leipzig (Germany); Diaz, Ana; Metzger, Hartmut [ID01 Beamline, ESRF (France); Gottschalch, Volker [Universitaet Leipzig (Germany)

    2010-07-01

    Semiconductor nanorods are of particular interest for new semiconductor devices because the nanorod approach can be used to form heterostructures of materials with a large lattice mismatch and to define nanorod arrays with tailored inter-rod distance. However, all applications require objects with uniform physical properties based on uniform morphology. Complementary to electron microscopy techniques, destruction free X-ray diffraction techniques can be used to determine structural and morphological details. Using scanning X-ray diffraction microscopy with a spot size of 220 x 600 nm{sup 2} we were able to inspect individual GaAs nanorods grown by seed-free MOVPE through circular openings in a SiN{sub x} mask in a periodic array with 3 {mu}m spacing on GaAs[111]B. The focussed X-ray beam allows the determination of the strain state of individual rods and in combination with coherent diffraction imaging, we were able to characterize also morphological details. Rods grown at different positions in the array show significant differences in shape, size and strain state.

  11. Electronic passivation of n- and p-type GaAs using chemical vapor deposited GaS

    Science.gov (United States)

    Tabib-Azar, Massood; Kang, Soon; Macinnes, Andrew N.; Power, Michael B.; Barron, Andrew R.; Jenkins, Phillip P.; Hepp, Aloysius F.

    1993-01-01

    We report on the electronic passivation of n- and p-type GaAs using CVD cubic GaS. Au/GaS/GaAs-fabricated metal-insulator-semiconductor (MIS) structures exhibit classical high-frequency capacitor vs voltage (C-V) behavior with well-defined accumulation and inversion regions. Using high- and low-frequency C-V, the interface trap densities of about 10 exp 11/eV per sq cm on both n- and p-type GaAs are determined. The electronic condition of GaS/GaAs interface did not show any deterioration after a six week time period.

  12. A comparative study of Co thin film deposited on GaAs (1 0 0) and glass substrates

    International Nuclear Information System (INIS)

    Sharma, A.; Brajpuriya, R.; Tripathi, S.; Jain, D.; Dubey, R.; Shripathi, T.; Chaudhari, S.M.

    2006-01-01

    The structural, magnetic and transport properties of Co/GaAs (1 0 0) and Co/glass thin films have been investigated. The structural measurements reveal the crystalline nature of Co thin film grown on GaAs, while microcrystalline nature in case of glass substrate. The film grown on GaAs shows higher coercivity (49.0 G), lower saturation magnetization (3.65 x 10 -4 ) and resistivity (8 μΩ cm) values as compared to that on glass substrate (22 G, 4.77 x 10 -4 and 18 μΩ cm). The grazing incidence X-ray reflectivity and photoemission spectroscopy results show the interaction between Co and GaAs at the interface, while the Co layer grown on glass remains unaffected. These observed results are discussed and interpreted in terms of different growth morphologies and structures of as grown Co thin film on both substrates

  13. Conversion Matrix Analysis of GaAs HEMT Active Gilbert Cell Mixers

    DEFF Research Database (Denmark)

    Jiang, Chenhui; Johansen, Tom Keinicke; Krozer, Viktor

    2006-01-01

    In this paper, the nonlinear model of the GaAs HEMT active Gilbert cell mixer is investigated. Based on the model, the conversion gain expression of active Gilbert cell mixers is derived theoretically by using conversion matrix analysis method. The expression is verified by harmonic balance simul...

  14. Spin-polarized tunneling with GaAs tips in scanning tunneling microscopy

    NARCIS (Netherlands)

    Prins, M.W.J.; Jansen, R.; Kempen, van H.

    1996-01-01

    We describe a model as well as experiments on spin-polarized tunneling with the aid of optical spin orientation. This involves tunnel junctions between a magnetic material and gallium arsenide (GaAs), where the latter is optically excited with circularly polarized light in order to generate

  15. Characteristics of GaAs MESFET inverters exposed to high energy neutrons

    International Nuclear Information System (INIS)

    Bloss, W.L.; Yamada, W.E.; Young, A.M.; Janousek, B.K.

    1988-01-01

    GaAs MESFET circuits have been exposed to high energy neutrons with fluences ranging from 1x10/sup 14/ n/cm/sup 2/ to 2x10/sup 15/ m/cm/sup 2/. Discrete transistors, inverters, and ring oscillators were characterized at each fluence. While the MESFETs exhibit significant threshold voltage shifts and transconductance and saturation current degradation over this range of neutron fluences, the authors have observed improvement in the DC characteristics of Schottky Diode FET Logic (SDFL) inverters. This unusual result has been successfully simulated using device parameters extracted from FETs damaged by exposure to high energy neutrons. Although the decrease in device transconductance results in an increase in inverter gate delay, as reflected in ring oscillator frequency measurements, the authors conclude that GaAs ICs fabricated from this logic family will remain functional after exposure to extreme neutron fluences. This is a consequence of the observed improvement in inverter noise margin evident in both measured and simulated circuit performance

  16. Growth of metastable fcc Mn thin film on GaAs(001) and its electronic structure studied by photoemission with synchrotron radiation

    International Nuclear Information System (INIS)

    Chen Yan; Dong Guosheng; Zhang Ming

    1995-01-01

    The epitaxial growth of metastable fcc Mn thin films on GaAs(001) surface has been achieved at a substrate temperature of 400 K. The development of the fcc Mn thin films as a function of coverage is studied by photoemission with synchrotron radiation. The electron density of states below the Fermi edge of the fcc Mn phase is measured. A significant difference of the electronic structures is observed between the metastable fcc Mn phase and the thermodynamically stable α-Mn phase. Possible mechanisms are proposed to interpret the experimental result

  17. X-ray structure amplitudes for GaAs and InP

    International Nuclear Information System (INIS)

    Pietsch, U.

    1985-01-01

    The structure amplitudes of GaAs and InP are calculated taking into account the nonspherical parts of the valence electron density by means of a static bond charge model. The best known temperature factors and dispersion coefficients are employed. The calculated structure amplitudes should help determining exactly the shape of X-ray diffraction patterns. (author)

  18. Effect of GaAs interlayer thickness variations on the optical properties of multiple InAs QD structure

    International Nuclear Information System (INIS)

    Park, C.Y.; Park, K.W.; Kim, J.M.; Lee, Y.T.

    2009-01-01

    Multiple InAs/GaAs self-assembled quantum dots (QDs) with vertically stacked structure are grown by molecular beam epitaxy and the effects of GaAs interlayer thickness variation on optical properties are studied. The growth conditions are optimized by in-situ RHEED, AFM, and PL measurement. The five InAs QD layers are embedded in GaAs and Al0.3Ga0.7As layer. The PL intensity is increased with increasing GaAs interlayer thickness. The thin GaAs interlayer has strain field, the strain-induced intermixing of indium atoms in the InAs QDs (blue-shift) can overcompensate for the effect on the increased QD size (red-shift) (H. Heidemeyer et al. Appl. Phys. Lett. 80, 1544 (2002); T. Nakaoka et al. J. Appl. Phys. Lett. 96, 150 (2004)[1, 2], respectively). For the interlayer thickness larger than about 7 nm, the blue-shifts are correlated to the dominant high-energy excited state transitions due to the successive state filling of the ground and higher excited states in the QDs. The energy separation of double PL peaks, originated from two different excited states, was kept at around 50 meV at room temperature. A possible mechanism concerning this phenomenon is also discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    Energy Technology Data Exchange (ETDEWEB)

    Lavrova, Olga [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials; Balakrishnan, Ganesh [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials

    2017-02-24

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).

  20. Adding GaAs Monolayers to InAs Quantum-Dot Lasers on (001) InP

    Science.gov (United States)

    Qiu, Yueming; Chacon, Rebecca; Uhl, David; Yang, Rui

    2005-01-01

    In a modification of the basic configuration of InAs quantum-dot semiconductor lasers on (001)lnP substrate, a thin layer (typically 1 to 2 monolayer thick) of GaAs is incorporated into the active region. This modification enhances laser performance: In particular, whereas it has been necessary to cool the unmodified devices to temperatures of about 80 K in order to obtain lasing at long wavelengths, the modified devices can lase at wavelengths of about 1.7 microns or more near room temperature. InAs quantum dots self-assemble, as a consequence of the lattice mismatch, during epitaxial deposition of InAs on ln0.53Ga0.47As/lnP. In the unmodified devices, the quantum dots as thus formed are typically nonuniform in size. Strainenergy relaxation in very large quantum dots can lead to poor laser performance, especially at wavelengths near 2 microns, for which large quantum dots are needed. In the modified devices, the thin layers of GaAs added to the active regions constitute potential-energy barriers that electrons can only penetrate by quantum tunneling and thus reduce the hot carrier effects. Also, the insertion of thin GaAs layer is shown to reduce the degree of nonuniformity of sizes of the quantum dots. In the fabrication of a batch of modified InAs quantum-dot lasers, the thin additional layer of GaAs is deposited as an interfacial layer in an InGaAs quantum well on (001) InP substrate. The device as described thus far is sandwiched between InGaAsPy waveguide layers, then further sandwiched between InP cladding layers, then further sandwiched between heavily Zn-doped (p-type) InGaAs contact layer.