WorldWideScience

Sample records for gaas crystal growth

  1. Investigations on liquid phase electroepitaxial growth kinetics of GaAs

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2004-01-01

    This paper presents a model based on solving a two-dimensional diffusion equation incorporating the electromigration effect by numerical simulation method corresponding to liquid phase electroepitaxial (LPEE) growth of GaAs, whose growth is limited by diffusion and electro migration of solute species. Using the numerical simulation method, the concentration profiles of As in Ga rich solution during the electroepitaxial growth of GaAs have been constructed in front of the growing crystal interface. Using the concentration gradient at the interface, the growth rate and thickness of the epitaxial layer of GaAs have been determined for different experimental growth conditions. The proposed model is based on the assumption that there is no convection in the solution. The results are discussed in detail

  2. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  3. Crystal Growth Technology

    Science.gov (United States)

    Scheel, Hans J.; Fukuda, Tsuguo

    2004-06-01

    This volume deals with the technologies of crystal fabrication, of crystal machining, and of epilayer production and is the first book on industrial and scientific aspects of crystal and layer production. The major industrial crystals are treated: Si, GaAs, GaP, InP, CdTe, sapphire, oxide and halide scintillator crystals, crystals for optical, piezoelectric and microwave applications and more. Contains 29 contributions from leading crystal technologists covering the following topics: General aspects of crystal growth technology Silicon Compound semiconductors Oxides and halides Crystal machining Epitaxy and layer deposition Scientific and technological problems of production and machining of industrial crystals are discussed by top experts, most of them from the major growth industries and crystal growth centers. In addition, it will be useful for the users of crystals, for teachers and graduate students in materials sciences, in electronic and other functional materials, chemical and metallurgical engineering, micro-and optoelectronics including nanotechnology, mechanical engineering and precision-machining, microtechnology, and in solid-state sciences.

  4. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    Science.gov (United States)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be -oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  5. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    Science.gov (United States)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  6. Preparation of metastable bcc permalloy epitaxial thin films on GaAs(011)B3 single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Higuchi, Jumpei; Yabuhara, Osamu; Kirino, Fumiyoshi; Futamoto, Masaaki

    2011-01-01

    Permalloy (Py) single-crystal films with bcc structure were obtained on GaAs(011) B3 single-crystal substrates by ultra high vacuum rf magnetron sputtering. The film growth and the detailed film structures were investigated by refection high energy electron diffraction and pole figure X-ray diffraction. bcc-Py films epitaxially grow on the substrates in the orientation relationship of Py(011)[011-bar] bcc || GaAs(011)[011-bar] B3 . The lattice constant of bcc-Py film is determined to be a = 0.291 nm. With increasing the film thickness, parts of the bcc crystal transform into more stable fcc structure by atomic displacement parallel to the bcc{011} close-packed planes. The resulting film thus consists of a mixture of bcc and fcc crystals. The phase transformation mechanism is discussed based on the experimental results. The in-plane magnetization properties reflecting the magnetocrystalline anisotropy of bcc-Py crystal are observed for the Py films grown on GaAs(011) B3 substrates.

  7. Direct Growth of High-Quality InP Layers on GaAs Substrates by MOCVD

    Directory of Open Access Journals (Sweden)

    K. F. Yarn

    2003-01-01

    group V partial pressure, growth rate and V/III ratios. A mirror-like, uniform surface and high crystal quality of the metamorphic buffer layer directly grown on a GaAs substrate can be achieved. Finally, to investigate the performance of the metamorphic microwave devices, we also fabricate the InAlAs/InGaAs metamorphic HEMT on GaAs substrates.

  8. Preparation of metastable bcc permalloy epitaxial thin films on GaAs(011){sub B3} single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru, E-mail: ohtake@futamoto.elect.chuo-u.ac.jp [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Higuchi, Jumpei; Yabuhara, Osamu [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan); Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2011-09-30

    Permalloy (Py) single-crystal films with bcc structure were obtained on GaAs(011){sub B3} single-crystal substrates by ultra high vacuum rf magnetron sputtering. The film growth and the detailed film structures were investigated by refection high energy electron diffraction and pole figure X-ray diffraction. bcc-Py films epitaxially grow on the substrates in the orientation relationship of Py(011)[011-bar]{sub bcc} || GaAs(011)[011-bar]{sub B3}. The lattice constant of bcc-Py film is determined to be a = 0.291 nm. With increasing the film thickness, parts of the bcc crystal transform into more stable fcc structure by atomic displacement parallel to the bcc{l_brace}011{r_brace} close-packed planes. The resulting film thus consists of a mixture of bcc and fcc crystals. The phase transformation mechanism is discussed based on the experimental results. The in-plane magnetization properties reflecting the magnetocrystalline anisotropy of bcc-Py crystal are observed for the Py films grown on GaAs(011){sub B3} substrates.

  9. LEC- and VGF-growth of SI GaAs single crystals—recent developments and current issues

    Science.gov (United States)

    Jurisch, M.; Börner, F.; Bünger, Th.; Eichler, St.; Flade, T.; Kretzer, U.; Köhler, A.; Stenzenberger, J.; Weinert, B.

    2005-02-01

    The paper reviews the progress made in crystal growth of semi-insulating GaAs by liquid encapsulation Czochralski and vertical gradient freeze techniques during the last few years under the continuous need for cost reduction of the production process.

  10. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Klimov, E. A. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Vasiliev, A. L.; Imamov, R. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics,” (Russian Federation); Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Trunkin, I. N. [National Research Centre “Kurchatov Institute” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation)

    2017-01-15

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers of arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.

  11. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  12. Structural and morphological TEM characterization of GaAs based nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Soda, Marcello

    2012-02-03

    The question of a structural and morphological characterization of GaAs based nanowires is the research interest of this thesis. For this purpose standard and analytical transmission electron microscopy techniques were employed. New investigation methodologies are introduced in order to obtain a reliable interpretation of the results. The principal motivation on developing a new investigation method is the necessity to relate the results of crystal structure and morphology characterizations to microscopic and NW-specific parameters and not to macroscopic and general growth parameters. This allows a reliable comparison of NW characteristics and enhances the comprehension of their growth mechanism.The analysis of the results on crystal structure investigations, assuming this new perspective, delivers the fundamental finding that the axial growth of Au-assisted GaAs NWs can change in a pseudo Ga-assisted growth due to a non steady-state regime of the Ga accumulation process in the liquid droplet. The attempt to associate the observed crystal structures to one of these two growth modes reveals that zinc blende segments are most probably generated when a pseudo Ga-assisted growth occurs. This experimental evidence is in accordance with investigations developed by Glas et al. and Spirkoska et al. and with the current understanding of the NW growth mechanism and unifies the interpretation of catalytic growth of GaAs NWs. A Mn doped GaAs shell deposited at low temperature on core GaAs NWs is characterized for the first time. The growth is found to be epitaxial and to confer the quality of the core crystal to the shell crystal. As a consequence a high stacking fault density of the core NW limits the temperature of the shell growth due to the formation of clusters. Cross sections of (Ga,Mn)As shells are investigated. Simple kinetic and thermodynamical considerations lead to the conclusion of morphological instability of the low temperature radial growth. Analytical

  13. Structure and homoepitaxial growth of GaAs(6 3 1)

    International Nuclear Information System (INIS)

    Mendez-Garcia, V.H.; Ramirez-Arenas, F.J.; Lastras-Martinez, A.; Cruz-Hernandez, E.; Pulzara-Mora, A.; Rojas-Ramirez, J.S.; Lopez-Lopez, M.

    2006-01-01

    We have studied the surface atomic structure of GaAs(6 3 1), and the GaAs growth by molecular beam epitaxy (MBE) on this plane. After the oxide desorption process at 585 deg. Creflection high-energy electron diffraction (RHEED) showed along the [-1 2 0] direction a 2x surface reconstruction for GaAs(6 3 1)A, and a 1x pattern was observed for GaAs(6 3 1)B. By annealing the substrates for 60 min, we observed that on the A surface appeared small hilly-like features, while on GaAs(6 3 1)B surface pits were formed. For GaAs(6 3 1)A, 500 nm-thick GaAs layers were grown at 585 deg. C. The atomic force microscopy (AFM) images at the end of growth showed the self-formation of nanoscale structures with a pyramidal shape enlarged along the [5-9-3] direction. Transversal views of the bulk-truncated GaAs(6 3 1) surface model showed arrays of atomic grooves along this direction, which could influence the formation of the pyramidal structures

  14. Preferential adsorption of gallium on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires

    International Nuclear Information System (INIS)

    Shu Haibo; Chen Xiaoshuang; Ding Zongling; Dong Ruibin; Lu Wei

    2010-01-01

    The mechanism of the preferential adsorption of Ga on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires is studied by using first-principles calculations within density functional theory. The calculated results show that Au preadsorption on GaAs(111)B surface significantly enhances the stability of the Ga adatom in comparison with the adsorption of Ga on clean GaAs(111)B surface. The stabilization of the Ga adatom is due to charge transfers from the Ga 4p and 4s states to the Au 6s and As 4p states. The number of Ga adatoms stabilized on GaAs(111)B surfaces depends on the size of surface Au cluster. The reason is that Au acted as an electron acceptor on GaAs(111)B surface assists the charge transfer of Ga adatoms for filling the partial unoccupied bands of GaAs(111)B surface. Our results are helpful to understand the growth of Au-assisted GaAs nanowires.

  15. Growth and characteristics of p-type doped GaAs nanowire

    Science.gov (United States)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  16. Temperature conditions for GaAs nanowire formation by Au-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Tchernycheva, M; Harmand, J C; Patriarche, G; Travers, L; Cirlin, G E

    2006-01-01

    Molecular beam epitaxial growth of GaAs nanowires using Au particles as a catalyst was investigated. Prior to the growth during annealing, Au alloyed with Ga coming from the GaAs substrate, and melted. Phase transitions of the resulting particles were observed in situ by reflection high-energy electron diffraction (RHEED). The temperature domain in which GaAs nanowire growth is possible was determined. The lower limit of this domain (320 deg. C) is close to the observed catalyst solidification temperature. Below this temperature, the catalyst is buried by GaAs growth. Above the higher limit (620 deg. C), the catalyst segregates on the surface with no significant nanowire formation. Inside this domain, the influence of growth temperature on the nanowire morphology and crystalline structure was investigated in detail by scanning electron microscopy and transmission electron microscopy. The correlation of the nanowire morphology with the RHEED patterns observed during the growth was established. Wurtzite GaAs was found to be the dominant crystal structure of the wires

  17. Accelerated GaAs growth through MOVPE for low-cost PV applications

    Science.gov (United States)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  18. In situ electron backscattered diffraction of individual GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Prikhodko, S.V. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)], E-mail: sergey@seas.ucla.edu; Sitzman, S. [Oxford Instruments America, Concord, MA 01742 (United States); Gambin, V. [Northrop Grumman Space Technology, Redondo Beach, CA 90278 (United States); Kodambaka, S. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2008-12-15

    We suggest and demonstrate that electron backscattered diffraction, a scanning electron microscope-based technique, can be used for non-destructive structural and morphological characterization of statistically significant number of nanowires in situ on their growth substrate. We obtain morphological, crystal phase, and crystal orientation information of individual GaAs nanowires in situ on the growth substrate GaAs(1 1 1) B. Our results, verified using transmission electron microscopy and selected area electron diffraction analyses of the same set of wires, indicate that most wires possess a wurtzite structure with a high density of thin structural defects aligned normal to the wire growth axis, while others grow defect-free with a zincblende structure. The demonstrated approach is general, applicable to other material systems, and is expected to provide important insights into the role of substrate structure on nanowire structure on nanowire crystallinity and growth orientation.

  19. Growth of InGaAs/GaAsP multiple quantum well solar cells on mis-orientated GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sodabanlu, Hassanet, E-mail: sodabanlu@hotaka.t.u-tokyo.ac.jp; Wang, Yunpeng; Watanabe, Kentaroh [Research Center for Advanced Science and Technology, The University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8904 (Japan); Sugiyama, Masakazu [Department of Electrical Engineering and Information System, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Institute of Engineering Innovation, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Nakano, Yoshiaki [Research Center for Advanced Science and Technology, The University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8904 (Japan); Department of Electrical Engineering and Information System, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan)

    2014-06-21

    The effects of growth temperature on the properties of InGaAs/GaAsP multiple quantum well (MQW) solar cells on various mis-orientated GaAs substrates were studied using metalorganic vapor phase epitaxy. Thickness modulation effect caused by mismatch strain of InGaAs/GaAsP could be suppressed by low growth temperature. Consequently, abrupt MQWs with strong light absorption could be deposited on mis-oriented substrates. However, degradation in crystal quality and impurity incorporation are the main drawbacks with low temperature growth because they tend to strongly degraded carrier transport and collection efficiency. MQW solar cells grown at optimized temperature showed the better conversion efficiency. The further investigation should focus on improvement of crystal quality and background impurities.

  20. Difference-frequency generation in the field of a few-cycle laser pulse propagating in a GaAs crystal with a domain structure

    International Nuclear Information System (INIS)

    Oganesyan, David L; Vardanyan, Aleksandr O; Oganesyan, G D

    2013-01-01

    Difference-frequency generation in a GaAs crystal with a periodic domain structure in the field of a few-cycle laser pulse is considered for the case of weakly pronounced material dispersion. The straight-line method is used to solve numerically the system of coupled nonlinear partial differential equations describing the evolution of the electric field of this laser pulse in GaAs crystals with periodic and chirped domain structures. It is shown that application of a GaAs crystal with a chirped domain structure makes it possible to control the frequency-modulation law for a broadband differencefrequency pulse. (nonlinear optical phenomena)

  1. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  2. Creation of oxygen-enriched layers at the surface of GaAs single crystal

    International Nuclear Information System (INIS)

    Kulik, M.; Maczka, D.; Kobzev, A.P.

    1999-01-01

    The optical properties and the element depth profiles at the (100) plane high resistant and noncomposite GaAs single crystals implanted with In ions were investigated. The results have been compared with those obtained for virgin samples. The optic properties for all of the samples (implanted and not implanted, annealed and not annealed) have been measured using the ellipsometric method. The element depth profiles for the same samples have been obtained by the RBS and NRA techniques. It has been shown that the post-implantation annealing at a temperature more than 600 deg C leads to a ten time increase in contents of oxygen atoms in the implanted layer with respect to the not annealed sample. The thickness of the transparence layer at the surface of GaAs single crystal increases also after implantation with In ions and subsequent annealing

  3. Paths to light trapping in thin film GaAs solar cells.

    Science.gov (United States)

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  4. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Balderas-Navarro, R.E. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Facultad de Ciencias, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico)

    2008-07-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E{sub 1} optical transition as a probe. We follow the kinetics of the deposition of GaAs and In{sub 0.3}Ga{sub 0.7}As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As{sub 4} or As{sub 2} flux pressure of 5 x 10{sup -6} Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    International Nuclear Information System (INIS)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F.; Balderas-Navarro, R.E.

    2008-01-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E 1 optical transition as a probe. We follow the kinetics of the deposition of GaAs and In 0.3 Ga 0.7 As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As 4 or As 2 flux pressure of 5 x 10 -6 Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Dynamic grazing incidence fast atom diffraction during molecular beam epitaxial growth of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Atkinson, P., E-mail: atkinson@insp.jussieu.fr; Eddrief, M. [Sorbonne Universités, UPMC Univ. Paris 06, UMR 7588, INSP, F-75005 Paris (France); CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); Etgens, V. H. [CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); VeDeCom-Université Versailles Saint-Quentin en Yvelines, Versailles (France); Khemliche, H., E-mail: hocine.khemliche@u-psud.fr; Debiossac, M.; Mulier, M.; Lalmi, B.; Roncin, P. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Momeni, A. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Univ. Cergy Pontoise, F-95031 Cergy (France)

    2014-07-14

    A Grazing Incidence Fast Atom Diffraction (GIFAD) system has been mounted on a commercial molecular beam epitaxy chamber and used to monitor GaAs growth in real-time. In contrast to the conventionally used Reflection High Energy Electron Diffraction, all the GIFAD diffraction orders oscillate in phase, with the change in intensity related to diffuse scattering at step edges. We show that the scattered intensity integrated over the Laue circle is a robust method to monitor the periodic change in surface roughness during layer-by-layer growth, with oscillation phase and amplitude independent of incidence angle and crystal orientation. When there is a change in surface reconstruction at the start of growth, GIFAD intensity oscillations show that there is a corresponding delay in the onset of layer-by-layer growth. In addition, changes in the relative intensity of different diffraction orders have been observed during growth showing that GIFAD has the potential to provide insight into the preferential adatom attachment sites on the surface reconstruction during growth.

  8. Effect of H+ implantation on the optical properties of semi-insulating GaAs crystals in the IR spectral region

    International Nuclear Information System (INIS)

    Klyui, N. I.; Lozinskii, V. B.; Liptuga, A. I.; Dikusha, V. N.; Oksanych, A. P.; Kogdas’, M. G.; Perekhrest, A. L.; Pritchin, S. E.

    2017-01-01

    The optical properties of semi-insulating GaAs crystals subjected to multienergy hydrogen-ion implantation and treatment in a high-frequency electromagnetic field are studied in the infrared spectral region. It is established that such combined treatment provides a means for substantially increasing the transmittance of GaAs crystals to values characteristic of crystals of high optical quality. On the basis of analysis of the infrared transmittance and reflectance data, Raman spectroscopy data, and atomic-force microscopy data on the surface morphology of the crystals, a physical model is proposed to interpret the effects experimentally observed in the crystals. The model takes into account the interaction of radiation defects with the initial structural defects in the crystals as well as the effect of compensation of defect centers by hydrogen during high-frequency treatment.

  9. Some Aspects of the RHEED Behavior of Low-Temperature GaAs Growth

    International Nuclear Information System (INIS)

    Nemcsics, A.

    2005-01-01

    The reflection high-energy electron diffraction (RHEED) behavior manifested during MBE growth on a GaAs(001) surface under low-temperature (LT) growth conditions is examined in this study. RHEED and its intensity oscillations during LT GaAs growth exhibit some particular behavior. The intensity, phase, and decay of the oscillations depend on the beam equivalent pressure (BEP) ratio and substrate temperature, etc. Here, the intensity dependence of RHEED behavior on the BEP ratio, substrate temperature, and excess of As content in the layer are examined. The change in the decay constant of the RHEED oscillations is also discussed

  10. Effect of H{sup +} implantation on the optical properties of semi-insulating GaAs crystals in the IR spectral region

    Energy Technology Data Exchange (ETDEWEB)

    Klyui, N. I.; Lozinskii, V. B., E-mail: lvb@isp.kiev.ua [Jilin University, College of Physics (China); Liptuga, A. I.; Dikusha, V. N. [National Academy of Sciences of Ukraine, Lashkaryov Institute of Semiconductor Physics (Ukraine); Oksanych, A. P.; Kogdas’, M. G.; Perekhrest, A. L.; Pritchin, S. E. [Kremenchug National University (Ukraine)

    2017-03-15

    The optical properties of semi-insulating GaAs crystals subjected to multienergy hydrogen-ion implantation and treatment in a high-frequency electromagnetic field are studied in the infrared spectral region. It is established that such combined treatment provides a means for substantially increasing the transmittance of GaAs crystals to values characteristic of crystals of high optical quality. On the basis of analysis of the infrared transmittance and reflectance data, Raman spectroscopy data, and atomic-force microscopy data on the surface morphology of the crystals, a physical model is proposed to interpret the effects experimentally observed in the crystals. The model takes into account the interaction of radiation defects with the initial structural defects in the crystals as well as the effect of compensation of defect centers by hydrogen during high-frequency treatment.

  11. Epitaxial growth on porous GaAs substrates

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Nohavica, Dušan; Gladkov, Petar; Hulicius, Eduard; Pangrác, Jiří; Piksová, K.

    2013-01-01

    Roč. 16, č. 1 (2013), s. 59-64 ISSN 1631-0748 R&D Projects: GA ČR GAP102/10/1201; GA ČR GAP108/10/0253 Institutional support: RVO:67985882 ; RVO:68378271 Keywords : Electrochemical etching * Porous semiconductors * Epitaxial growth * GaAs Subject RIV: BH - Optics, Masers, Lasers; JA - Electronics ; Optoelectronics, Electrical Engineering (FZU-D) Impact factor: 1.483, year: 2013

  12. Parameters study on the growth of GaAs nanowires on indium tin oxide by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Dan; Tang, Xiaohong, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn; Li, Xianqiang [OPTIMUS, Photonics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 Singapore (Singapore); Wang, Kai, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn [Department of Electrical & Electronic Engineering, South University of Science and Technology of China, 1088 Xueyuan Avenue, Shenzhen 518055 (China); Olivier, Aurelien [CINTRA UMI 3288, School of Electrical and Electronic Engineering, Nanyang Technological University, Research Techno Plaza, 50 Nanyang Drive, Border X Block, Level 6, 637553 Singapore (Singapore)

    2016-03-07

    After successful demonstration of GaAs nanowire (NW) epitaxial growth on indium tin oxide (ITO) by metal organic chemical vapor deposition, we systematically investigate the effect of growth parameters' effect on the GaAs NW, including temperature, precursor molar flow rates, growth time, and Au catalyst size. 40 nm induced GaAs NWs are observed with zinc-blende structure. Based on vapor-liquid-solid mechanism, a kinetic model is used to deepen our understanding of the incorporation of growth species and the role of various growth parameters in tuning the GaAs NW growth rate. Thermally activated behavior has been investigated by variation of growth temperature. Activation energies of 40 nm Au catalyst induced NWs are calculated at different trimethylgallium (TMGa) molar flow rates about 65 kJ/mol. The GaAs NWs growth rates increase with TMGa molar flow rates whereas the growth rates are almost independent of growth time. Due to Gibbs-Thomson effect, the GaAs NW growth rates increase with Au nanoparticle size at different temperatures. Critical radius is calculated as 2.14 nm at the growth condition of 430 °C and 1.36 μmol/s TMGa flow rate. It is also proved experimentally that Au nanoparticle below the critical radius such as 2 nm cannot initiate the growth of NWs on ITO. This theoretical and experimental growth parameters investigation enables great controllability over GaAs NWs grown on transparent conductive substrate where the methodology can be expanded to other III–V material NWs and is critical for potential hybrid solar cell application.

  13. Growth-temperature- and thermal-anneal-induced crystalline reorientation of aluminum on GaAs (100) grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, H. F.; Chua, S. J.; Xiang, N.

    2007-01-01

    The authors investigated the growth of Al thin films on GaAs (100) substrates by molecular beam epitaxy. It is found that the growth at 550 degree sign C results in a texture that consists of (100)Al[010](parallel sign)(100)GaAs[011] and (100)Al[010](parallel sign)(100)GaAs[010] rotated 45 degree sign with respect to each other, while the growth at 300 degree sign C leads to a mixture phase of (100)Al[010](parallel sign)(100)GaAs[011] and (110)Al[001](parallel sign)(100)GaAs[011]. In situ annealing of the Al film grown at 300 degree sign C causes a reorientation of the crystalline from (100)Al[010](parallel sign)(100)GaAs[011] to (110)Al[001](parallel sign)(100)GaAs[011]. The grain sizes of the Al film are increased by the increased growth temperature and in situ annealing; the ratio of the exposed to the covered surface is not changed significantly by changing the growth temperature but decreased by annealing; and the small islands in between the large ones are removed by annealing. These observations are explained based on island migration and coalescence

  14. Gallium surface diffusion on GaAs (001) surfaces measured by crystallization dynamics of Ga droplets

    International Nuclear Information System (INIS)

    Bietti, Sergio; Somaschini, Claudio; Esposito, Luca; Sanguinetti, Stefano; Fedorov, Alexey

    2014-01-01

    We present accurate measurements of Ga cation surface diffusion on GaAs surfaces. The measurement method relies on atomic force microscopy measurement of the morphology of nano–disks that evolve, under group V supply, from nanoscale group III droplets, earlier deposited on the substrate surface. The dependence of the radius of such nano-droplets on crystallization conditions gives direct access to Ga diffusion length. We found an activation energy for Ga on GaAs(001) diffusion E A =1.31±0.15 eV, a diffusivity prefactor of D 0  = 0.53(×2.1±1) cm 2 s −1 that we compare with the values present in literature. The obtained results permit to better understand the fundamental physics governing the motion of group III ad–atoms on III–V crystal surfaces and the fabrication of designable nanostructures.

  15. Temperature-Driven Change in the Unstable Growth Mode on Patterned GaAs(001)

    International Nuclear Information System (INIS)

    Tadayyon-Eslami, T.; Phaneuf, R. J.; Kan, H.-C.; Calhoun, L. C.

    2006-01-01

    We observe a dramatic change in the unstable growth mode during GaAs molecular beam epitaxy on patterned GaAs(001) as the temperature is lowered through approximately 540 deg. C, roughly coincident with the preroughening temperature. Observations of the As 2 flux dependence, however, rule out thermodynamic preroughening as driving the growth mode change. Similar observations rule out the change in surface reconstruction as the cause. Instead, we find evidence that the change in the unstable growth mode can be explained by a competition between the decreased adatom collection rate on small terraces and a small anisotropic barrier to adatom diffusion downward across step bunches

  16. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  17. Molecular beam epitaxy of GaAs nanowires and their sustainability for optoelectronic applications. Comparing Au- and self-assisted growth methods

    Energy Technology Data Exchange (ETDEWEB)

    Breuer, Steffen

    2011-09-28

    In this work the synthesis of GaAs nanowires by molecular beam epitaxy (MBE) using the vapour-liquid-solid (VLS) mechanism is investigated. A comparison between Au- and self-assisted VLS growth is at the centre of this thesis. While the Au-assisted method is established as a versatile tool for nanowire growth, the recently developed self-assisted variation results from the exchange of Au by Ga droplets and thus eliminates any possibility of Au incorporation. By both methods, we achieve nanowires with epitaxial alignment to the Si(111) substrates. Caused by differences during nanowire nucleation, a parasitic planar layer grows between the nanowires by the Au-assisted method, but can be avoided by the self-assisted method. Au-assisted nanowires grow predominantly in the metastable wurtzite crystal structure, while their self-assisted counterparts have the zincblende structure. All GaAs nanowires are fully relaxed and the strain arising from the lattice mismatch between GaAs and Si of 4.1 % is accommodated by misfit dislocations at the interface. Self-assisted GaAs nanowires are generally found to have vertical and non-polar side facets, while tilted and polar nanofacets were described for Au-assisted GaAs nanowires. We employ VLS nucleation theory to understand the effect of the droplet material on the lateral facets. Optoelectronic applications require long minority carrier lifetimes at room temperature. We fabricate GaAs/(Al,Ga)As core-shell nanowires and analyse them by transient photoluminescence (PL) spectroscopy. The results are 2.5 ns for the self-assisted nanowires as well as 9 ps for the Au-assisted nanowires. By temperature-dependent PL measurements we find a characteristic activation energy of 77 meV that is present only in the Au-assisted nanowires. We conclude that most likely Au is incorporated from the droplets into the GaAs nanowires and acts as a deep, non-radiative recombination centre.

  18. Growth and characterisation of lead iodide single crystals

    International Nuclear Information System (INIS)

    Tonn, Justus

    2012-01-01

    The work in hand deals with the growth and characterisation of lead iodide (PbI 2 ) single crystals. PbI 2 is regarded as a promising candidate for low-noise X- and gamma ray detection at room temperature. Its benefits if compared to conventional materials like HgI 2 , CdTe, Si, or GaAs lie in a band gap energy of 2.32 eV, an excellent ability to absorb radiation, and a high electrical resistivity. For an application of PbI 2 as detector material the growth and characterisation of crystals with high chemical and structural quality is extremely challenging. In light of this, the effectiveness of zone purification of the PbI 2 used for crystal growth was confirmed by spectroscopic analysis. Furthermore, technological aspects during processing of purified PbI 2 were investigated. With the help of thermal analysis, a correlation was found between the degree of exposing the source material to oxygen from the air and the structural quality of the resulting crystals. A hydrogen treatment was applied to PbI 2 as an effective method for the removal of oxidic pollutions, which resulted in a significant reduction of structural defects like polytypic growth and stress-induced cracking. The growth of PbI 2 single crystals was, among others, carried out by the Bridgman-Stockbarger method. In this context, much effort was put on the investigation of influences resulting from the design and preparation of ampoules. For the first time, crystal growth of PbI 2 was also carried out by the Czochralski method. If compared to the Bridgman-Stockbarger method, the Czochralski technique allowed a significantly faster growth of nearly crack-free crystals with a reproducible predetermination of crystallographic orientation. By an optimised sample preparation of PbI 2 , surface orientations perpendicular to the usually cleaved (0001) plane were realised. It is now possible to determine the material properties along directions which were so far not accessible. Thus, for example, the ratio of

  19. GaAs/Ge crystals grown on Si substrates patterned down to the micron scale

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Meduňa, M.; Salvalaglio, M.; Miglio, L.; Isa, F.; Barthazy Meier, E.; Müller, E.; Isella, G.

    2016-01-01

    Monolithic integration of III-V compounds into high density Si integrated circuits is a key technological challenge for the next generation of optoelectronic devices. In this work, we report on the metal organic vapor phase epitaxy growth of strain-free GaAs crystals on Si substrates patterned down to the micron scale. The differences in thermal expansion coefficient and lattice parameter are adapted by a 2-μm-thick intermediate Ge layer grown by low-energy plasma enhanced chemical vapor deposition. The GaAs crystals evolve during growth towards a pyramidal shape, with lateral facets composed of (111) planes and an apex formed by (137) and (001) surfaces. The influence of the anisotropic GaAs growth kinetics on the final morphology is highlighted by means of scanning and transmission electron microscopy measurements. The effect of the Si pattern geometry, substrate orientation, and crystal aspect ratio on the GaAs structural properties was investigated by means of high resolution X-ray diffraction. The thermal strain relaxation process of GaAs crystals with different aspect ratio is discussed within the framework of linear elasticity theory by Finite Element Method simulations based on realistic geometries extracted from cross-sectional scanning electron microscopy images

  20. GaAs radiovoltaic cell enhanced by Y{sub 2}SiO{sub 5} crystal for the development of new gamma microbatteries

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Zheng-Rong [Department of Nuclear Science and Engineering, Nanjing University of Aeronautics and Astronautics, 29 General Road, Jiangning District, Nanjing 211106 (China); Tang, Xiao-Bin, E-mail: tangxiaobin@nuaa.edu.cn [Department of Nuclear Science and Engineering, Nanjing University of Aeronautics and Astronautics, 29 General Road, Jiangning District, Nanjing 211106 (China); Jiangsu Key Laboratory of Material and Technology for Energy Conversion, Nanjing 211106 (China); Liu, Yun-Peng [Department of Nuclear Science and Engineering, Nanjing University of Aeronautics and Astronautics, 29 General Road, Jiangning District, Nanjing 211106 (China); Jiangsu Key Laboratory of Material and Technology for Energy Conversion, Nanjing 211106 (China); Xu, Zhi-Heng; Yuan, Zi-Cheng; Liu, Kai; Chen, Wang [Department of Nuclear Science and Engineering, Nanjing University of Aeronautics and Astronautics, 29 General Road, Jiangning District, Nanjing 211106 (China)

    2017-05-01

    Highlights: • A new gamma/GaAs multi-level structure radiovoltaic microbattery is proposed. • The properties of the new GaAs/YSO radiovoltaic cell was discussed. • The cell with Y{sub 2}SiO{sub 5} crystal can provide higher power and current output. • The irradiation resistance of Y{sub 2}SiO{sub 5} crystal under X-ray excitation was studied. - Abstract: The design of a new gamma/GaAs multi-level structure radiovoltaic microbattery enhanced by an Y{sub 2}SiO{sub 5} (YSO) crystal is proposed. By introducing the YSO crystal in the GaAs radiovoltaic cell, the output power from the cell was significantly improved. We focus on the enhancement mechanisms of performance output in one level of a multi-level structure. The radioluminescence spectra of the YSO crystal revealed its fluorescence in the wavelength range of approximately 300–700 nm. Light at the exact wavelength would normally be totally absorbed by the GaAs photovoltaic material. The radiovoltaic cells were tested using an X-ray tube to simulate the gamma rays emitted by a gamma-radioactive source. Experimental investigation showed that the YSO crystal can increase the cell output power. The output power of the new GaAs/YSO radiovoltaic cell was enhanced by more than four times compared to that of the conventional GaAs radiovoltaic cell. In addition, considering the importance of the YSO crystal in the new GaAs/YSO radiovoltaic cell, the irradiation resistance of the YSO crystal under X-ray excitation was also analysed.

  1. Crystallization kinetics of Ga metallic nano-droplets under As flux

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Sanguinetti, S

    2013-01-01

    We present an experimental investigation of the crystallization dynamics of Ga nano-droplets under As flux. The transformation of the metallic Ga contained in the droplets into a GaAs nano-island proceeds by increasing the size of a tiny ring of GaAs which is formed just after the Ga deposition at the rim of a droplet. The GaAs crystallization rate depends linearly on the liquid–solid interface area. The maximum growth rate is set by the As flux impinging on the droplet, thus showing an efficient As incorporation and transport despite the predicted low solubility of the As in metallic Ga at the crystallization temperatures. (paper)

  2. Acousto-optical phonon excitation in cubic piezoelectric slabs and crystal growth orientation effects

    DEFF Research Database (Denmark)

    Willatzen, Morten; Duggen, Lars

    2017-01-01

    In this paper we investigate theoretically the influence of piezoelectric coupling on phonon dispersion relations. Specifically we solve dispersion relations for a fully coupled zinc-blende freestanding quantum well for different orientations of the crystal unit cell. It is shown that the phonon...... mode density in GaAs can change by a factor of approximately 2–3 at qx a = 1 for different crystal-growth directions relative to the slab thickness direction. In particular, it is found that optical and acoustic phonon modes are always piezoelectrically coupled, independent of the crystal...... that the piezoelectric effect leads to a drastically enhanced coupling of acoustic and optical phonon modes and increase in the local phonon density of states near the plasma frequency where the permittivity approaches zero....

  3. Emission of circularly polarized recombination radiation from p-doped GaAs and GaAs0.62P0.38 under the impact of polarized electrons

    International Nuclear Information System (INIS)

    Fromme, B.; Baum, G.; Goeckel, D.; Raith, W.

    1989-01-01

    Circularly polarized light is emitted in radiative transitions of polarized electrons from the conduction to the valence band in GaAs or GaAs 1-x P x crystals. The degree of light polarization is directly related to the polarization of the conduction-band electrons at the instant of recombination and allows conclusions about the depolarization of electrons in the conduction band. The depolarization is caused by spin-relaxation processes. The efficiency of these processes depends on crystal type, crystal temperature, degree of doping, and kinetic energy of the electrons. Highly p-doped GaAs and GaAs 0.62 P 0.38 crystals (N A >1x10 19 atoms/cm 3 ) were bombarded with polarized electrons (initial polarization 38%), and the spectral distribution and the circular polarization of the emitted recombination radiation were measured. The initial kinetic energy of the electrons in the conduction band was varied between 5 and 1000 eV. The measurements of the spectral distribution show that the electrons are thermalized before recombination occurs, independent of their initial energy. An important thermalization process in this energy range is the excitation of crystal electrons by electron-hole pair creation. The circular polarization of the recombination radiation lies below 1% in the whole energy range. It decreases with increasing electron energy but is still of measurable magnitude at 100 eV in the case of GaAs 0.62 P 0.38 . The circular polarization is smaller for GaAs than for GaAs 0.62 P 0.38 , which we attribute to more efficient spin relaxation in GaAs

  4. Modified energetics and growth kinetics on H-terminated GaAs (110)

    International Nuclear Information System (INIS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Tejedor, P.; Lorbek, S.; Hlawacek, G.; Teichert, C.

    2013-01-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As 4 , has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å 2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As 4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed

  5. Modified energetics and growth kinetics on H-terminated GaAs (110)

    Energy Technology Data Exchange (ETDEWEB)

    Galiana, B. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Departamento de Física, Universidad Carlos III de Madrid, Avenida de la Universidad 30, 28911 Madrid (Spain); Benedicto, M.; Díez-Merino, L.; Tejedor, P. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Lorbek, S.; Hlawacek, G.; Teichert, C. [Institut für Physik, Montanuniversität Leoben, Franz Josef St., 18A-8700 Leoben (Austria)

    2013-10-28

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As{sub 4}, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å{sup 2} measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As{sub 4} molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  6. Growth Interruption Effect on the Fabrication of GaAs Concentric Multiple Rings by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Fedorov A

    2010-01-01

    Full Text Available Abstract We present the molecular beam epitaxy fabrication and optical properties of complex GaAs nanostructures by droplet epitaxy: concentric triple quantum rings. A significant difference was found between the volumes of the original droplets and the final GaAs structures. By means of atomic force microscopy and photoluminescence spectroscopy, we found that a thin GaAs quantum well-like layer is developed all over the substrate during the growth interruption times, caused by the migration of Ga in a low As background.

  7. Alloy formation during InAs nanowire growth on GaAs(111)

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Saqib, Muhammad; Biermanns, Andreas; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. A possible way to obtain nanowires is the growth in molecular beam epitaxy on the (111)B oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of InAs nanowires grown on GaAs substrates covered by different oxide-layers using X-ray diffraction. In this contribution, we report on the structural investigation of InAs nanowires grown via an In droplet on GaAs substrates covered by different oxide layers using X-ray diffraction. Using a combination of symmetric and asymmetric X-ray diffraction, we observe that for growth on a defective oxide layer, alloy formation takes place and a large amount of InGaAs is formed, whereas for growth on an initially smooth oxide layer, only pure InAs is formed.

  8. A study on carbon incorporation in semi-insulating GaAs crystals grown by the vapor pressure controlled Czochralski technique (VCz). Pt. I. Experiments and Results

    Energy Technology Data Exchange (ETDEWEB)

    Jacob, K.; Frank, C.; Neubert, M.; Rudolph, P. [Institut fuer Kristallzuechtung im Forschungsverbund Berlin e.V. (IKZ) (Germany); Ulrici, W. [Institut fuer Kristallzuechtung im Forschungsverbund Berlin e.V. (IKZ) (Germany); Paul-Drude-Inst. fuer Festkoerperelektronik, Berlin (Germany); Jurisch, M. [Institut fuer Kristallzuechtung im Forschungsverbund Berlin e.V. (IKZ) (Germany); Freiberger Compound Materials GmbH, Freiberg (Germany); Korb, J. [Institut fuer Kristallzuechtung im Forschungsverbund Berlin e.V. (IKZ) (Germany); GTT Technologies, Freiberg (Germany)

    2000-07-01

    In the past it has been demonstrated that the carbon concentration of large semi-insulating (SI) GaAs single crystals grown by the conventional liquid encapsulation Czochralski (LEC) technique can be controlled by several methods including variations of growth parameters. It was the aim of the present paper to clarify which of the relationships of LEC growth could be used for a carbon control in the VCz-method characterized by the application of an inner chamber made from graphite to avoid selective As evaporation. In detail this comprised a study of the influence of several growth parameters like the water content of the boric oxide, the composition of the working atmosphere, the gas flow, a titanium gettering and additions of gallium oxide. As a result, for the first time carbon concentrations down to {approx} 10{sup 14} cm{sup -3} were obtained in 3{sup ''} (75 mm) diameter VCz crystals. (orig.)

  9. Real-time observation of rotational twin formation during molecular-beam epitaxial growth of GaAs on Si (111) by x-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Hidetoshi, E-mail: hsuzuki@cc.miyazaki-u.ac.jp [Faculty of Engineering, University of Miyazaki, 1-1 Gakuen-Kibanadai-Nishi, Miyazaki 889-2192 (Japan); Nakata, Yuka; Takahasi, Masamitu [Graduate School of Materials Science, University of Hyogo, 3-2-1 Koto, Kamigori-cho, Hyogo 678-1297 (Japan); Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Koto, Sayo-cho, Hyogo 679-5148 (Japan); Ikeda, Kazuma [Toyota Technological Institute, 2-12-1 Hisakata, Tempaku, Nagoya 468-8511 (Japan); Ohshita, Yoshio; Morohara, Osamu; Geka, Hirotaka; Moriyasu, Yoshitaka [Advanced Devices and Sensor Systems Development Center, Asahi Kasei Co. Ltd., 2-1 Samejima, Fuji 416-8501 (Japan)

    2016-03-15

    The formation and evolution of rotational twin (TW) domains introduced by a stacking fault during molecular-beam epitaxial growth of GaAs on Si (111) substrates were studied by in situ x-ray diffraction. To modify the volume ratio of TW to total GaAs domains, GaAs was deposited under high and low group V/group III (V/III) flux ratios. For low V/III, there was less nucleation of TW than normal growth (NG) domains, although the NG and TW growth rates were similar. For high V/III, the NG and TW growth rates varied until a few GaAs monolayers were deposited; the mean TW domain size was smaller for all film thicknesses.

  10. Single-Crystal Y2O3 Epitaxially on GaAs(001 and (111 Using Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Y. H. Lin

    2015-10-01

    Full Text Available Single-crystal atomic-layer-deposited (ALD Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films 2 nm thick were epitaxially grown on molecular beam epitaxy (MBE GaAs(001-4 \\(\\times\\ 6 and GaAs(111A-2 \\(\\times\\ 2 reconstructed surfaces. The in-plane epitaxy between the ALD-oxide films and GaAs was observed using \\textit{in-situ} reflection high-energy electron diffraction in our uniquely designed MBE/ALD multi-chamber system. More detailed studies on the crystallography of the hetero-structures were carried out using high-resolution synchrotron radiation X-ray diffraction. When deposited on GaAs(001, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are of a cubic phase and have (110 as the film normal, with the orientation relationship being determined: Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(110\\[\\(001\\][\\(\\overline{1}10\\]//GaAs(\\(001\\[\\(110\\][\\(1\\overline{1}0\\]. On GaAs(\\(111\\A, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are also of a cubic phase with (\\(111\\ as the film normal, having the orientation relationship of Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(111\\[\\(2\\overline{1}\\overline{1}\\] [\\(01\\overline{1}\\]//GaAs (\\(111\\ [\\(\\overline{2}11\\][\\(0\\overline{1}1\\]. The relevant orientation for the present/future integrated circuit platform is (\\(001\\. The ALD-Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\/GaAs(\\(001\\-4 \\(\\times\\ 6 has shown excellent electrical properties. These include small frequency dispersion in the capacitance-voltage CV curves at accumulation of ~7% and ~14% for the respective p- and n-type samples with the measured frequencies of 1 MHz to 100 Hz. The interfacial trap density (Dit is low of ~10\\(^{12}\\ cm\\(^{−2}\\eV\\(^{−1}\\ as extracted from measured quasi-static CVs. The frequency dispersion at accumulation and the D\\(_{it}\\ are the lowest ever achieved among all the ALD-oxides on GaAs(\\(001\\.

  11. Two-Photon Pumped Synchronously Mode-Locked Bulk GaAs Laser

    Science.gov (United States)

    Cao, W. L.; Vaucher, A. M.; Ling, J. D.; Lee, C. H.

    1982-04-01

    Pulses 7 picoseconds or less in duration have been generated from a bulk GaAs crystal by a synchronous mode-locking technique. The GaAs crystal was optically pumped by two-photon absorption of the emission from a mode-locked Nd:glass laser. Two-photon absorption as the means of excitation increases the volume of the gain medium by increasing the pene-tration depth of the pump intensity, enabling generation of intra-cavity pulses with peak power in the megawatt range. Tuning of the wavelength of the GaAs emission is achieved by varying the temperature. A tuning range covering 840 nm to 885 nm has been observed over a temperature range from 97°K to 260°K. The intensity of the GaAs emission has also been observed to decrease as the temperature of the crystal is increased.

  12. Growth and characterization of InAs columnar quantum dots on GaAs substrate

    International Nuclear Information System (INIS)

    Li, L. H.; Patriarche, G.; Rossetti, M.; Fiore, A.

    2007-01-01

    The growth of InAs columnar quantum dots (CQDs) on GaAs substrates by molecular beam epitaxy was investigated. The CQDs were formed by depositing a 1.8 monolayer (ML) InAs seed dot layer and a short period GaAs/InAs superlattice (SL). It was found that the growth of the CQDs is very sensitive to growth interruption (GI) and growth temperature. Both longer GI and higher growth temperature impact the size dispersion of the CQDs, which causes the broadening of photoluminescence (PL) spectrum and the presence of the additional PL peak tails. By properly choosing the GI and the growth temperature, CQDs including GaAs (3 ML)/InAs (0.62 ML) SL with period number up to 35 without plastic relaxation were grown. The corresponding equivalent thickness of the SL is 41 nm which is two times higher than the theoretical critical thickness of the strained InGaAs layer with the same average In composition of 16%. The increase of the critical thickness is partially associated with the formation of the CQDs. Based on a five-stack CQD active region, laser diodes emitting around 1120 nm at room temperature were demonstrated, indicating a high material quality. CQDs with nearly isotropic cross section (20 nmx20 nm dimensions) were formed by depositing a 16-period GaAs (3 ML)/InAs (0.62 ML) SL on an InAs seed dot layer, indicating the feasibility of artificial shape engineering of QDs. Such a structure is expected to be very promising for polarization insensitive device applications, such as semiconductor optical amplifiers

  13. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Englhard, M.; Klemp, C.; Behringer, M.; Rudolph, A. [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Skibitzki, O.; Zaumseil, P. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Institute of Physics and Chemistry, BTU Cottbus-Senftenberg, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2016-07-28

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-ray diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.

  14. Singularities of 28Si electrical activation in a single crystal and epitaxial GaAs under radiation annealing

    International Nuclear Information System (INIS)

    Ardyshev, V.M.; Ardyshev, M.V.; Khludkov, S.S.

    2000-01-01

    Using the voltage-capacitance characteristics method, the concentration profiles of 28 Si that is implanted in monocrystal and epitaxial GaAs after fast thermal annealing (FTA) (825, 870, 950 deg C, 12 s) have been studied; using Van-der-Paw method, the electron Hall mobility temperature dependence in the range of 70-400 K has been measured. Unlike thermal annealing (800 deg C, 30 min), the silicon diffusion depth redistribution into GaAs is shown to occur for both types of material. The coefficient of diffusion of Si in the single crystal is 2 times greater, but the electrical activation efficiency is somewhat less than in the epitaxial GaAs for each of the temperatures of FTA. The analysis of the temperature dependence of the electron mobility in ion-implanted layers after FTA gives the evidence about the significantly lower concentration of defects restricting the mobility in comparison with results obtained at thermal annealing during 30 min [ru

  15. Facile Five-Step Heteroepitaxial Growth of GaAs Nanowires on Silicon Substrates and the Twin Formation Mechanism.

    Science.gov (United States)

    Yao, Maoqing; Sheng, Chunyang; Ge, Mingyuan; Chi, Chun-Yung; Cong, Sen; Nakano, Aiichiro; Dapkus, P Daniel; Zhou, Chongwu

    2016-02-23

    Monolithic integration of III-V semiconductors with Si has been pursued for some time in the semiconductor industry. However, the mismatch of lattice constants and thermal expansion coefficients represents a large technological challenge for the heteroepitaxial growth. Nanowires, due to their small lateral dimension, can relieve strain and mitigate dislocation formation to allow single-crystal III-V materials to be grown on Si. Here, we report a facile five-step heteroepitaxial growth of GaAs nanowires on Si using selective area growth (SAG) in metalorganic chemical vapor deposition, and we further report an in-depth study on the twin formation mechanism. Rotational twin defects were observed in the nanowire structures and showed strong dependence on the growth condition and nanowire size. We adopt a model of faceted growth to demonstrate the formation of twins during growth, which is well supported by both a transmission electron microscopy study and simulation based on nucleation energetics. Our study has led to twin-free segments in the length up to 80 nm, a significant improvement compared to previous work using SAG. The achievements may open up opportunities for future functional III-V-on-Si heterostructure devices.

  16. Lattice location of diffused Zn atoms in GaAs and InP single crystals

    International Nuclear Information System (INIS)

    Chan, L.Y.; Yu, K.M.; Ben-Tzur, M.; Haller, E.E.; Jaklevic, J.M.; Walukiewicz, W.; Hanson, C.M.

    1991-01-01

    We have investigated the saturation phenomenon of the free carrier concentration in p-type GaAs and InP single crystals doped by zinc diffusion. The free hole saturation occurs at 10 20 cm -3 for GaAs, but the maximum concentration for InP appears at mid 10 18 cm -3 . The difference in the saturation hole concentrations for these materials is investigated by studying the incorporation and the lattice location of the impurity zinc, an acceptor when located on a group III atom site. Zinc is diffused into the III-V wafers in a sealed quartz ampoule. Particle-induced x-ray emission with ion-channeling techniques are employed to determine the exact lattice location of the zinc atoms. We have found that over 90% of all zinc atoms occupy Ga sites in the diffused GaAs samples, while for the InP case, the zinc substitutionality is dependent on the cooling rate of the sample after high-temperature diffusion. For the slowly cooled sample, a large fraction (∼90%) of the zinc atoms form random precipitates of Zn 3 P 2 and elemental Zn. However, when rapidly cooled only 60% of the zinc forms such precipitates while the rest occupies specific sites in the InP. We analyze our results in terms of the amphoteric native defect model. We show that the difference in the electrical activity of the Zn atoms in GaAs and InP is a consequence of the different location of the Fermi level stabilization energy in these two materials

  17. Molecular Beam Epitaxial Growth of GaAs on (631) Oriented Substrates

    International Nuclear Information System (INIS)

    Cruz Hernandez, Esteban; Rojas Ramirez, Juan-Salvador; Contreras Hernandez, Rocio; Lopez Lopez, Maximo; Pulzara Mora, Alvaro; Mendez Garcia, Victor H.

    2007-01-01

    In this work, we report the study of the homoepitaxial growth of GaAs on (631) oriented substrates by molecular beam epitaxy (MBE). We observed the spontaneous formation of a high density of large scale features on the surface. The hilly like features are elongated towards the [-5, 9, 3] direction. We show the dependence of these structures with the growth conditions and we present the possibility of to create quantum wires structures on this surface

  18. Merging Standard CVD Techniques for GaAs and Si Epitaxial Growth

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Van den Bogaard, A.; Nanver, L.K.

    2010-01-01

    A commercial Chemical Vapor Deposition (CVD) system, the ASMI Epsilon 2000 designed for Si and SiGe epitaxy, has, for the first time, been equipped for the growth of GaAs compounds in a manner that does not exclude the use of the system also for Si-based depositions. With the new system, intrinsic,

  19. Growth of GaAs “nano ice cream cones” by dual wavelength pulsed laser ablation

    Science.gov (United States)

    Schamp, C. T.; Jesser, W. A.; Shivaram, B. S.

    2007-05-01

    Harmonic generation crystals inherently offer the possibility of using multiple wavelengths of light in a single laser pulse. In the present experiment, the fundamental (1064 nm) and second harmonic (532 nm) wavelengths from an Nd:YAG laser are focused together on GaAs and GaSb targets for ablation. Incident energy densities up to about 45 J/cm 2 at 10 Hz with substrate temperatures between 25 and 600 °C for durations of about 60 s have been used in an ambient gas pressure of about 10 -6 Torr. The ablated material was collected on electron-transparent amorphous carbon films for TEM analysis. Apart from a high density of isolated nanocrystals, the most common morphology observed consists of a crystalline GaAs cone-like structure in contact with a sphere of liquid Ga, resembling an "ice cream cone", typically 50-100 nm in length. For all of the heterostuctures of this type, the liquid/solid/vacuum triple junction is found to correspond to the widest point on the cone. These heterostructures likely form by preferential evaporation of As from molten GaAs drops ablated from the target. The resulting morphology minimizes the interfacial and surface energies of the liquid Ga and solid GaAs.

  20. Nucleation and Growth of GaN on GaAs (001) Substrates

    International Nuclear Information System (INIS)

    Drummond, Timothy J.; Hafich, Michael J.; Heller, Edwin J.; Lee, Stephen R.; Liliental-Weber, Zuzanna; Ruvimov, Sergei; Sullivan, John P.

    1999-01-01

    The nucleation of GaN thin films on GaAs is investigated for growth at 620 ''C. An rf plasma cell is used to generate chemically active nitrogen from N 2 . An arsenic flux is used in the first eight monolayer of nitride growth to enhance nucleation of the cubic phase. Subsequent growth does not require an As flux to preserve the cubic phase. The nucleation of smooth interfaces and GaN films with low stacking fault densities is dependent upon relative concentrations of active nitrogen species in the plasma and on the nitrogen to gallium flux ratio

  1. Growth and electronic properties of two-dimensional systems on (110) oriented GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Fischer, F.

    2005-07-01

    As the only non-polar plane the (110) surface has a unique role in GaAs. Together with Silicon as a dopant it is an important substrate orientation for the growth of n-type or p-type heterostructures. As a consequence, this thesis will concentrate on growth and research on that surface. In the course of this work we were able to realize two-dimensional electron systems with the highest mobilities reported so far on this orientation. Therefore, we review the necessary growth conditions and the accompanying molecular process. The two-dimensional electron systems allowed the study of a new, intriguing transport anisotropy not explained by current theory. Moreover, we were the first growing a two-dimensional hole gas on (110) GaAs with Si as dopant. For this purpose we invented a new growth modulation technique necessary to retrieve high mobility systems. In addition, we discovered and studied the metal-insulator transition in thin bulk p-type layers on (110) GaAs. Besides we investigated the activation process related to the conduction in the valence band and a parallelly conducting hopping band. The new two-dimensional hole gases revealed interesting physics. We studied the zero B-field spin splitting in these systems and compared it with the known theory. Furthermore, we investigated the anisotropy of the mobility. As opposed to the expectations we observed a strong persistent photoconductivity in our samples. Landau levels for two dimensional hole systems are non-linear and can show anticrossings. For the first time we were able to resolve anticrossings in a transport experiment and study the corresponding activation process. Finally, we compared these striking results with theoretical calculations. (orig.)

  2. Growth of Ag-seeded III-V Nanowires and TEM Characterization

    DEFF Research Database (Denmark)

    Lindberg, Anna Helmi Caroline

    appropriate, the density and the vertical yield were obtained. The crystal structures for the grown nanowires have been investigated with TEM.We have also performed additional growths to further understand exactly how the nanowire growth proceeds as well as to understand the limitations of using Ag as a seed......This thesis deals with growth and characterization of GaAs and InAs nanowires. Today Au nanoparticle-seeding together with self-catalyzing are the dominating techniques to grow III-V nanowires with molecular beam epitaxy. In this thesis we instead investigate the possibility to use Ag as seed...... particle for growth of GaAs and InAs nanowires. The aim with the experiments performed has been to conclude whether Ag can be used to nucleate and grow nanowires on III-V substrates with molecular beam epitaxy. To investigate this we have performed growths of GaAs nanowires on GaAs(111)B and GaAs(100...

  3. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    Science.gov (United States)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  4. Transient surface states during the CBE growth of GaAs

    Science.gov (United States)

    Farrell, T.; Hill, D.; Joyce, T. B.; Bullough, T. J.; Weightman, P.

    1997-05-01

    We report the occurrence of a transient surface state during the initial stages of CBE GaAs(0 0 1) growth. The state was detected in real-time reflectance ( R) and reflectance anisotropy spectroscopy (RAS) growth monitoring. At low growth rates, less than 1 μm/h, beam equivalent pressure (BEP) of triethylgallium (TEG) BEPs there was a rapid increase in R at all monitoring wavelengths, followed by a monotonic decay to its pre-growth value. This transient increase in R was accompanied by a change in the RAS signal, the magnitude and sign of which varied with wavelength. The initial increase in R is shown to be associated with the development of a metallic-like surface whereas the changes in the RAS signal are consistent with the formation of Ga dimers.

  5. GaAs Solar Cells on V-Grooved Silicon via Selective Area Growth: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Warren, Emily L [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Jain, Nikhil [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Tamboli, Adele C [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Vaisman, Michelle [Yale University; Li, Qiang [Hong Kong University of Science and Technology; Lau, Kei May [Hong Kong University of Science and Technology

    2017-08-31

    Interest in integrating III-Vs onto Si has recently resurged as a promising pathway towards high-efficiency, low-cost tandem photovoltaics. Here, we present a single junction GaAs solar cell grown monolithically on polished Si (001) substrates using V-grooves, selective area growth, and aspect ratio trapping to mitigate defect formation without the use of expensive, thick graded buffers. The GaAs is free of antiphase domains and maintains a relatively low TDD of 4x107 cm-2, despite the lack of a graded buffer. This 6.25 percent-efficient demonstration solar cell shows promise for further improvements to III-V/Si tandems to enable cost-competitive photovoltaics.

  6. Optical and electrical properties of heterostructures Zn{sub 1-x}Mg{sub x}Se crystallized on ZnTe and GaAs crystals by MBE method; Wlasnosci optyczne i elektryczne heterostruktur Zn{sub 1-x}Mg{sub x}Se krystalizowanych metoda MBE w krysztalach ZnTe i GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Gapinski, A; Glowacki, G; Bala, W [Uniwersytet Mikolaja Kopernika, Torun (Poland). Inst. Fizyki

    1997-12-01

    Triple component mixing crystals Zn{sub 1-x}Mg{sub x}Se have been crystallized on ZnTe and GaAs monocrystals by means of molecular beam epitaxy method. The optical and electrical properties of such structures with different magnesium content have been studied. The applicability for optoelectronial and light-pipe use have been discussed as well. 6 refs, 4 figs.

  7. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  8. Peeled film GaAs solar cell development

    International Nuclear Information System (INIS)

    Wilt, D.M.; Thomas, R.D.; Bailey, S.G.; Brinker, D.J.; DeAngelo, F.L.

    1990-01-01

    Thin film, single crystal gallium arsenide (GaAs) solar cells could exhibit a specific power approaching 700 W/Kg including coverglass. A simple process has been described whereby epitaxial GaAs layers are peeled from a reusable substrate. This process takes advantage of the extreme selectivity (>10 6 ) of the etching rate of aluminum arsenide (AlAs) over GaAs in dilute hydrofloric acid (HF). The intent of this work is to demonstrate the feasibility of using the peeled film technique to fabricate high efficiency, low mass GaAs solar cells. We have successfully produced a peeled film GaAs solar cell. The device, although fractured and missing the aluminum gallium arsenide (Al x Ga 1 - x As) window and antireflective (AR) coating, had a Voc of 874 mV and a fill factor of 68% under AMO illumination

  9. Growth of dopamine crystals

    Energy Technology Data Exchange (ETDEWEB)

    Patil, Vidya, E-mail: vidya.patil@ruparel.edu; Patki, Mugdha, E-mail: mugdha.patki@ruparel.edu [D. G. Ruparel College, Senapati Bapat Marg, Mahim, Mumbai – 400 016 (India)

    2016-05-06

    Many nonlinear optical (NLO) crystals have been identified as potential candidates in optical and electro-optical devices. Use of NLO organic crystals is expected in photonic applications. Hence organic nonlinear optical materials have been intensely investigated due to their potentially high nonlinearities, and rapid response in electro-optic effect compared to inorganic NLO materials. There are many methods to grow organic crystals such as vapor growth method, melt growth method and solution growth method. Out of these methods, solution growth method is useful in providing constraint free crystal. Single crystals of Dopamine have been grown by evaporating the solvents from aqueous solution. Crystals obtained were of the size of orders of mm. The crystal structure of dopamine was determined using XRD technique. Images of crystals were obtained using FEG SEM Quanta Series under high vacuum and low KV.

  10. In-situ x-ray characterization of wurtzite formation in GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Krogstrup, Peter; Hannibal Madsen, Morten; Nygaard, Jesper; Feidenhans' l, Robert [Nano-Science Center, Niels Bohr Institute, University of Copenhagen, Copenhagen (Denmark); Hu Wen [Quantum Beam Science Directorate, Japan Atomic Energy Agency, 1-1-1 Koto, Sayo, Hyogo 679-5148 (Japan); Kozu, Miwa; Nakata, Yuka [University of Hyogo, 3-2-1 Koto, Kamigori, Hyogo 678-1297 (Japan); Takahasi, Masamitu [Quantum Beam Science Directorate, Japan Atomic Energy Agency, 1-1-1 Koto, Sayo, Hyogo 679-5148 (Japan); University of Hyogo, 3-2-1 Koto, Kamigori, Hyogo 678-1297 (Japan)

    2012-02-27

    In-situ monitoring of the crystal structure formation during Ga-assisted GaAs nanowire growth on Si(111) substrates has been performed in a combined molecular beam epitaxy growth and x-ray characterization experiment. Under Ga rich conditions, we show that an increase in the V/III ratio increases the formation rate of the wurtzite structure. Moreover, the response time for changes in the structural phase formation to changes in the beam fluxes is observed to be much longer than predicted time scales of adatom kinetics and liquid diffusion. This suggests that the morphology of the growth interface plays the key role for the relative growth structure formation rates.

  11. Effects produced in GaAs by MeV ion bombardment

    International Nuclear Information System (INIS)

    Wie, C.R.

    1985-01-01

    The first part of this thesis presents work performed on the ionizing energy beam induced adhesion enhancement of thin (approx.500 A) Au films on GaAs substrates. The ionizing beam, employed in the present thesis, is the MeV ions (i.e., 16 O, 19 F, and 35 Cl), with energies between 1 and 20 MeV. Using the Scratch test for adhesion measurement, and ESCA for chemical analysis of the film substrate interface, the native oxide layer at the interface is shown to play an important role in the adhesion enhancement by the ionizing radiation. A model is discussed that explains the experimental data on the dependence of adhesion enhancement on the energy which was deposited into electronic processes at the interface. The second part of the thesis presents research results on the radiation damage in GaAs crystals produced by MeV ions. Lattice parameter dilatation in the surface layers of the GaAs crystals becomes saturated after a high dose bombardment at room temperature. The strain produced by nuclear collisions is shown to relax partially due to electronic excitation (with a functional dependence on the nuclear and electronic stopping power of bombarding ions. Data on the GaAs and GaP crystals suggest that low temperature recovery stage defects produce major crystal distortion

  12. Crystal structure of LT GaAs layers before and after annealing

    International Nuclear Information System (INIS)

    Litiental-Weber, Z.

    1992-01-01

    In this paper the structural quality of GaAs layers grown at low temperatures by solid-source and gas-source MBE at different growth conditions is described. Dependence on the growth temperature and concentration of As [expressed at As/Ga beam equivalent pressure (BEP)] used for the growth is discussed. A higher growth temperature is required top obtain the same monocrystalling layer thickness with increased BEP. The annealing of these layers is associated with the formation of As precipitates. Semicoherent precipitates with lowest formation energies are formed in the monocrystalline parts of the layers grown with the lowest BEP. Precipitates with higher formation energies are formed when higher BEP is applied; they are also formed in the vicinity of structural defects. Formation of As precipitates releases strain in the layers. Arsenic precipitates are not formed in annealed ternary (InAlAs) layers despite their semi-insulating properties. The role of As precipitates in semi-insulating properties and the short lifetime of minority carriers in these layers is discussed

  13. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    Science.gov (United States)

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  14. Growth of single crystals of BaFe12O19 by solid state crystal growth

    International Nuclear Information System (INIS)

    Fisher, John G.; Sun, Hengyang; Kook, Young-Geun; Kim, Joon-Seong; Le, Phan Gia

    2016-01-01

    Single crystals of BaFe 12 O 19 are grown for the first time by solid state crystal growth. Seed crystals of BaFe 12 O 19 are buried in BaFe 12 O 19 +1 wt% BaCO 3 powder, which are then pressed into pellets containing the seed crystals. During sintering, single crystals of BaFe 12 O 19 up to ∼130 μm thick in the c-axis direction grow on the seed crystals by consuming grains from the surrounding polycrystalline matrix. Scanning electron microscopy-energy dispersive spectroscopy analysis shows that the single crystal and the surrounding polycrystalline matrix have the same chemical composition. Micro-Raman scattering shows the single crystal to have the BaFe 12 O 19 structure. The optimum growth temperature is found to be 1200 °C. The single crystal growth behavior is explained using the mixed control theory of grain growth. - Highlights: • Single crystals of BaFe 12 O 19 are grown by solid state crystal growth. • A single crystal up to ∼130 μm thick (c-axis direction) grows on the seed crystal. • The single crystal and surrounding ceramic matrix have similar composition. • Micro-Raman scattering shows the single crystal has the BaFe 12 O 19 structure.

  15. Epitaxial growth of matched metallic ErP0.6As0.4 layers on GaAs

    International Nuclear Information System (INIS)

    Guivarc'h, A.; Le Corre, A.; Gaulet, J.; Guenais, B.; Minier, M.; Ropars, G.; Badoz, P.A.; Duboz, J.Y.

    1990-01-01

    Successful growth of (001)ErP 0.6 As 0.4 single crystal film on (001) GaAs has been demonstrated. The epitaxial metallic layers reproducibly showed lattice mismatch below 5 10 -4 . This is, to the authors' knowledge, the first report of a stable, epitaxial and lattice-matched metal/compound semiconductor heterostructure. The ErP 0.6 As 0.4 /n-GaAs diodes yielded excellent I-V characteristics with an ideality factor of 1.1 and barrier height of 0.88 eV. For a 240 Angstrom- thick film, metallic behavior was observed with resistivities of 25 and 86 μΩcm at 1.5 K and room temperature, respectively. As the other Er compounds ErP, ErAs, ErSb and ErSi 2 , ErP 0.6 As 0.4 presents an abrupt drop in resistivity in the vicinity of the liquid helium temperature, due to a paramagnetic to antiferromagnetic phase transition

  16. Hopper Growth of Salt Crystals.

    Science.gov (United States)

    Desarnaud, Julie; Derluyn, Hannelore; Carmeliet, Jan; Bonn, Daniel; Shahidzadeh, Noushine

    2018-06-07

    The growth of hopper crystals is observed for many substances, but the mechanism of their formation remains ill understood. Here we investigate their growth by performing evaporation experiments on small volumes of salt solutions. We show that sodium chloride crystals that grow very fast from a highly supersaturated solution form a peculiar form of hopper crystal consisting of a series of connected miniature versions of the original cubic crystal. The transition between cubic and such hopper growth happens at a well-defined supersaturation where the growth rate of the cubic crystal reaches a maximum (∼6.5 ± 1.8 μm/s). Above this threshold, the growth rate varies as the third power of supersaturation, showing that a new mechanism, controlled by the maximum speed of surface integration of new molecules, induces the hopper growth of cubic crystals in cascade.

  17. Growth-interruption-induced low-density InAs quantum dots on GaAs

    International Nuclear Information System (INIS)

    Li, L. H.; Alloing, B.; Chauvin, N.; Fiore, A.; Patriarche, G.

    2008-01-01

    We investigate the use of growth interruption to obtain low-density InAs quantum dots (QDs) on GaAs. The process was realized by Ostwald-type ripening of a thin InAs layer. It was found that the optical properties of the QDs as a function of growth interruption strongly depend on InAs growth rate. By using this approach, a low density of QDs (4 dots/μm 2 ) with uniform size distribution was achieved. As compared to QDs grown without growth interruption, a larger energy separation between the QD confined levels was observed, suggesting a situation closer to the ideal zero-dimensional system. Combining with an InGaAs capping layer such as In-rich QDs enable 1.3 μm emission at 4 K

  18. Electrodeposition of Metal on GaAs Nanowires

    Science.gov (United States)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  19. Molecular mechanisms of crystal growth

    International Nuclear Information System (INIS)

    Pina, C. M.

    2000-01-01

    In this paper I present an example of the research that the Mineral Surface Group of the Munster University is conducting in the field of Crystal Growth. Atomic Force Microscopy (Am) in situ observations of different barite (BaSO4) faces growing from aqueous solutions, in combination with computer simulations of the surface attachment of growth units allows us to test crystal growth models. Our results demonstrate the strong structural control that a crystal can exert on its own growth, revealing also the limitation of the classical crystal growth theories (two dimensional nucleation and spiral growth models) in providing a complete explanation for the growth behaviour at a molecular scale. (Author) 6 refs

  20. Growth of single crystals of BaFe12O19 by solid state crystal growth

    Science.gov (United States)

    Fisher, John G.; Sun, Hengyang; Kook, Young-Geun; Kim, Joon-Seong; Le, Phan Gia

    2016-10-01

    Single crystals of BaFe12O19 are grown for the first time by solid state crystal growth. Seed crystals of BaFe12O19 are buried in BaFe12O19+1 wt% BaCO3 powder, which are then pressed into pellets containing the seed crystals. During sintering, single crystals of BaFe12O19 up to ∼130 μm thick in the c-axis direction grow on the seed crystals by consuming grains from the surrounding polycrystalline matrix. Scanning electron microscopy-energy dispersive spectroscopy analysis shows that the single crystal and the surrounding polycrystalline matrix have the same chemical composition. Micro-Raman scattering shows the single crystal to have the BaFe12O19 structure. The optimum growth temperature is found to be 1200 °C. The single crystal growth behavior is explained using the mixed control theory of grain growth.

  1. Homo- and heteroepitaxial growth behavior of upright InAs nanowires on InAs and GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, Jens; Gottschalch, Volker; Paetzelt, Hendrik [Institut fuer Anorganische Chemie, Universitaet Leipzig, Johannesallee 29, D-04103 Leipzig (Germany); Wagner, Gerald [Institut fuer Kristallographie und Mineralogie, Universitaet Leipzig, Linnestr. 5, D-04103 Leipzig (Germany); Pietsch, Ulrich [Festkoerperphysik, Universitaet Siegen, D-57068 Siegen (Germany)

    2008-07-01

    Semiconductor nanowires (NW) acquire recently attraction because of promising new application fields in electronics and optoelectronic. We applied the vapor-liquid-solid mechanism with gold seeds in combination with low-pressure metal-organic vapor phase epitaxy (LP-MOVPE) to achieve replicable InAs NW growth with high growth rates. Since the initial alloying of the gold seeds with the substrate material plays a deciding role for the inceptive NW growth, InAs free standing nanowires were grown on GaAs(111)B substrate as well as on InAs/GaAs(111)B quasi-substrate. The influence of the MOVPE parameters will be discussed with respect to NW morphology and real-structure. A special focus will be set on the heteroepitaxial InAs NW growth on GaAs substrates. Gracing-incidence X-ray studies and transmission electron microscopy investigations revealed the existence of a thin Ga{sub x}In{sub 1-x}As graduated alloy layer with embedded crystalline gold alloy particles at the NW substrate interface. The effect of droplet composition on the VLS growth will be presented in a thermodynamic model.

  2. Co thin film with metastable bcc structure formed on GaAs(111 substrate

    Directory of Open Access Journals (Sweden)

    Minakawa Shigeyuki

    2014-07-01

    Full Text Available Co thin films are prepared on GaAs(111 substrates at temperatures ranging from room temperature to 600 ºC by radio-frequency magnetron sputtering. The growth behavior and the detailed resulting film structure are investigated by in-situ reflection high-energy electron diffraction and X-ray diffraction. In early stages of film growth at temperatures lower than 200 ºC, Co crystals with metastable A2 (bcc structure are formed, where the crystal structure is stabilized through hetero-epitaxial growth. With increasing the film thickness beyond 2 nm, the metastable structure starts to transform into more stable A1 (fcc structure through atomic displacements parallel to the A2{110} close-packed planes. The crystallographic orientation relationship between the A2 and the transformed A1 crystals is A1{111} || A2{110}. When the substrate temperature is higher than 400 ºC, Ga atoms of substrate diffuse into the Co films and a Co-Ga alloy with bcc-based ordered structure of B2 is formed.

  3. The influence of γ-irradiation cobalt 60 on electrical properties of undoped GaAs treated with hydrogen plasma

    International Nuclear Information System (INIS)

    Korshunov, F.P.; Kurilovich, N.F.; Prokhorenko, T.A.; Bumaj, Yu.A.; Ul'yashin, A.G.

    1999-01-01

    The influence of exposition to a hydrogen plasma (hydrogenation) on the electrical properties alteration under gamma-irradiation in bulk GaAs have been investigated. It is shown that crystals hydrogenation before irradiation leads to particularly passivation of electrically active defects that are responsible for carriers scattering and removing processes in irradiated crystals. Radiation defects thermostability in hydrogenated GaAs crystals is lower than that in non hydrogenated ones. The energetic levels position of main defect that effects on electrical properties alteration after irradiation in GaAs crystals was detected. It is equal to E D =E C -0,125±0,0005 eV

  4. MOCVD growth of CdTe and HgTe on GaAs in a vertical, high-speed, rotating-disc reactor

    International Nuclear Information System (INIS)

    Tompa, G.S.; Nelson, C.R.; Reinert, P.D.; Saracino, M.A.; Terrill, L.A.; Colter, P.C.

    1989-01-01

    The metalorganic chemical vapor deposition (MOCVD) growth of CdTe and HgTe on GaAs (111) and (100) substrates in a vertical, high-speed, rotating-disc reactor was investigated. A range of total reactor pressure, carrier gas flow rate, chemical concentrations, deposition temperature, and rotation rate have been investigated in an attempt to optimize growth conditions. Diisopropyltelluride (DIPTe) and Dimethylcadmium (DMCd) were used as growth precursors. Thickness uniformity varies less than +/- 1.5% over 50 mm diameter wafers. Films having FWHM X-ray rocking curves less than 90 arcsec were obtained on GaAs (111) substrates. The films have excellent surface morphology, exhibiting less than 5 x 10 4 cm - 2 orange peel dents which are much-lt 1 μm in size. An elemental mercury source was added to the growth system. Initial results for the growth of HgTe and HgCdTe are discussed

  5. Springer Handbook of Crystal Growth

    CERN Document Server

    Dhanaraj, Govindhan; Prasad, Vishwanath; Dudley, Michael

    2010-01-01

    Over the years, many successful attempts have been made to describe the art and science of crystal growth. Most modern advances in semiconductor and optical devices would not have been possible without the development of many elemental, binary, ternary, and other compound crystals of varying properties and large sizes. The objective of the Springer Handbook of Crystal Growth is to present state-of-the-art knowledge of both bulk and thin-film crystal growth. The goal is to make readers understand the basics of the commonly employed growth processes, materials produced, and defects generated. Almost 100 leading scientists, researchers, and engineers from 22 different countries from academia and industry have been selected to write chapters on the topics of their expertise. They have written 52 chapters on the fundamentals of bulk crystal growth from the melt, solution, and vapor, epitaxial growth, modeling of growth processes and defects, techniques of defect characterization as well as some contemporary specia...

  6. Structural and electronic properties of isovalent boron atoms in GaAs

    Science.gov (United States)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  7. Principles of crystallization, and methods of single crystal growth

    International Nuclear Information System (INIS)

    Chacra, T.

    2010-01-01

    Most of single crystals (monocrystals), have distinguished optical, electrical, or magnetic properties, which make from single crystals, key elements in most of technical modern devices, as they may be used as lenses, Prisms, or grating sin optical devises, or Filters in X-Ray and spectrographic devices, or conductors and semiconductors in electronic, and computer industries. Furthermore, Single crystals are used in transducer devices. Moreover, they are indispensable elements in Laser and Maser emission technology.Crystal Growth Technology (CGT), has started, and developed in the international Universities and scientific institutions, aiming at some of single crystals, which may have significant properties and industrial applications, that can attract the attention of international crystal growth centers, to adopt the industrial production and marketing of such crystals. Unfortunately, Arab universities generally, and Syrian universities specifically, do not give even the minimum interest, to this field of Science.The purpose of this work is to attract the attention of Crystallographers, Physicists and Chemists in the Arab universities and research centers to the importance of crystal growth, and to work on, in the first stage to establish simple, uncomplicated laboratories for the growth of single crystal. Such laboratories can be supplied with equipment, which are partly available or can be manufactured in the local market. Many references (Articles, Papers, Diagrams, etc..) has been studied, to conclude the most important theoretical principles of Phase transitions,especially of crystallization. The conclusions of this study, are summarized in three Principles; Thermodynamic-, Morphologic-, and Kinetic-Principles. The study is completed by a brief description of the main single crystal growth methods with sketches, of equipment used in each method, which can be considered as primary designs for the equipment, of a new crystal growth laboratory. (author)

  8. Growth initiation processes for GaAs and AlGaAs in CBE

    International Nuclear Information System (INIS)

    Hill, D.

    2002-01-01

    The aim of this work was to investigate the nature of the transient period found in reflectance anisotropy (RA) measurements of high III:V BEP ratio growth of gallium arsenide (GaAs) and aluminium gallium arsenide (AIGaAs) by chemical beam epitaxy (CBE). Growth at substrate temperatures between 510-610 deg C with arsine (AsH 3 ) thermally cracked to As 2 , triethylgallium (TEGa), trimethylgallium (TMGa), trimethylaminealane (TMAA) and diethylmethylaminealane (DEMAA) at high III:V BEP ratios reveals that the transition from 'pre-growth' to 'in-growth' reconstructions is not as straightforward as that for lower III:V BEP ratio growth. Instead of the reconstruction changing directly to the usual 2x4 'in-growth' reconstruction over 1-2 seconds it passes through several other transient reconstructions over a period of up to and greater than 60s, firstly the Ga rich 4x2 then several other 2x4 As-stable reconstructions. It has been shown that at the III:V BEP ratios and substrate temperatures used in this work growth is taking place in a transitional area of the phase diagram for 'in-growth' reconstructions. At higher III:V BEP ratio growth the transition is believed to be direct, from the 'pre-growth' reconstruction to a 4x2 Ga-rich 'in-growth' reconstruction. The surfaces grown with any of the precursors are initially saturated with Ga and then as the As coverage gradually increases the reconstructions change until enough As is present on the surface for usual 2x4 'in-growth' reconstruction to stabilise. However unlike for TMGa, GaAs growth with TEGa proceeds by a non-self limiting growth mode and TEGa rapidly dissociates. The result of this is that TEGa decomposes on top of other TEGa molecules, or their fragments and due to the high flux rate this leads to a 'stacking-up' of Ga on the surface. The presence of excess Ga provides a rapid increase of surface reflectance and then its subsequent decay as the excess Ga is incorporated by the increasing As content of the

  9. Radial Growth of Self-Catalyzed GaAs Nanowires and the Evolution of the Liquid Ga-Droplet Studied by Time-Resolved in Situ X-ray Diffraction.

    Science.gov (United States)

    Schroth, Philipp; Jakob, Julian; Feigl, Ludwig; Mostafavi Kashani, Seyed Mohammad; Vogel, Jonas; Strempfer, Jörg; Keller, Thomas F; Pietsch, Ullrich; Baumbach, Tilo

    2018-01-10

    We report on a growth study of self-catalyzed GaAs nanowires based on time-resolved in situ X-ray structure characterization during molecular-beam-epitaxy in combination with ex situ scanning-electron-microscopy. We reveal the evolution of nanowire radius and polytypism and distinguish radial growth processes responsible for tapering and side-wall growth. We interpret our results using a model for diameter self-stabilization processes during growth of self-catalyzed GaAs nanowires including the shape of the liquid Ga-droplet and its evolution during growth.

  10. Phase-field crystal simulation facet and branch crystal growth

    Science.gov (United States)

    Chen, Zhi; Wang, Zhaoyang; Gu, Xinrui; Chen, Yufei; Hao, Limei; de Wit, Jos; Jin, Kexin

    2018-05-01

    Phase-field crystal model with one mode is introduced to describe morphological transition. The relationship between growth morphology and smooth density distribution was investigated. The results indicate that the pattern selection of dendrite growth is caused by the competition between interface energy anisotropy and interface kinetic anisotropy based on the 2D phase diagram. When the calculation time increases, the crystal grows to secondary dendrite at the dimensionless undercooling equal to - 0.4. Moreover, when noise is introduced in the growth progress, the symmetry is broken in the growth mode, and there becomes irregular fractal-like growth morphology. Furthermore, the single crystal shape develops into polycrystalline when the noise amplitude is large enough. When the dimensionless undercooling is less than - 0.3, the noise has a significant effect on the growth shape. In addition, the growth velocity of crystal near to liquid phase line is slow, while the shape far away from the liquid adapts to fast growth. Based on the simulation results, the method was proved to be effective, and it can easily obtain different crystal shapes by choosing the different points in 2D phase diagram.

  11. Student Augmentation for Crystal Growth Research

    National Research Council Canada - National Science Library

    Prasad, V

    1999-01-01

    ... intelligent modeling, design and control of crystal growth processes. One doctoral student worked on integrating the radiation heat transfer model into MASTRAPP, the crystal growth model developed by the Consortium for Crystal Growth Research...

  12. Studying the InAs quantum points on the vicinal surface of a GaAs crystal by the atomic force microscopy

    CERN Document Server

    Evtikhiev, V P; Kotelnikov, E Y; Matveentsev, A V; Titkov, A N; Shkolnik, A S

    2002-01-01

    The methodology for processing the images, obtained through the atomic force microscopy, is proposed. It is shown by the concrete example, how the parameters of the InAs clusters on the vicinal surface of the GaAs crystal are determined. This makes it possible to calculate the energy levels of the electrons and holes in the quantum point with application of the previously developed cluster spherical model

  13. Introduction to crystal growth and characterization

    CERN Document Server

    Benz, Klaus-Werner

    2014-01-01

    This new textbook provides for the first time a comprehensive treatment of the basics of contemporary crystallography and crystal growth in a single volume. The reader will be familiarized with the concepts for the description of morphological and structural symmetry of crystals. The architecture of crystal structures of selected inorganic and molecular crystals is illustrated. The main crystallographic databases as data sources of crystal structures are described. Nucleation processes, their kinetics and main growth mechanism will be introduced in fundamentals of crystal growth. Some phase d

  14. Ga-assisted catalyst-free growth mechanism of GaAs nanowires by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Colombo, C.; Spirkoska, D.; Frimmer, M.; Abstreiter, G.; Fontcuberta i Morral, A.

    2008-01-01

    The mechanisms of Ga-assisted GaAs nanowires grown by molecular beam epitaxy are addressed. The axial and radial growth rates as a function of the Ga rate and As pressure indicate that on the opposite of what is observed in thin film epitaxy, the growth rate of the nanowires is arsenic limited. As a consequence, the axial growth rate of the wires can be controlled by the As 4 pressure. Additionally, due to the small As 4 pressure leading to nanowire growth, the deposition on the facets is very slow, leading to a much lower radial growth rate. Finally, we present a model that is able to accurately describe the presented observations and predicts a maximum length of nontapered nanowires of 40 μm

  15. Study of molecular-beam epitaxy growth on patterned GaAs (311)A substrates with different mesa height

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Schönherr, H.-P.; Ploog, K.

    2000-01-01

    We report on the evolution of the growth front during molecular-beam epitaxy on GaAs (3 1 1)A substrates stripe patterned along the [ ] direction as a function of the mesa height. During growth (1 0 0) and (2 1 1)A facets are formed and expand at the corners near the two opposite lying ( )A and (1 1

  16. Effects of impurities on crystal growth in fructose crystallization

    Science.gov (United States)

    Chu, Y. D.; Shiau, L. D.; Berglund, K. A.

    1989-10-01

    The influence of impurities on the crystallization of anhydrous fructose from aqueous solution was studied. The growth kinetics of fructose crystals in the fructose-water-glucose and fructose-water-difructose dianhydrides systems were investigated using photomicroscopic contact nucleation techniques. Glucose is the major impurity likely to be present in fructose syrup formed during corn wet milling, while several difructose dianhydrides are formed in situ under crystallization conditions and have been proposed as a cause in the decrease of overall yields. Both sets of impurities were found to cause inhibition of crystal growth, but the mechanisms responsible in each case are different. It was found that the presence of glucose increases the solubility of fructose in water and thus lowers the supersaturation of the solution. This is probably the main effect responsible for the decrease of crystal growth. Since the molecular structures of difructose dianhydrides are similar to that of fructose, they are probably "tailor-made" impurities. The decrease of crystal growth is probably caused by the incorporation of these impurities into or adsorption to the crystal surface which would accept fructose molecules in the orientation that existed in the difructose dianhydride.

  17. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  18. Annealing-induced Fe oxide nanostructures on GaAs

    OpenAIRE

    Lu, Y X; Ahmad, E; Xu, Y B; Thompson, S M

    2005-01-01

    We report the evolution of Fe oxide nanostructures on GaAs(100) upon pre- and post-growth annealing conditions. GaAs nanoscale pyramids were formed on the GaAs surface due to wet etching and thermal annealing. An 8.0-nm epitaxial Fe film was grown, oxidized, and annealed using a gradient temperature method. During the process the nanostripes were formed, and the evolution has been demonstrated using transmission and reflection high energy electron diffraction, and scanning electron microscopy...

  19. Preparation of GaAs photocathodes at low temperature

    International Nuclear Information System (INIS)

    Mulhollan, G.; Clendenin, J.; Tang, H.

    1996-10-01

    The preparation of an atomically clean surface is a necessary step in the formation of negative electron affinity (NEA) GaAs. Traditional methods to this end include cleaving, heat cleaning and epitaxial growth. Cleaving has the advantage of yielding a fresh surface after each cleave, but is limited to small areas and is not suitable for specialized structures. Heat cleaning is both simple and highly successful, so it is used as a preparation method in virtually all laboratories employing a NEA source on a regular basis. Due to its high cost and complexity, epitaxial growth of GaAs with subsequent in vacuo transfer is not a practical solution for most end users of GaAs as a NEA electron source. While simple, the heating cleaning process has a number of disadvantages. Here, a variety of cleaning techniques related to preparation of an atomically clean GaAs surface without heating to 600 C are discussed and evaluated

  20. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Lastras-Martínez, A., E-mail: alm@cactus.iico.uaslp.mx, E-mail: alastras@gmail.com; Ortega-Gallegos, J.; Guevara-Macías, L. E.; Nuñez-Olvera, O.; Balderas-Navarro, R. E.; Lastras-Martínez, L. F. [Instituto de Investigación en Comunicación Optica, Universidad Autónoma de San Luis Potosí, Alvaro Obregón 64, San Luis Potosí, SLP 78000 (Mexico); Lastras-Montaño, L. A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Lastras-Montaño, M. A. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, Santa Barbara, California 93106 (United States)

    2014-03-01

    We report on real time-resolved Reflectance-difference (RD) spectroscopy of GaAs(001) grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  1. Controllable growth and optical properties of InP and InP/InAs nanostructures on the sidewalls of GaAs nanowires

    International Nuclear Information System (INIS)

    Yan, Xin; Zhang, Xia; Li, Junshuai; Cui, Jiangong; Ren, Xiaomin

    2014-01-01

    The growth and optical properties of InP and InP/InAs nanostructures on GaAs nanowires are investigated. InP quantum well and quantum dots (QDs) are formed on the sidewalls of GaAs nanowires successively with increasing the deposition time of InP. The GaAs/InP nanowire heterostructure exhibits a type-II band alignment. The wavelength of the InP quantum well is in the range of 857–892 nm at 77 K, which means that the quantum well is nearly fully strained. The InP quantum dot, which has a bow-shaped cross section, exhibits dislocation-free pure zinc blende structure. Stranski-Krastanow InAs quantum dots are subsequently formed on the GaAs/InP nanowire core-shell structure. The InAs quantum dots are distributed over the middle part of the nanowire, indicating that the In atoms contributing to the quantum dots mainly come from the vapor rather than the substrate. The longest emission wavelength obtained from the InAs QDs is 1039 nm at 77 K. The linewidth is as narrow as 46.3 meV, which is much narrower than those on planar InP substrates and wurtzite InP nanowires, suggesting high-crystal-quality, phase-purity, and size-uniformity of quantum dots

  2. Interface structure and composition of MoO3/GaAs(0 0 1)

    Science.gov (United States)

    Sarkar, Anirban; Ashraf, Tanveer; Grafeneder, Wolfgang; Koch, Reinhold

    2018-04-01

    We studied growth, structure, stress, oxidation state as well as surface and interface structure and composition of thermally-evaporated thin MoO3 films on the technologically important III/V-semiconductor substrate GaAs(0 0 1). The MoO3 films grow with Mo in the 6+  oxidation state. The electrical resistance is tunable by the oxygen partial pressure during deposition from transparent insulating to semi-transparant halfmetallic. In the investigated growth temperature range (room temperature to 200 °C) no diffraction spots are detected by x-ray diffraction. However, high resolution transmission electron microscopy reveals the formation of MoO3 nanocrystal grains with diameters of 5–8 nm. At the interface a  ≈3 nm-thick intermediate layer has formed, where the single-crystal lattice of GaAs gradually transforms to the nanocrystalline MoO3 structure. This interpretation is corroborated by our in situ and real-time stress measurements evidencing a two-stage growth process as well as by elemental interface analysis revealing coexistance of Ga, As, Mo, and oxygen in a intermediate layer of 3–4 nm.

  3. MBE growth and characterization of GaAs1-x Sb x epitaxial layers on Si (0 0 1) substrates

    International Nuclear Information System (INIS)

    Toda, T.; Nishino, F.; Kato, A.; Kambayashi, T.; Jinbo, Y.; Uchitomi, N.

    2006-01-01

    We investigated the growth of GaAs 1- x Sb x (x=1.0, 0.82, 0.69, 0.44, 0.0) layers on Si (0 0 1) substrates using AlSb as a buffer layer. Epilayers were grown as a function of As beam equivalent pressure (BEP) under a constant Sb BEP, and they were then characterized by atomic force microscopy (AFM), X-ray diffraction (XRD), and micro-Raman scattering analysis. We confirmed that GaAs 1- x Sb x layers have been successfully grown on Si substrates by introducing AlSb layers

  4. Model experiments on growth modes and interface electronics of CuInS{sub 2}: Ultrathin epitaxial films on GaAs(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Calvet, Wolfram [Institute for Heterogeneous Materials Systems, Helmholtz-Zentrum Berlin, Hahn-Meitner-Platz 1, 14109, Berlin (Germany); Lewerenz, Hans-Joachim [Joint Center for Artificial Photosynthesis, California Institute of Technology, 1200 E. California Blvd., Pasadena, CA, 91101 (United States); Pettenkofer, Christian [Institute Silicon Photovoltaics, Helmholtz-Zentrum Berlin, Kekulestrasse 5, 12489, Berlin (Germany)

    2014-09-15

    The heterojunction formation between GaAs(100) and CuInS{sub 2} is investigated using ultraviolet photoelectron spectroscopy (UPS), X-ray photoelectron spectroscopy (XPS), and low energy electron diffraction (LEED). Thin layers of CuInS{sub 2} films were deposited in a step-by-step process on wet chemically pre-treated GaAs(100) surfaces by molecular beam epitaxy (MBE) with a total upper thickness limit of the films of 60 nm. The film growth starts from a sulfur-rich GaAs(100) surface. XPS core level analysis of the substrate and film reveals initially a transitory growth regime with the formation of a Ga containing chalcopyrite phase. With increasing film thickness, a change in stoichiometry from Cu-poor to Cu-rich composition is observed. The evaluation of the LEED data shows the occurrence of a recrystallization process where the film orientation follows that of the substrate with the epitaxial relation GaAs{100} parallel CuInS{sub 2}{001}. On the completed junction with a CuInS{sub 2} film thickness of 60 nm, the band discontinuities of the GaAs(100)/CuInS{sub 2} structure measured with XPS and UPS were determined as ΔE{sub V} = 0.1 ± 0.1 eV and ΔE{sub C} = 0.0 ± 0.1 eV, thus showing a type II band alignment. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Directory of Open Access Journals (Sweden)

    A. Lastras-Martínez

    2014-03-01

    Full Text Available We report on real time-resolved Reflectance-difference (RD spectroscopy of GaAs(001 grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  6. A model for arsenic anti-site incorporation in GaAs grown by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schulte, K. L.; Kuech, T. F. [Department of Chemical and Biological Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2014-12-28

    GaAs growth by hydride vapor phase epitaxy (HVPE) has regained interest as a potential route to low cost, high efficiency thin film photovoltaics. In order to attain the highest efficiencies, deep level defect incorporation in these materials must be understood and controlled. The arsenic anti-site defect, As{sub Ga} or EL2, is the predominant deep level defect in HVPE-grown GaAs. In the present study, the relationships between HVPE growth conditions and incorporation of EL2 in GaAs epilayers were determined. Epitaxial n-GaAs layers were grown under a wide range of deposition temperatures (T{sub D}) and gallium chloride partial pressures (P{sub GaCl}), and the EL2 concentration, [EL2], was determined by deep level transient spectroscopy. [EL2] agreed with equilibrium thermodynamic predictions in layers grown under conditions in which the growth rate, R{sub G}, was controlled by conditions near thermodynamic equilibrium. [EL2] fell below equilibrium levels when R{sub G} was controlled by surface kinetic processes, with the disparity increasing as R{sub G} decreased. The surface chemical composition during growth was determined to have a strong influence on EL2 incorporation. Under thermodynamically limited growth conditions, e.g., high T{sub D} and/or low P{sub GaCl}, the surface vacancy concentration was high and the bulk crystal was close to equilibrium with the vapor phase. Under kinetically limited growth conditions, e.g., low T{sub D} and/or high P{sub GaCl}, the surface attained a high GaCl coverage, blocking As adsorption. This competitive adsorption process reduced the growth rate and also limited the amount of arsenic that incorporated as As{sub Ga}. A defect incorporation model which accounted for the surface concentration of arsenic as a function of the growth conditions, was developed. This model was used to identify optimal growth parameters for the growth of thin films for photovoltaics, conditions in which a high growth rate and low [EL2] could be

  7. Nitridation of porous GaAs by an ECR ammonia plasma

    International Nuclear Information System (INIS)

    Naddaf, M; Hullavarad, S S; Ganesan, V; Bhoraskar, S V

    2006-01-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy

  8. Nitridation of porous GaAs by an ECR ammonia plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Department of Physics, Atomic Energy Commission of Syria, PO Box 6091, Damascus (Syrian Arab Republic); Hullavarad, S S [Center for Superconductivity Research, Department of Physics, University of Maryland, College Park, MD 20742 (United States); Ganesan, V [Inter University Consortium, Indore (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2006-02-15

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  9. Nitridation of porous GaAs by an ECR ammonia plasma

    Science.gov (United States)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  10. Photo-irradiation effects on GaAs atomic layer epitaxial growth. GaAs no genshiso epitaxial seicho ni okeru hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y.; Sasaki, M.; Ishikawa, H. (Toshiba Corp., Kawasaki (Japan). Research and Development Center)

    1990-08-10

    Single atomic layer epitaxy (ALE) aims at controlling a growing film at a precision of single molecular layer. In this article, it is reported that the growth temperature range of ALE was expanded by the vertical irradiation of KrF exima laser (248 nm) onto the substrate for the ALE growth of GaAs using the metalorganic chemical vapor deposition (MOCVD) method. Thanks for the results of the above experiment, it was demonstrated that the irradiation effect was not thermal, but photochemical. In addition, this article studies the possibility of adsorption layer irradiation and surface irradiation as the photo-irradiation mechanism, and points out that coexistence of both irradiation mechanisms can be considered and, in case of exima laser, strong possibility of direct irradiation of the adsorption layer because of its high power density. Hereinafter, by using both optical growth ALE and thermal growth ALE jointly, the degree of freedom of combination of hetero ALE increases and its application to various material systems becomes possible. 16 refs., 6 figs.

  11. Protein crystal growth in low gravity

    Science.gov (United States)

    Feigelson, Robert S.

    1993-01-01

    This Final Technical Report for NASA Grant NAG8-774 covers the period from April 27, 1989 through December 31, 1992. It covers five main topics: fluid flow studies, the influence of growth conditions on the morphology of isocitrate lyase crystals, control of nucleation, the growth of lysozyme by the temperature gradient method and graphoepitaxy of protein crystals. The section on fluid flow discusses the limits of detectability in the Schlieren imaging of fluid flows around protein crystals. The isocitrate lyase study compares crystals grown terrestrially under a variety of conditions with those grown in space. The controlling factor governing the morphology of the crystals is the supersaturation. The lack of flow in the interface between the drop and the atmosphere in microgravity causes protein precipitation in the boundary layer and a lowering of the supersaturation in the drop. This lowered supersaturation leads to improved crystal morphology. Preliminary experiments with lysozyme indicated that localized temperature gradients could be used to nucleate crystals in a controlled manner. An apparatus (thermonucleator) was designed to study the controlled nucleation of protein crystals. This apparatus has been used to nucleate crystals of materials with both normal (ice-water, Rochelle salt and lysozyme) and retrograde (horse serum albumin and alpha chymotrypsinogen A) solubility. These studies have lead to the design of an new apparatus that small and more compatible with use in microgravity. Lysozyme crystals were grown by transporting nutrient from a source (lysozyme powder) to the crystal in a temperature gradient. The influence of path length and cross section on the growth rate was demonstrated. This technique can be combined with the thermonucleator to control both nucleation and growth. Graphoepitaxy utilizes a patterned substrate to orient growing crystals. In this study, silicon substrates with 10 micron grooves were used to grow crystals of catalase

  12. GaAs on Si epitaxy by aspect ratio trapping: Analysis and reduction of defects propagating along the trench direction

    Energy Technology Data Exchange (ETDEWEB)

    Orzali, Tommaso, E-mail: tommaso.orzali@sematech.org; Vert, Alexey; O' Brien, Brendan; Papa Rao, Satyavolu S. [SEMATECH, 257 Fuller Rd Suite 2200, Albany, New York 12203 (United States); Herman, Joshua L.; Vivekanand, Saikumar [College of Nanoscale Science and Engineering, SUNY Polytechnic Institute, 251 Fuller Road, Albany, New York 12203 (United States); Hill, Richard J. W. [Now at Micron Technologies, 8000 S Federal Way, Boise, Idaho 83716 (United States); Karim, Zia [AIXTRON, Inc., 1139 Karlstad Dr., Sunnyvale, California 94089 (United States)

    2015-09-14

    The Aspect Ratio Trapping technique has been extensively evaluated for improving the quality of III-V heteroepitaxial films grown on Si, due to the potential for terminating defects at the sidewalls of SiO{sub 2} patterned trenches that enclose the growth region. However, defects propagating along the trench direction cannot be effectively confined with this technique. We studied the effect of the trench bottom geometry on the density of defects of GaAs fins, grown by metal-organic chemical vapor deposition on 300 mm Si (001) wafers inside narrow (<90 nm wide) trenches. Plan view and cross sectional Scanning Electron Microscopy and Transmission Electron Microscopy, together with High Resolution X-Ray Diffraction, were used to evaluate the crystal quality of GaAs. The prevalent defects that reach the top surface of GaAs fins are (111) twin planes propagating along the trench direction. The lowest density of twin planes, ∼8 × 10{sup 8 }cm{sup −2}, was achieved on “V” shaped bottom trenches, where GaAs nucleation occurs only on (111) Si planes, minimizing the interfacial energy and preventing the formation of antiphase boundaries.

  13. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  14. Diffusion of $^{52}$Mn in GaAs

    CERN Multimedia

    2002-01-01

    Following our previous diffusion studies performed with the modified radiotracer technique, we propose to determine the diffusion of Mn in GaAs under intrinsic conditions in a previously un-investigated temperature region. The aim of the presently proposed experiments is twofold. \\begin{itemize} \\item A quantitative study of Mn diffusion in GaAs at low Mn concentrations would be decisive in providing new information on the diffusion mechanism involved. \\item As Ga vacancies are expected to be involved in the Mn diffusion process it can be predicted that also the GaAs material growth technique most likely plays a role. To clarify this assumption diffusion experiments will be conducted for GaAs material grown by two different techniques. \\end{itemize} For such experiments we ask for two runs of 3 shifts (total of 6 shifts) with $^{52}$Mn$^{+}$ ion beam.

  15. X-ray investigation of the interface structure of free standing InAs nanowires grown on GaAs[ anti 1 anti 1 anti 1]{sub B}

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, Jens; Gottschalch, Volker; Wagner, Gerald [Universitaet Leipzig, Halbleiterchemie, Leipzig (Germany); Pietsch, Ullrich; Davydok, Anton; Biermanns, Andreas [Universitaet Siegen, Festkoerperphysik, Siegen (Germany); Grenzer, Joerg [Forschungszentrum Rossendorf, Institut fuer Ionenstrahlphysik und Materialforschung, Dresden (Germany)

    2009-09-15

    The heteroepitaxial growth process of InAs nanowires (NW) on GaAs[ anti 1 anti 1 anti 1]{sub B} substrate was investigated by X-ray grazing-incidence diffraction using synchrotron radiation. For crystal growth we applied the vapor-liquid-solid (VLS) growth mechanism via gold seeds. The general sample structure was extracted from various electron microscopic and X-ray diffraction experiments. We found a closed Ga{sub x}In{sub 1-x} As graduated alloy layer at the substrate to NW interface which was formed in the initial stage of VLS growth with a Au-Ga-In liquid alloy. With ongoing growth time a transition from this VLS layer growth to the conventional VLS NW growth was observed. The structural properties of both VLS grown crystal types were examined. Furthermore, we discuss the VLS layer growth process. (orig.)

  16. Innovation in crystal growth: A personal perspective

    Science.gov (United States)

    Mullin, J. B.

    2008-04-01

    The evolution of crystal growth has been crucially dependent on revolutionary innovations and initiatives involving ideas, technology and communication. A personal perspective is presented on some of these aspects in connection with the early history of semiconductors that have helped evolve our knowledge and advance the science and technology of crystal growth. The presentation considers examples from work on germanium, silicon, indium antimonide, gallium arsenide, indium phosphide, gallium phosphide and mercury cadmium telluride. In connection with metal organic vapour phase epitaxy (MOVPE), the influence of adduct purification for alkyls is noted together with the growth of Hg xCd 1-xTe. The role of crystal growth organisations together with initiatives in the publication of the Journal of Crystal Growth (JCG) and the pivotal role of the International Organisation of Crystal Growth (IOCG) are also highlighted in the quest for scientific excellence.

  17. Protein-crystal growth experiment (planned)

    Science.gov (United States)

    Fujita, S.; Asano, K.; Hashitani, T.; Kitakohji, T.; Nemoto, H.; Kitamura, S.

    1988-01-01

    To evaluate the effectiveness of a microgravity environment on protein crystal growth, a system was developed using 5 cubic feet Get Away Special payload canister. In the experiment, protein (myoglobin) will be simultaneously crystallized from an aqueous solution in 16 crystallization units using three types of crystallization methods, i.e., batch, vapor diffusion, and free interface diffusion. Each unit has two compartments: one for the protein solution and the other for the ammonium sulfate solution. Compartments are separated by thick acrylic or thin stainless steel plates. Crystallization will be started by sliding out the plates, then will be periodically recorded up to 120 hours by a still camera. The temperature will be passively controlled by a phase transition thermal storage component and recorded in IC memory throughout the experiment. Microgravity environment can then be evaluated for protein crystal growth by comparing crystallization in space with that on Earth.

  18. Hydrothermal Growth of Polyscale Crystals

    Science.gov (United States)

    Byrappa, Kullaiah

    In this chapter, the importance of the hydrothermal technique for growth of polyscale crystals is discussed with reference to its efficiency in synthesizing high-quality crystals of various sizes for modern technological applications. The historical development of the hydrothermal technique is briefly discussed, to show its evolution over time. Also some of the important types of apparatus used in routine hydrothermal research, including the continuous production of nanosize crystals, are discussed. The latest trends in the hydrothermal growth of crystals, such as thermodynamic modeling and understanding of the solution chemistry, are elucidated with appropriate examples. The growth of some selected bulk, fine, and nanosized crystals of current technological significance, such as quartz, aluminum and gallium berlinites, calcite, gemstones, rare-earth vanadates, electroceramic titanates, and carbon polymorphs, is discussed in detail. Future trends in the hydrothermal technique, required to meet the challenges of fast-growing demand for materials in various technological fields, are described. At the end of this chapter, an Appendix 18.A containing a more or less complete list of the characteristic families of crystals synthesized by the hydrothermal technique is given with the solvent and pressure-temperature (PT) conditions used in their synthesis.

  19. Connection between the growth rate distribution and the size dependent crystal growth

    Science.gov (United States)

    Mitrović, M. M.; Žekić, A. A.; IIić, Z. Z.

    2002-07-01

    The results of investigations of the connection between the growth rate dispersions and the size dependent crystal growth of potassium dihydrogen phosphate (KDP), Rochelle salt (RS) and sodium chlorate (SC) are presented. A possible way out of the existing confusion in the size dependent crystal growth investigations is suggested. It is shown that the size independent growth exists if the crystals belonging to one growth rate distribution maximum are considered separately. The investigations suggest possible reason for the observed distribution maxima widths, and the high data scattering on the growth rate versus the crystal size dependence.

  20. GaAs nanocrystals: Structure and vibrational properties

    International Nuclear Information System (INIS)

    Nayak, J.; Sahu, S.N.; Nozaki, S.

    2006-01-01

    GaAs nanocrystals were grown on indium tin oxide substrate by an electrodeposition technique. Atomic force microscopic measurement indicates an increase in the size of the nanocrystal with decrease in the electrolysis current density accompanied by the change in the shape of the crystallite. Transmission electron microscopic measurements identify the crystallite sizes to be in the range of 10-15 nm and the crystal structure to be orthorhombic. On account of the quantum size effect, the first optical transition was blue shifted with respect to the band gap of the bulk GaAs and the excitonic peak appeared prominent. A localized phonon mode ascribed to certain point defect occurred in the room temperature micro-Raman spectrum

  1. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    Science.gov (United States)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  2. Beginner’s guide to flux crystal growth

    CERN Document Server

    Tachibana, Makoto

    2017-01-01

    This book introduces the principles and techniques of crystal growth by the flux method, which is arguably the most useful way to obtain millimeter- to centimeter-sized single crystals for physical research. As it is possible to find an appropriate solvent (“flux”) for nearly all inorganic materials, the flux method can be applied to the growth of many crystals ranging from transition metal oxides to intermetallic compounds. Both important principles and experimental procedures are described in a clear and accessible manner. Practical advice on various aspects of the experiment, which is not readily available in the literature, will assist the beginning graduate students in setting up the lab and conducting successful crystal growth. The mechanisms of crystal growth at an elementary level are also provided to better understand the techniques and to help in assessing the quality of the crystals. The book also contains many photographs of beautiful crystals with important physical properties of current inte...

  3. Growth of InAs/InGaAs nanowires on GaAs(111)B substrates

    Energy Technology Data Exchange (ETDEWEB)

    Scholz, Sven; Schott, Ruediger; Ludwig, Arne; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany); Reuter, Dirk [Arbeitsgruppe fuer optoelektronische Materialien und Bauelemente, Universitaet Paderborn (Germany)

    2013-07-01

    To investigate the structure and behavior of individual 1D-quantum structures, so called nanowires, we have grown single localized Au seeded InAs/InGaAs nanowires on GaAs(111)B substrate by molecular beam epitaxy. The Au-seeds are implanted by focused ion beam (FIB) technology. We developed a AuGa-LMIS to avoid the beam spread induced by using a Wien-Filter, which allows us to reduce the spot size of the focused ion beam and as consequence the number of implanted ions necessary to seed a wire. At present the growth of InAs nanowires is not fully understood and we have been working on optimizing the process. We identified an optimal growth temperature and arsenic to indium ratio for nanowire growth. Further investigations also aim at analyzing the influence of the growth rates and growth directions. We studied the morphology of the nanowires by SEM imaging and the optical properties with photoluminescence spectroscopy.

  4. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    International Nuclear Information System (INIS)

    Belay, K.B.; Ridgway, M.C.; Llewellyn, D.J.

    1996-01-01

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs

  5. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Belay, K.B.; Ridgway, M.C.; Llewellyn, D.J. [Australian National Univ., Canberra, ACT (Australia). Dept. of Physics

    1996-12-31

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs.

  6. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Belay, K B; Ridgway, M C; Llewellyn, D J [Australian National Univ., Canberra, ACT (Australia). Dept. of Physics

    1997-12-31

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs.

  7. Nuclear spin warm up in bulk n -GaAs

    Science.gov (United States)

    Kotur, M.; Dzhioev, R. I.; Vladimirova, M.; Jouault, B.; Korenev, V. L.; Kavokin, K. V.

    2016-08-01

    We show that the spin-lattice relaxation in n -type insulating GaAs is dramatically accelerated at low magnetic fields. The origin of this effect, which cannot be explained in terms of well-known diffusion-limited hyperfine relaxation, is found in the quadrupole relaxation, induced by fluctuating donor charges. Therefore, quadrupole relaxation, which governs low field nuclear spin relaxation in semiconductor quantum dots, but was so far supposed to be harmless to bulk nuclei spins in the absence of optical pumping, can be studied and harnessed in the much simpler model environment of n -GaAs bulk crystal.

  8. DKDP crystal growth controlled by cooling rate

    Science.gov (United States)

    Xie, Xiaoyi; Qi, Hongji; Shao, Jianda

    2017-08-01

    The performance of deuterated potassium dihydrogen phosphate (DKDP) crystal directly affects beam quality, energy and conversion efficiency in the Inertial Confinement Fusion(ICF)facility, which is related with the initial saturation temperature of solution and the real-time supersaturation during the crystal growth. However, traditional method to measure the saturation temperature is neither efficient nor accurate enough. Besides, the supersaturation is often controlled by experience, which yields the higher error and leads to the instability during the crystal growth. In this paper, DKDP solution with 78% deuteration concentration is crystallized in different temperatures. We study the relation between solubility and temperature of DKDP and fit a theoretical curve with a parabola model. With the model, the measurement of saturation temperature is simplified and the control precision of the cooling rate is improved during the crystal growth, which is beneficial for optimizing the crystal growth process.

  9. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  10. Advances in the understanding of crystal growth mechanisms

    CERN Document Server

    Nishinaga, T; Harada, J; Sasaki, A; Takei, H

    1997-01-01

    This book contains the results of a research project entitled Crystal Growth Mechanisms on an Atomic Scale, which was carried out for 3 years by some 72 reseachers. Until recently in Japan, only the technological aspects of crystal growth have been emphasized and attention was paid only to its importance in industry. However the scientific aspects also need to be considered so that the technology of crystal growth can be developed even further. This project therefore aimed at understanding crystal growth and the emphasis was on finding growth mechanisms on an atomic scale.

  11. Dendritic growth forms of borax crystals

    International Nuclear Information System (INIS)

    Takoo, R.K.; Patel, B.R.; Joshi, M.S.

    1983-01-01

    A variety of dendritic forms of borax grown from solutions by the film formation method is given. The changing growth morphology is followed as a function of concentration and temperature. The initial, intermediate and final growth morphologies are described and discussed. Influence of evaporation rate and supersaturation on the mechanism of growth is assessed. It is suggested that under all crystallization conditions, borax crystals have dendritic form in the initial stages of growth. (author)

  12. Crystal growth from low-temperature solutions

    International Nuclear Information System (INIS)

    Sangwal, K.

    1994-01-01

    The state of the art in crystal growth from solutions at low-temperatures has been done. The thermodynamic and kinetic parameters have been discussed in respect to different systems. The methods of crystal growth from water and organic solutions and different variants of their technical realizations have been reviewed. Also the growth by chemical reactions and gel growth have been described. The large number of examples have been shown. 21 refs, 30 figs, 3 tabs

  13. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    International Nuclear Information System (INIS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-01-01

    Molecular-Beam Epitaxy growth of multiple In 0.4 Ga 0.6 As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4 Ga 0.6 As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4 Ga 0.6 As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  14. Measurements of Protein Crystal Face Growth Rates

    Science.gov (United States)

    Gorti, S.

    2014-01-01

    Protein crystal growth rates will be determined for several hyperthermophile proteins.; The growth rates will be assessed using available theoretical models, including kinetic roughening.; If/when kinetic roughening supersaturations are established, determinations of protein crystal quality over a range of supersaturations will also be assessed.; The results of our ground based effort may well address the existence of a correlation between fundamental growth mechanisms and protein crystal quality.

  15. Patterned solid state growth of barium titanate crystals

    Science.gov (United States)

    Ugorek, Michael Stephen

    An understanding of microstructure evolution in ceramic materials, including single crystal development and abnormal/enhanced grain growth should enable more controlled final ceramic element structures. In this study, two different approaches were used to control single crystal development in a patterned array. These two methods are: (1) patterned solid state growth in BaTiO 3 ceramics, and (2) metal-mediated single crystal growth in BaTiO 3. With the patterned solid state growth technique, optical photolithography was used to pattern dopants as well as [001] and [110] BaTiO3 single crystal template arrays with a 1000 microm line pattern array with 1000 microm spacings. These patterns were subsequently used to control the matrix grain growth evolution and single crystal development in BaTiO3. It was shown that the growth kinetics can be controlled by a small initial grain size, atmosphere conditions, and the introduction of a dopant at selective areas/interfaces. By using a PO2 of 1x10-5 atm during high temperature heat treatment, the matrix coarsening has been limited (to roughly 2 times the initial grain size), while retaining single crystal boundary motion up to 0.5 mm during growth for dwell times up to 9 h at 1300°C. The longitudinal and lateral growth rates were optimized at 10--15 microm/h at 1300°C in a PO2 of 1x10 -5 atm for single crystal growth with limited matrix coarsening. Using these conditions, a patterned microstructure in BaTiO3 was obtained. With the metal-mediated single crystal growth technique, a novel approach for fabricating 2-2 single crystal/polymer composites with a kerf texture development were studied using both [001] and [110] BaTiO3 single crystals templates. By using a PO 2 of 1x10-11 atm during high temperature heat treatment, matrix coarsening was limited while enabling single crystal boundary motion up to 0.35 mm during growth between 1250°C and 1300°C with growth rates ˜ 3--4 microm/h for both single crystal orientations. By

  16. X-ray diffraction from single GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas

    2012-11-12

    In recent years, developments in X-ray focussing optics have allowed to produce highly intense, coherent X-ray beams with spot sizes in the range of 100 nm and below. Together with the development of new experimental stations, X-ray diffraction techniques can now be applied to study single nanometer-sized objects. In the present work, X-ray diffraction is applied to study different aspects of the epitaxial growth of GaAs nanowires. Besides conventional diffraction methods, which employ X-ray beams with dimensions of several tens of {mu}m, special emphasis lies on the use of nanodiffraction methods which allow to study single nanowires in their as-grown state without further preparation. In particular, coherent X-ray diffraction is applied to measure simultaneously the 3-dimensional shape and lattice parameters of GaAs nanowires grown by metal-organic vapor phase epitaxy. It is observed that due to a high density of zinc-blende rotational twins within the nanowires, their lattice parameter deviates systematically from the bulk zinc-blende phase. In a second step, the initial stage in the growth of GaAs nanowires on Si (1 1 1) surfaces is studied. This nanowires, obtained by Ga-assisted growth in molecular beam epitaxy, grow predominantly in the cubic zinc-blende structure, but contain inclusions of the hexagonal wurtzite phase close to their bottom interface. Using nanodiffraction methods, the position of the different structural units along the growth axis is determined. Because the GaAs lattice is 4% larger than silicon, these nanowires release their lattice mismatch by the inclusion of dislocations at the interface. Whereas NWs with diameters below 50 nm are free of strain, a rough interface structure in nanowires with diameters above 100 nm prevents a complete plastic relaxation, leading to a residual strain at the interface that decays elastically along the growth direction. Finally, measurements on GaAs-core/InAs-shell nanowire heterostructures are presented

  17. On the growth of ammonium nitrate(III) crystals

    NARCIS (Netherlands)

    Vogels, L.J.P.; Marsman, H.A.M.; Verheijen, M.A.; Bennema, P.; Elwenspoek, Michael Curt

    The growth rate of NH4NO3 phase III crystals is measured and interpreted using two models. The first is a standard crystal growth model based on a spiral growth mechanism, the second outlines the concept of kinetical roughening. As the crystal becomes rough a critical supersaturation can be

  18. Molecular-beam epitaxy on shallow mesa gratings patterned on GaAs(311)A and (100) substrates

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Schönherr, H.-P.; Ploog, K.H.

    2002-01-01

    We report on the morphology and properties of the surface formed by molecular-beam epitaxy on shallow mesa gratings on patterned GaAs(311)A and GaAs(100). On GaAs(311)A substrates, the corrugated surface formed after GaAs growth on shallow mesa gratings along [011] is composed of monolayer high

  19. Fiscal 1974 Sunshine Project result report. R and D on photovoltaic power generation system (R and D on Si thin film crystal by particle acceleration growth); 1974 nendo taiyoko hatsuden system no kenkyu kaihatsu seika hokokusho. Ryushi kasoku seichogata silicon usumaku kessho no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1975-05-30

    This research includes (1) basic study on formation technology of Si thin film crystals by particle acceleration growth, (2) basic study on junction formation technology for Si thin film crystals, and (3) basic study on GaAs compound semiconductors by pyrolysis method. In the 1st research, the thin film formation equipment was prepared for formation of charged Si particles from monosilane and solid Si in inert gas plasma by high-frequency excitation, and its expected characteristics were confirmed through its operation test. Basic data of Si thin films were also obtained by electron beam diffraction and Auger electron spectroscopy. In the 2nd research, study was made on junction formation systems for thin films, and the idea of a continuous thin film formation system was obtained for junction formation. In the 3rd research, development of p-type GaAs epitaxial technology was promoted, and it was confirmed that various p-type GaAs compound semiconductors of 10{sup 12}-10{sup 18}cm{sup -3} in impurity concentration are obtained by controlling a ratio of trimetylgallium gas to arsine gas. (NEDO)

  20. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    Science.gov (United States)

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  1. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  2. Controlled growth of filamentary crystals and fabrication of single-crystal whisker probes

    International Nuclear Information System (INIS)

    Givargizov, E. I.

    2006-01-01

    The growth of filamentary crystals (whiskers) on a single-crystal substrate through the vapour-liquid-solid mechanism is described. The possibility of fabricating oriented systems of whiskers on the basis of this mechanism of crystal growth is noted. A phenomenon that is important for nanotechnology is noted: the existence of a critical diameter of whiskers, below which they are not formed. The phenomenon of radial periodic instability, which is characteristic of nanowhiskers, is described and the ways of its elimination are shown. The possibility of transforming whiskers into single-crystal tips and the growth of crystalline diamond particles at their apices are noted as important for practice. Possible applications of systems of whiskers and tips are described briefly. Particular attention is paid to the latest direction in whisker technology-fabrication of single-crystal whisker probes for atomic force microscopy

  3. Substrate and Mg doping effects in GaAs nanowires

    Directory of Open Access Journals (Sweden)

    Perumal Kannappan

    2017-10-01

    Full Text Available Mg doping of GaAs nanowires has been established as a viable alternative to Be doping in order to achieve p-type electrical conductivity. Although reports on the optical properties are available, few reports exist about the physical properties of intermediate-to-high Mg doping in GaAs nanowires grown by molecular beam epitaxy (MBE on GaAs(111B and Si(111 substrates. In this work, we address this topic and present further understanding on the fundamental aspects. As the Mg doping was increased, structural and optical investigations revealed: i a lower influence of the polytypic nature of the GaAs nanowires on their electronic structure; ii a considerable reduction of the density of vertical nanowires, which is almost null for growth on Si(111; iii the occurrence of a higher WZ phase fraction, in particular for growth on Si(111; iv an increase of the activation energy to release the less bound carrier in the radiative state from nanowires grown on GaAs(111B; and v a higher influence of defects on the activation of nonradiative de-excitation channels in the case of nanowires only grown on Si(111. Back-gate field effect transistors were fabricated with individual nanowires and the p-type electrical conductivity was measured with free hole concentration ranging from 2.7 × 1016 cm−3 to 1.4 × 1017 cm−3. The estimated electrical mobility was in the range ≈0.3–39 cm2/Vs and the dominant scattering mechanism is ascribed to the WZ/ZB interfaces. Electrical and optical measurements showed a lower influence of the polytypic structure of the nanowires on their electronic structure. The involvement of Mg in one of the radiative transitions observed for growth on the Si(111 substrate is suggested.

  4. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    Science.gov (United States)

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  5. Gallium arsenide single crystal solar cell structure and method of making

    Science.gov (United States)

    Stirn, Richard J. (Inventor)

    1983-01-01

    A production method and structure for a thin-film GaAs crystal for a solar cell on a single-crystal silicon substrate (10) comprising the steps of growing a single-crystal interlayer (12) of material having a closer match in lattice and thermal expansion with single-crystal GaAs than the single-crystal silicon of the substrate, and epitaxially growing a single-crystal film (14) on the interlayer. The material of the interlayer may be germanium or graded germanium-silicon alloy, with low germanium content at the silicon substrate interface, and high germanium content at the upper surface. The surface of the interface layer (12) is annealed for recrystallization by a pulsed beam of energy (laser or electron) prior to growing the interlayer. The solar cell structure may be grown as a single-crystal n.sup.+ /p shallow homojunction film or as a p/n or n/p junction film. A Ga(Al)AS heteroface film may be grown over the GaAs film.

  6. Method of Promoting Single Crystal Growth During Melt Growth of Semiconductors

    Science.gov (United States)

    Su, Ching-Hua (Inventor)

    2013-01-01

    The method of the invention promotes single crystal growth during fabrication of melt growth semiconductors. A growth ampoule and its tip have a semiconductor source material placed therein. The growth ampoule is placed in a first thermal environment that raises the temperature of the semiconductor source material to its liquidus temperature. The growth ampoule is then transitioned to a second thermal environment that causes the semiconductor source material in the growth ampoule's tip to attain a temperature that is below the semiconductor source material's solidus temperature. The growth ampoule so-transitioned is then mechanically perturbed to induce single crystal growth at the growth ampoule's tip.

  7. Iron sulfide crystal growth: a literature review

    International Nuclear Information System (INIS)

    Dewar, E.J.

    1977-04-01

    Iron pyrite (FeS 2 ) is often found on trays and in heat exchangers in Girdler-Sulfide (G.S.) plants used to extract D 2 O from fresh water. A critical review of the literature was made to find: (i) what is known about FeS 2 crystal growth; (ii) which techniques could be used to study FeS 2 crystal growth experimentally; (iii) potential chemical additives that could be used in trace amounts to poison FeS 2 crystals and reduce their growth rate in G.S. plants. (author)

  8. Crystal growth and computational materials science

    International Nuclear Information System (INIS)

    Jayakumar, S.; Ravindran, P.; Arun Kumar, R.; Sudarshan, C.

    2012-01-01

    The proceedings of the international conference on advanced materials discusses the advances being made in the area of single crystals, their preparation and device development from these crystals and details of the progress that is taking place in the computational field relating to materials science. Computational materials science makes use of advanced simulation tools and computer interfaces to develop a virtual platform which can provide a model for real-time experiments. This book includes selected papers in topics of crystal growth and computational materials science. We are confident that the new concepts and results presented will stimulate and enhance progress of research on crystal growth and computational materials science. Papers relevant to INIS are indexed separately

  9. Effects of growth conditions on thermal profiles during Czochralski silicon crystal growth

    Science.gov (United States)

    Choe, Kwang Su; Stefani, Jerry A.; Dettling, Theodore B.; Tien, John K.; Wallace, John P.

    1991-01-01

    An eddy current testing method was used to continuously monitor crystal growth process and investigate the effects of growth conditions on thermal profiles during Czochralski silicon crystal growth. The experimental concept was to monitor the intrinsic electrical conductivities of the growing crystal and deduce temperature values from them. In terms of the experiments, the effects of changes in growth parameters, which include the crystal and crucible rotation rates, crucible position, and pull rate, and hot-zone geometries were investigated. The results show that the crystal thermal profile could shift significantly as a function of crystal length if the closed-loop control fails to maintain a constant thermal condition. As a direct evidence to the effects of the melt flow on heat transfer processes, a thermal gradient minimum was observed when the crystal/crucible rotation combination was 20/-10 rpm cw. The thermal gradients in the crystal near the growth interface were reduced most by decreasing the pull rate or by reducing the radiant heat loss to the environment; a nearly constant axial thermal gradient was achieved when either the pull rate was decreased by half, the height of the exposed crucible wall was doubled, or a radiation shield was placed around the crystal. Under these conditions, the average axial thermal gradient along the surface of the crystal was about 4-5°C/mm. When compared to theoretical results found in literature, the axial profiles correlated well with the results of the models which included radiant interactions. However, the radial gradients estimated from three-frequency data were much higher than what were predicted by known theoretical models. This discrepancy seems to indicate that optical phenomenon within the crystal is significant and should be included in theoretical modeling.

  10. Nanoripple formation on GaAs (001) surface by reverse epitaxy during ion beam sputtering at elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Chowdhury, Debasree; Ghose, Debabrata, E-mail: debabrata1.ghose@gmail.com

    2016-11-01

    Highlights: • GaAs (001) surfaces are sputtered by 1 keV Ar{sup +} at sample temperature of 450 °C. • Highly ordered defect-free ripples develop at near-normal incidence angles (θ ≈ 0–25{sup 0}). • Concurrent sample rotation does not alter the ripple orientation with respect to the ion beam. • At grazing incidence angles anisotropic structure is formed. • Concurrent sample rotation shows that the structure orientation depends on the beam direction. - Abstract: Self-organized pattern formation by the process of reverse epitaxial growth has been investigated on GaAs (001) surfaces during 1 keV Ar{sup +} bombardment at target temperature of 450 °C for a wide range of incident angles. Highly ordered ripple formation driven by diffusion instability is evidenced at near normal incidence angles. Concurrent sample rotation shows that the ripple morphology and its orientation do not depend on the incident beam direction; rather they are determined by the symmetry of the crystal face.

  11. Development and melt growth of novel scintillating halide crystals

    Science.gov (United States)

    Yoshikawa, Akira; Yokota, Yuui; Shoji, Yasuhiro; Kral, Robert; Kamada, Kei; Kurosawa, Shunsuke; Ohashi, Yuji; Arakawa, Mototaka; Chani, Valery I.; Kochurikhin, Vladimir V.; Yamaji, Akihiro; Andrey, Medvedev; Nikl, Martin

    2017-12-01

    Melt growth of scintillating halide crystals is reviewed. The vertical Bridgman growth technique is still considered as very popular method that enables production of relatively large and commercially attractive crystals. On the other hand, the micro-pulling-down method is preferable when fabrication of small samples, sufficient for preliminary characterization of their optical and/or scintillation performance, is required. Moreover, bulk crystal growth is also available using the micro-pulling-down furnace. The examples of growths of various halide crystals by industrially friendly melt growth techniques including Czochralski and edge-defined film-fed growth methods are also discussed. Finally, traveling molten zone growth that in some degree corresponds to horizontal zone melting is briefly overviewed.

  12. Effect of e-beam dose on the fractional density of Au-catalyzed GaAs nanowire growth

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jeung Hun, E-mail: jeunghunpark@gmail.com [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States); Gambin, Vincent [Northrop Grumman Aerospace Systems, Redondo Beach, CA 90278 (United States); Kodambaka, Suneel, E-mail: kodambaka@ucla.edu [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2016-05-31

    Using Au/GaAs as a model system, the effect of initial catalyst patterning conditions on the growth of nanowire was studied. Resulting morphologies and fractional surface densities are determined as a function of e-beam dose, dot size, and inter-dot spacing using scanning and transmission electron microscopies. The majority of resulting nanowires grow randomly oriented with respect to the substrate. The nanowires are tapered with narrow tops, wider bases, and catalysts at the wire tips — characteristics of vapor–liquid–solid process. The base diameters of the wires are larger than the dot size, which is likely due to the non-catalyzed vapor–solid deposition along the sidewalls. The higher dose rate used in pattering leads to the formation of higher aspect ratio nanowires with narrower bases. The fractional surface density is found to increase linearly with the clearing dose and the critical dose for nanowire growth increases with decreasing catalyst pattern size and spacing. At a given dose, the fractional density increases with increasing Au dot size and with decreasing inter-dot spacing. Our results may provide new insights into the role of catalyst preparing conditions on the high density, wafer-scale growth of nanowires. - Highlights: • Initial Au catalyst layers are prepared using electron beam lithography. • GaAs nanowires are grown on GaAs(111)B using molecular beam epitaxy. • Effect of dose, size and spacing of Au dots on morphology and density is studied. • Density of nanowires is controlled by changing exposed dose on Au catalyst.

  13. Initial test of an rf gun with a GaAs cathode installed

    International Nuclear Information System (INIS)

    Aulenbacher, K.; Bossart, R.; Braun, H.

    1996-09-01

    The operation of an rf gun with a GaAs crystal installed as the cathode has been tested in anticipation of eventually producing a polarized electron beam for a future e + /e - collider using an rf photoinjector

  14. Femtosecond pulsed laser ablation of GaAs

    International Nuclear Information System (INIS)

    Trelenberg, T.W.; Dinh, L.N.; Saw, C.K.; Stuart, B.C.; Balooch, M.

    2004-01-01

    The properties of femtosecond-pulsed laser deposited GaAs nanoclusters were investigated. Nanoclusters of GaAs were produced by laser ablating a single crystal GaAs target in vacuum or in a buffer gas using a Ti-sapphire laser with a 150 fs minimum pulse length. For in-vacuum deposition, X-ray diffraction (XRD), scanning electron microscopy (SEM), and atomic force microscopy (AFM) revealed that the average cluster size was approximately 7 nm for laser pulse lengths between 150 fs and 25 ps. The average cluster size dropped to approximately 1.5 nm at a pulse length of 500 ps. It was also observed that film thickness decreased with increasing laser pulse length. A reflective coating, which accumulated on the laser admission window during ablation, reduced the amount of laser energy reaching the target for subsequent laser shots and developed more rapidly at longer pulse lengths. This observation indicates that non-stoichiometric (metallic) ablatants were produced more readily at longer pulse lengths. The angular distribution of ejected material about the target normal was well fitted to a bi-cosine distribution of cos 47 θ+ cos 4 θ for ablation in vacuum using 150 fs pulses. XPS and AES revealed that the vacuum-deposited films contained excess amorphous Ga or As in addition to the stoichiometric GaAs nanocrystals seen with XRD. However, films containing only the GaAs nanocrystals were produced when ablation was carried out in the presence of a buffer gas with a pressure in excess of 6.67 Pa. At buffer gas pressure on the order of 1 Torr, it was found that the stoichiometry of the ablated target was also preserved. These experiments indicate that both laser pulse length and buffer gas pressure play important roles in the formation of multi-element nanocrystals by laser ablation. The effects of gas pressure on the target's morphology and the size of the GaAs nanocrystals formed will also be discussed

  15. Czochralski growth of gallium indium antimonide alloy crystals

    Energy Technology Data Exchange (ETDEWEB)

    Tsaur, S.C.

    1998-02-01

    Attempts were made to grow alloy crystals of Ga{sub 1{minus}x}In{sub x}Sb by the conventional Czochralski process. A transparent furnace was used, with hydrogen purging through the chamber during crystal growth. Single crystal seeds up to about 2 to 5 mole% InSb were grown from seeds of 1 to 2 mole% InSb, which were grown from essentially pure GaSb seeds of the [111] direction. Single crystals were grown with InSb rising from about 2 to 6 mole% at the seed ends to about 14 to 23 mole% InSb at the finish ends. A floating-crucible technique that had been effective in reducing segregation in doped crystals, was used to reduce segregation in Czochralski growth of alloy crystals of Ga{sub 1{minus}x}In{sub x}Sb. Crystals close to the targeted composition of 1 mole% InSb were grown. However, difficulties were encountered in reaching higher targeted InSb concentrations. Crystals about 2 mole% were grown when 4 mole% was targeted. It was observed that mixing occurred between the melts rendering the compositions of the melts; and, hence, the resultant crystal unpredictable. The higher density of the growth melt than that of the replenishing melt could have triggered thermosolutal convection to cause such mixing. It was also observed that the floating crucible stuck to the outer crucible when the liquidus temperature of the replenishing melt was significantly higher than that of the growth melt. The homogeneous Ga{sub 1{minus}x}In{sub x}Sb single crystals were grown successfully by a pressure-differential technique. By separating a quartz tube into an upper chamber for crystal growth and a lower chamber for replenishing. The melts were connected by a capillary tube to suppress mixing between them. A constant pressure differential was maintained between the chambers to keep the growth melt up in the growth chamber. The method was first tested with a low temperature alloy Bi{sub 1{minus}x}Sb{sub x}. Single crystals of Ga{sub 1{minus}x}In{sub x}Sb were grown with uniform

  16. Method for solid state crystal growth

    Science.gov (United States)

    Nolas, George S.; Beekman, Matthew K.

    2013-04-09

    A novel method for high quality crystal growth of intermetallic clathrates is presented. The synthesis of high quality pure phase crystals has been complicated by the simultaneous formation of both clathrate type-I and clathrate type-II structures. It was found that selective, phase pure, single-crystal growth of type-I and type-II clathrates can be achieved by maintaining sufficient partial pressure of a chemical constituent during slow, controlled deprivation of the chemical constituent from the primary reactant. The chemical constituent is slowly removed from the primary reactant by the reaction of the chemical constituent vapor with a secondary reactant, spatially separated from the primary reactant, in a closed volume under uniaxial pressure and heat to form the single phase pure crystals.

  17. Growth of the (001) face of borax crystals

    OpenAIRE

    Suharso, Suharso

    2010-01-01

    he growth rates of borax crystals from aqueous solutions in the (001) direction at various relative supersaturations were measured using in situ cell optical microscopy method. The result shows that the growth mechanism of the (001) face of borax crystal at temperature of 20 °C is spiral growth mechanism.   Keywords: Growth mechanism, borax.

  18. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  19. Photographic appraisal of crystal lattice growth technique

    Directory of Open Access Journals (Sweden)

    Kapoor D

    2005-01-01

    Full Text Available Concept of creating mechanical retention for bonding through crystal growth has been successfully achieved in the present study. By using polyacrylic acid, sulphated with sulphuric acid as etchant, abundant crystal growth was demonstrated. Keeping in view the obvious benefits of crystal growth technique, the present SEM study was aimed to observe and compare the changes brought about by different etching agents (phosphoric acid, polyacrylic acid and polyacrylic acid sulphated and to evaluate their advantages and disadvantages in an attempt to reduce iatrogenic trauma caused due to surface enamel alteration. Control and experimental groups were made of 24 and 30 premolars, respectively, for scanning electron microscopic appraisal of normal unetched and etched enamel surface and fracture site and finished surface evaluation. When compared with conventional phosphoric acid and weaker polyacrylic acid, investigations indicated that crystal growth treatment on enamel surface caused minimal iatrogenic trauma and surface alteration were restored to the original untreated condition to a large extent.

  20. Growth of thermal oxide layers on GaAs and InP in the presence of ammonium heptamolybdate

    International Nuclear Information System (INIS)

    Mittova, I.Ya.; Lavrushina, S.S.; Afonchikova, A.V.

    2004-01-01

    Processes of thermal oxidation of GaAs and InP in the presence of ammonium heptamolybdate were studied using the methods of X-ray fluorescence analysis and IR spectroscopy at temperatures 480-580 Deg C. It was ascertained that introduction of the activator into the system results in accelerated growth of layers on semiconductors due to participation of anionic component of the chemostimulator in oxidation processes. The activator is integrated into the salts formed [ru

  1. Change of the work function and potential barrier transparency of W(100) and GaAs(110) single crystals during removing the inherent surface oxide layer

    International Nuclear Information System (INIS)

    Asalkhanov, Yu.I.; Saneev, Eh.L.

    2002-01-01

    Changes of current voltage characteristics of slow monoenergetic electron beam through the surfaces of W(100) and GaAs(100) single crystals have been measured in the process of surface oxide layers elimination. It is shown that work function is decreased and transparency coefficient of surface potential barrier is increased under increasing the temperature of vacuum annealing. Peculiarities of surface potential change under oxide layer elimination in metals and semiconductors are discussed [ru

  2. Optical anisotropy induced by mechanical strain around the fundamental gap of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi, Alvaro Obregon 64, San Luis Potosi (Mexico); Balderas-Navarro, R.E. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi, Alvaro Obregon 64, San Luis Potosi (Mexico); Facultad de Ciencias, Universidad Autonoma de San Luis Potosi, Alvaro Obregon 64, San Luis Potosi (Mexico)

    2008-07-01

    We report on a theoretical-experimental study of reflectance anisotropy spectroscopy (RAS) of GaAs (001) crystals under uniaxial stress. The study was carried out in the energy region around the fundamental transition. RAS spectra in the energy range from 1.2-1.7 eV were measured with a photoelastic-modulator-based spectrometer. To induce an optical anisotropy, the GaAs crystals were thinned down to 400 {mu}m and an calibrated uniaxial stress was applied by deflection. RAS showed a line shape consisting of an oscillation at around E{sub 0}. On the basis of a perturbative approach employing the Pikus-Bir Hamiltonian, we calculated the RAS line shape and found a close agreement with the experimental spectra. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Self-assisted GaAs nanowires with selectable number density on Silicon without oxide layer

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Esposito, L; Sanguinetti, S; Frigeri, C; Fedorov, A; Geelhaar, L

    2014-01-01

    We present the growth of self-assisted GaAs nanowires (NWs) with selectable number density on bare Si(1 1 1), not covered by the silicon oxide. We determine the number density of the NWs by initially self-assembling GaAs islands on whose top a single NW is nucleated. The number density of the initial GaAs base islands can be tuned by droplet epitaxy and the same degree of control is then transferred to the NWs. This procedure is completely performed during a single growth in an ultra-high vacuum environment and requires neither an oxide layer covering the substrate, nor any pre-patterning technique. (paper)

  4. Molecular beam epitaxial growth mechanism of ZnSe epilayers on (100) GaAs as determined by reflection high-energy electron diffraction, transmission electron microscopy and X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Ruppert, P.; Hommel, D.; Behr, T.; Heinke, H.; Waag, A.; Landwehr, G. (Physikalisches Inst., Univ. Wuerzburg (Germany))

    1994-04-14

    The properties of molecular beam epitaxial growth of ZnSe epilayers deposited directly on a GaAs substrate are compared to those grown on a GaAs buffer layer. The superior quality of the latter is confirmed by RHEED, TEM and X-ray diffraction. Based on RHEED oscillation studies, a model explaining the dependence of the ZnSe growth rate on Zn and Se fluxes and the substrate temperature is developed taking into account physisorbed and chemisorbed states. For partially relaxed epilayers, the correlation between the relaxation state and the crystalline mosaicity, as found by high resolution X-ray diffraction, is discussed

  5. Experimental investigations of atomic ordering effects in the epitaxial Ga{sub x}In{sub 1-x}P, coherently grown on GaAs (100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Seredin, P.V., E-mail: paul@phys.vsu.ru [Voronezh State University, Universitetskaya pl., 1, 394006 Voronezh (Russian Federation); Goloshchapov, D.L.; Khudyakov, Yu.Yu.; Lenshin, A.S.; Lukin, A.N. [Voronezh State University, Universitetskaya pl., 1, 394006 Voronezh (Russian Federation); Arsentyev, I.N., E-mail: arsentyev@mail.ioffe.ru [Ioffe Physical and Technical Institute, Polytekhnicheskaya, 26, 194021 St-Petersburg (Russian Federation); Prutskij, Tatiana, E-mail: prutskij@yahoo.com [Instituto de Ciencias, Benemérita Universidad Autónoma de Puebla, Privada 17 Norte, No 3417, Col San Miguel Hueyotlipan, 72050 Puebla, Puebla (Mexico)

    2017-03-15

    A range of structural and spectroscopic techniques were used for the study of the properties of epitaxial Ga{sub x}In{sub 1-x}P alloys with an ordered arrangement of atoms in a crystal lattice grown by MOCVD on single-crystalline substrates of GaAs (100). The appearance of atomic ordering in the coherent growth conditions of the ordered Ga{sub x}In{sub 1-x}P alloy on GaAs (100) resulted in cardinal changes of the structural and optical properties of semiconductor in comparison to disordered alloys, including the change of the crystal lattice parameter and, consequently, reduced crystal symmetry, decreased band gap and formation of two different types of surface nanorelief. This is the first report of the calculation of parameters of the crystal lattice in Ga{sub x}In{sub 1-x}P with ordering taking into account the elastic stresses dependent on long-range ordering. Based on the variance analysis data with regard to the IR-reflection spectra as well as the UV-spectroscopy data obtained in the transmission-reflection mode, the main optical characteristics of the ordered Ga{sub x}In{sub 1-x}P alloys were determined for the first time, namely, refractive index dispersion and high-frequency dielectric constant. All of the experimental results were in good agreement with the previously developed theoretical beliefs.

  6. Crystal growth and physical properties of Ferro-pnictides

    Energy Technology Data Exchange (ETDEWEB)

    Aswartham, Saicharan

    2012-11-08

    The thesis work presented here emphasizes important aspects of crystal growth and the influence of chemical substitution in Fe-As superconductors. High temperature solution growth technique is one of most powerful and widely used technique to grow single crystals of various materials. The biggest advantage of high temperature solution growth technique is the, possibility of growing single crystals from both congruently and incongruently melting materials. Solution growth technique has the potential to control high vapour pressures, given the fact that, in Fe-based superconductors elements with high vapour pressure like As, K, Li and Na have to be handled during the crystal growth procedure. In this scenario high temperature solution growth is the best suitable growth technique to synthesize sizable homogeneous single crystals. Using self-flux high temperature solution growth technique, large centimeter-sized high quality single crystals of BaFe{sub 2}As{sub 2} were grown. This pristine compound BaFe{sub 2}As{sub 2} undergoes structural and magnetic transition at T{sub S/N} = 137 K. By suppressing this magnetic transition and stabilizing tetragonal phase with chemical substitution, like Co-doping and Na-doping, bulk superconductivity is achieved. Superconducting transitions of as high as T{sub c} = 34 K with Na substitution and T{sub c} = 25 K with Co-doping were obtained. A combined electronic phase diagram has been achieved for both electron doping with Co and hole doping with Na in BaFe{sub 2}As{sub 2}. Single crystals of LiFe{sub 1-x}Co{sub x}As with x = 0, 0.025, 0.05 and 0.075 were grown by a self-flux high temperature solution growth technique. The charge doping in LiFeAs is achieved with the Co-doping in Fe atoms. The superconducting properties investigated by means of temperature dependent magnetization and resistivity revealed that superconductivity is shifted to lower temperatures and with higher amount of charge carriers superconductivity is killed

  7. Crystal growth and doping

    International Nuclear Information System (INIS)

    Paorici, C.

    1980-01-01

    Section 1 contains a self-consistent review of the basic growth features. After a short introduction concerning the driving force acting in a crystallization process, three main topics are broadly discussed: (i) interface kinetics; (ii) transport kinetics, and (iii) growth stability conditions. On point (i), after definition of the nature of interface, using Temkin's model, the growth mechanisms predicted by Burton, Cabrera and Frank (BCF) and bidimensional nucleation theories are fully developed. On points (ii) and (iii), the differential equations of the constitutional (concentration) and thermal fields are presented and discussed in terms of relevant approximations, suitable boundary conditions and limit values expected in order to have growth stability. Section 2 reports various experimental procedures for growing bulk crystals from the melt, from solutions and from the vapour phase. The basic concepts of Section 1 are amply employed for a critical discussion of possibilities, advantages and drawbacks of the methods described. Along the same lines, in Section 3 the principal epitaxial deposition procedures are highlighted. Section 4 contains a brief account of doping and of stoichiometry-defect control procedures. There is a long, carefully chosen list of bibliographical references. (author)

  8. Growth of Ga2O3 single crystal

    OpenAIRE

    龍見, 雅美; 小池, 裕之; 市木, 伸明; Tatsumi, Masami; Koike, Hiroyuki; Ichiki, Nobuaki

    2010-01-01

    Single crystals of β-Ga2O3 for substrates of GaN LED were grown by Floating Zone(FZ) method. The transparent single crystals of 5-6 mm in diameter were reproducibly obtained by applying necking procedure and the preferential growth direction was . Many cracks were induced along the cleavage plane of (100) in slicing process, which is related to thermal stress and the growth direction. However, this preliminary growth experiments suggested that β-Ga2O3 single crystal is promising as a substrat...

  9. Structural Evolution During Formation and Filling of Self-patterned Nanoholes on GaAs (100 Surfaces

    Directory of Open Access Journals (Sweden)

    Zhou Lin

    2008-01-01

    Full Text Available Abstract Nanohole formation on an AlAs/GaAs superlattice gives insight to both the “drilling” effect of Ga droplets on AlAs as compared to GaAs and the hole-filling process. The shape and depth of the nanoholes formed on GaAs (100 substrates has been studied by the cross-section transmission electron microscopy. The Ga droplets “drill” through the AlAs layer at a much slower rate than through GaAs due to differences in activation energy. Refill of the nanohole results in elongated GaAs mounds along the [01−1] direction. As a result of capillarity-induced diffusion, GaAs favors growth inside the nanoholes, which provides the possibility to fabricate GaAs and AlAs nanostructures.

  10. Growth and Characterization of Tetraphenylphosphonium Bromide Crystal

    Directory of Open Access Journals (Sweden)

    Guangqiang Wang

    2017-05-01

    Full Text Available Multiple-phenyl phosphorous compounds are a group of chemical materials that have been used as reactants, pharmaceutical intermediates, extractants, and catalysts in organic synthetic reactions. However, the crystal growth of bulk crystals of multiple-phenyl phosphorous compounds, which may expand their applications in photonics technology, have been largely overlooked. In this article, the crystal growth of tetraphenylphosphonium bromide (TPPB has been studied in organic solvents and water. The crystal structures and crystallization features are analyzed by X-ray diffraction data. By a slow temperature-lowering method, a single-crystal of TPPB (2H2O with the size of 27 × 20 × 20 mm3 has been obtained in water. The basic thermal and optical properties were characterized. We find that the TPPB (2H2O crystal shows excellent transparent property in the near-IR region. Large Raman shifts and strong Raman scattering intensity indicate that TPPB is a potential candidate in Raman-scattering-based nonlinearity applications.

  11. Simulation and characterization of the crystal growth by photoemission; Simulation et caracterisation de la croissance cristalline par photoemission

    Energy Technology Data Exchange (ETDEWEB)

    Fazouan, N

    1994-05-16

    In this thesis, we argue in favour of photoemission as an in-situ characterization tool for the homo-epitaxial growth of GaAs. The first part, is concerned with the interpretation of the origin of the photoemission oscillations as first observed by J.N. Eckstein and al during MBE growth of GaAs. To study this effect, two approaches have been used. These approaches are based on reaction surface and roughness observations to study the growth mode. They associate the photoemission current with the presence of uncovered gallium adatoms, i.e. those which do not have an arsenic atom above them. The first approach is based on chemical rate theory, whereas the second is based on an atomistic simulation of GaAs homo-epitaxy. This last approach introduces the notion of interlayer migration processes and uses a Monte Carlo technique to look at the temporal evolution of the configuration and hence the morphology. It is shown with these two approaches that the photoemission current has similar characteristics as to those of RHEED, c.g.the same oscillation period. The results obtained have shown the relationship between the photoemission oscillations amplitude and the growth mode which are determined by the mechanisms of absorption and diffusion of gallium atoms and arsenic atoms of molecules. Finally, the study of the effect of the surface reactions shows the importance of these in the case where arsenic is supplied in molecular form (As{sub 2}). The last part concerns the experimental measurements at the threshold photoemission current during epitaxial growth of GaAs by metal-organic vapour phase epitaxy (MOVPE). The objective of this experimental study is to test the good running of the photo-assisted MOVPE low pressure system and to study the possibilities offered by this as an in-situ diagnostic tool for MOVPE. (author). 101 refs., 80 figs., 6 tabs.

  12. Spin dynamics in GaAs and (110)-GaAs heterostructures; Spindynamik in GaAs und (110)-GaAs-Heterostrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Oertel, Stefan

    2012-07-01

    specially designed (110)-GaAs heterostructure consisting of a 9 nm thick quantum well separated by 3 nm thin AlGaAs barriers from two adjacent 4 nm quantum wells is characterized: The electron spin polarization in the 9 nm drain quantum well is measured in dependence on the energy of the circular optical injection. At the indirect optical injection via the heavy hole resonance of the thin quantum wells an electron spin polarization of 75% in the drain quantum well is reached. By means of the structure the impact of interface roughnesses in the 9 nm quantum well on the carrier dynamics is additionally investigated. The (110)-GaAs heterostructure is used to determine the spin relaxation time in (110)-GaAs quantum wells experimentally over a wide range of densities and temperatures. The special growth direction of the structure provides access to spin relaxation mechanisms that are usually concealed by the dominating Dyakonov-Perel mechanism. The measured elect ron spin relaxation times are interpreted as an exciton marker within the electron-hole plasma and are in good agreement with a simple model based upon the exciton fraction within the electron-hole plasma.

  13. A polarized photoluminescence study of strained layer GaAs photocathodes

    International Nuclear Information System (INIS)

    Mair, R.A.

    1996-07-01

    Photoluminescence measurements have been made on a set of epitaxially grown strained GaAs photocathode structures. The photocathodes are designed to exhibit a strain-induced enhancement of the electron spin polarization obtainable by optical pumping with circularly polarized radiation of near band gap energy. For the case of non-strained GaAs, the degree of spin polarization is limited to 50% by crystal symmetry. Under an appropriate uniaxial compression or tension, however, the valence band structure near the gap minimum is modified such that a spin polarization of 100% is theoretically possible. A total of nine samples with biaxial compressive strains ranging from zero to ∼0.8% are studied. X-ray diffraction analysis, utilizing Bragg reflections, is used to determine the crystal lattice structure of the samples. Luminescence spectra and luminescence circular polarization data are obtained at room temperature, ∼78 K and ∼12 K. The degree of luminescence circular polarization is used as a relative measure of the photo-excited electron spin polarization. The room temperature luminescence circular polarization data is compared with the measured electron spin polarization when the samples are used as electron photo-emitters with a negative electron affinity surface preparation. The luminescence data is also analyzed in conjunction with the crystal structure data with the goal of understanding the strain dependent valence band structure, optical pumping characteristics and spin depolarization mechanisms of the photocathode structures. A simple model is used to describe the luminescence data, obtained for the set of samples. Within the assumptions of the model, the deformation potentials a, b and d for GaAs are determined. The measured values are a = -10.16±.21 eV, b = -2.00±.05 eV and d = -4.87±.29 eV. Good agreement with published values of the deformation potentials provides support for the model used to describe the data

  14. Growth morphologies of crystal surfaces

    Science.gov (United States)

    Xiao, Rong-Fu; Alexander, J. Iwan D.; Rosenberger, Franz

    1991-03-01

    We have expanded our earlier Monte Carlo model [Phys. Rev. A 38, 2447 (1988); J. Crystal Growth 100, 313 (1990)] to three dimensions and included reevaporation after accommodation and growth on dislocation-induced steps. We found again that, for a given set of growth parameters, the critical size, beyond which a crystal cannot retain its macroscopically faceted shape, scales linearly with the mean free path in the vapor. However, the three-dimensional (3D) the systems show increased shape stability compared to corresponding 2D cases. Extrapolation of the model results to mean-free-path conditions used in morphological stability experiments leads to order-of-magnitude agreement of the predicted critical size with experimental findings. The stability region for macroscopically smooth (faceted) surfaces in the parameter space of temperature and supersaturation depends on both the surface and bulk diffusion. While surface diffusion is seen to smooth the growth morphology on the scale of the surface diffusion length, bulk diffusion is always destabilizing. The atomic surface roughness increases with increase in growth temperature and supersaturation. That is, the tendency of surface kinetics anisotropies to stabilize the growth shape is reduced through thermal and kinetic roughening. It is also found that the solid-on-solid assumption, which can be advantageously used at low temperatures and supersaturations, is insufficient to describe the growth dynamics of atomically rough interfaces where bulk diffusion governs the process. For surfaces with an emerging screw dislocation, we find that the spiral growth mechanism dominates at low temperatures and supersaturations. The polygonization of a growth spiral decreases with increasing temperature or supersaturation. When the mean free path in the nutrient is comparable to the lattice constant, the combined effect of bulk and surface diffusion reduces the terrace width of a growth spiral in its center region. At elevated

  15. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    Science.gov (United States)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  16. Enhanced growth of highly lattice-mismatched CdSe on GaAs substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Jyh-Shyang; Tsai, Yu-Hsuan; Wang, Hsiao-Hua; Ke, Han-Xiang; Tong, Shih-Chang; Yang, Chu-Shou; Wu, Chih-Hung; Shen, Ji-Lin

    2013-01-01

    This work demonstrates the improvement of the molecular beam epitaxial growth of zinc-blende CdSe on (0 0 1) GaAs substrate with a large lattice mismatch by introducing a small amount of Te atoms. Exposing the growing surface to Te atoms changes the reflection high-energy electron diffraction pattern from spotty to streaky together with (2 × 1) surface reconstruction, and greatly reduces the full width at half maximum of the X-ray rocking curve and increases the integral intensity of room-temperature photoluminescence by a factor of about nine.

  17. Influence of magnetic and ultrasonic fields on coefficient of reflectivity of GaAs, GaSb and InAs crystals

    International Nuclear Information System (INIS)

    Zaveryukhin, B.N.; Zaveryukhina, N.N.; Zaveryukhina, E.V.; Volodarskiy, V.V.

    2007-01-01

    Full text: Previously we demonstrated for the first time in the world that ultrasonic waves of the megahertz range can change the transport properties and a structure of semiconductors. In this work we have experimentally studied the influence of ultrasonic treatment on the spectral coefficients of reflection R in the magnetic fields of the samples of GaAs-, GaSb- and InAs-crystals. The reflectance spectra in the magnetic field of the samples before and after the ultrasonic treatment (UST) for a certain time were measured in a broad wavelength range including ultraviolet, visible, and infrared spectral regions. The semi-insulating GaAs-crystals had a thickness d=100 μm and a working area S of up to 3sm 2 . The p-GaSb- crystals had an area of S=0.25sm 2 and d =250 μm. The base p-GaSb-layers possessed the concentration N=2·10 17 sm 3 and n-GaSb-layers with a thickness of 0.5 m were created by diffusion doping with phosphorus. Besides, the experiments were performed also for of the n-InAs-crystals. The samples of the InAs-n crystals had a thickness of 100 μm an area S = 0.25 sm 2 . Some remains of sulfuric (S) were discovered in InAs-samples. As could was see from the experiments, all the initial IR spectra measured for the samples with various dopant concentrations NP exhibit maximums (peaks) for 0.2 μm and minimum (holes) for. The reflectance spectra measured after UST for time of t > l hour clearly reveal a shift of the R minima toward longer wavelengths and a general decrease in the reflectance of each sample. The shift of the R is unambiguous evidence of the acoustically stimulated diffusion of impurity (phosphorus) inward of the samples. Discovered peaks and holes slitted and changed their sizes in the magnetic fields. It should be emphasized that behavior of the R spectra of the GaAs-, In As- and GaSb- samples is determined by the same mechanisms. Changes of the R spectra after the UST are explained by acoustically stimulated diffusion of the dopant inward

  18. Ge-on-Si : Single-Crystal Selective Epitaxial Growth in a CVD Reactor

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.B.; Nanver, L.K.

    2012-01-01

    A standard Si/SiGe ASM CVD reactor that was recently modified for merging GaAs and Si epitaxial growth in one system is utilized to achieve intrinsic and doped epitaxial Ge-on-Si with low threading dislocation and defect densities. For this purpose, the system is equipped with 2% diluted GeH4 as the

  19. Influence of substrate orientation on the structural properties of GaAs nanowires in MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Muhammad, R., E-mail: rosnita@utm.my; Othaman, Z., E-mail: zulothaman@gmail.com; Ibrahim, Z., E-mail: zuhairi@utm.my; Sakrani, S., E-mail: samsudi3@yahoo.com [Faculty of Science, UniversitiTeknologi Malaysia, 81310 UTM, Johor (Malaysia); Wahab, Y., E-mail: wyussof@gmail.com [Razak School, UniversitiTeknologi Malaysia, 54100 Kuala Lumpur (Malaysia)

    2016-04-19

    In this study, the effect of substrate orientation on the structural properties of GaAs nanowires grown by a metal organic chemical vapor deposition has been investigated. Gold colloids were used as catalyst to initiate the growth of nanowiresby the vapour-liquid-solid (VLS) mechanism. From the field-emission scanning electron microscopy (FE-SEM), the growth of the nanowires were at an elevation angle of 90°, 60°, 65° and 35° with respect to the GaAs substrate for (111)B, (311)B, (110) and (100) orientations respectively. The preferential NW growth direction is always <111>B. High-resolution transmission electron microscope (HRTEM) micrograph showed the NWs that grew on the GaAs(111)B has more structural defects when compared to others. Energy dispersive X-ray analysis (EDX) indicated the presence of Au, Ga and As. The bigger diameter NWs dominates the (111)B substrate surface.

  20. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Isa, F.; Isella, G.; Salvalaglio, M.; Miglio, L.; Wewior, L.; Fuster, D.; Alén, B.; Richter, M.; Uccelli, E.; Niedermann, P.; Neels, A.; Dommann, A.; Mancarella, F.

    2014-01-01

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images

  1. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Taboada, A. G., E-mail: gonzalez@phys.ethz.ch; Kreiliger, T.; Falub, C. V.; Känel, H. von [Laboratory for Solid State Physics, ETH Zürich, Otto-Stern-Weg 1, CH-8093 Zürich (Switzerland); Isa, F.; Isella, G. [L-NESS, Department of Physics, Politecnico di Milano, via Anzani 42, I-22100 Como (Italy); Salvalaglio, M.; Miglio, L. [L-NESS, Department of Materials Science, Università di Milano-Bicocca, via Cozzi 55, I-20125 Milano (Italy); Wewior, L.; Fuster, D.; Alén, B. [IMM, Instituto de Microelectrónica de Madrid (CNM, CSIC), C/Isaac Newton 8, E-28760 Tres Cantos, Madrid (Spain); Richter, M.; Uccelli, E. [Functional Materials Group, IBM Research-Zürich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Niedermann, P.; Neels, A.; Dommann, A. [Centre Suisse d' Electronique et Microtechnique, Jaquet-Droz 1, CH-2002 Neuchatel (Switzerland); Mancarella, F. [CNR-IMM of Bologna, Via Gobetti 101, I-40129 Bologna (Italy)

    2014-01-13

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images.

  2. Photoluminescence of highly compensated GaAs doped with high concentration of Ge

    Science.gov (United States)

    Watanabe, Masaru; Watanabe, Akira; Suezawa, Masashi

    1999-12-01

    We have studied the photoluminescence (PL) properties of Ge-doped GaAs crystals to confirm the validity of a theory developed by Shklovskii and Efros to explain the donor-acceptor pair (DAP) recombination in potential fluctuation. GaAs crystals doped with Ge of various concentrations were grown by a liquid-encapsulated Czochralski method. They were homogenized by annealing at 1200°C for 20 h under the optimum As vapor pressure. Both quasi-continuous and time-resolved PL spectra were measured at 4.2 K. The quasi-continuous PL spectra showed that the peak position shifted to lower energy as the Ge concentration increased, which was consistent with the Shklovskii and Efros's theory. Under very strong excitation in time-resolved measurements, the exciton peak appeared within short periods after excitation and then the peak shifted to that of DAP recombination. This clearly showed that the potential fluctuation disappeared under strong excitation and then recovered as the recombination proceeded.

  3. Macromolecular Crystal Growth by Means of Microfluidics

    Science.gov (United States)

    vanderWoerd, Mark; Ferree, Darren; Spearing, Scott; Monaco, Lisa; Molho, Josh; Spaid, Michael; Brasseur, Mike; Curreri, Peter A. (Technical Monitor)

    2002-01-01

    We have performed a feasibility study in which we show that chip-based, microfluidic (LabChip(TM)) technology is suitable for protein crystal growth. This technology allows for accurate and reliable dispensing and mixing of very small volumes while minimizing bubble formation in the crystallization mixture. The amount of (protein) solution remaining after completion of an experiment is minimal, which makes this technique efficient and attractive for use with proteins, which are difficult or expensive to obtain. The nature of LabChip(TM) technology renders it highly amenable to automation. Protein crystals obtained in our initial feasibility studies were of excellent quality as determined by X-ray diffraction. Subsequent to the feasibility study, we designed and produced the first LabChip(TM) device specifically for protein crystallization in batch mode. It can reliably dispense and mix from a range of solution constituents into two independent growth wells. We are currently testing this design to prove its efficacy for protein crystallization optimization experiments. In the near future we will expand our design to incorporate up to 10 growth wells per LabChip(TM) device. Upon completion, additional crystallization techniques such as vapor diffusion and liquid-liquid diffusion will be accommodated. Macromolecular crystallization using microfluidic technology is envisioned as a fully automated system, which will use the 'tele-science' concept of remote operation and will be developed into a research facility for the International Space Station as well as on the ground.

  4. Electrons, holes, and excitons in GaAs polytype quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Climente, Juan I.; Segarra, Carlos; Rajadell, Fernando; Planelles, Josep, E-mail: josep.planelles@uji.es [Departament de Química Física i Analítica, Universitat Jaume I, E-12080 Castelló (Spain)

    2016-03-28

    Single and multi-band k⋅p Hamiltonians for GaAs crystal phase quantum dots are used to assess ongoing experimental activity on the role of such factors as quantum confinement, spontaneous polarization, valence band mixing, and exciton Coulomb interaction. Spontaneous polarization is found to be a dominating term. Together with the control of dot thickness [Vainorius et al., Nano Lett. 15, 2652 (2015)], it enables wide exciton wavelength and lifetime tunability. Several new phenomena are predicted for small diameter dots [Loitsch et al., Adv. Mater. 27, 2195 (2015)], including non-heavy hole ground state, strong hole spin admixture, and a type-II to type-I exciton transition, which can be used to improve the absorption strength and reduce the radiative lifetime of GaAs polytypes.

  5. A continuous Czochralski silicon crystal growth system

    Science.gov (United States)

    Wang, C.; Zhang, H.; Wang, T. H.; Ciszek, T. F.

    2003-03-01

    Demand for large silicon wafers has driven the growth of silicon crystals from 200 to 300 mm in diameter. With the increasing silicon ingot sizes, melt volume has grown dramatically. Melt flow becomes more turbulent as melt height and volume increase. To suppress turbulent flow in a large silicon melt, a new Czochralski (CZ) growth furnace has been designed that has a shallow melt. In this new design, a crucible consists of a shallow growth compartment in the center and a deep feeding compartment around the periphery. Two compartments are connected with a narrow annular channel. A long crystal may be continuously grown by feeding silicon pellets into the dedicated feeding compartment. We use our numerical model to simulate temperature distribution and velocity field in a conventional 200-mm CZ crystal growth system and also in the new shallow crucible CZ system. By comparison, advantages and disadvantages of the proposed system are observed, operating conditions are determined, and the new system is improved.

  6. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    Science.gov (United States)

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  7. Growth and characterization of heavily doped silicon crystals

    Energy Technology Data Exchange (ETDEWEB)

    Scala, R.; Porrini, M. [MEMC Electronic Materials SpA, via Nazionale 59, 39012 Merano (Italy); Borionetti, G. [MEMC Electronic Materials SpA, viale Gherzi 31, Novara (Italy)

    2011-08-15

    Silicon crystals grown with the Czochralski method are still the most common material used for the production of electronic devices. In recent years, a growing need of large diameter crystals with increasingly higher doping levels is observed, especially to support the expanding market of discrete devices and its trend towards lower and lower resistivity levels for the silicon substrate. The growth of such heavily doped, large-diameter crystals poses several new challenges to the crystal grower, and the presence of a high dopant concentration in the crystal affects significantly its main properties, requiring also the development of dedicated characterization techniques. This paper illustrates the recent advances in the growth and characterization of silicon crystals heavily doped with antimony, arsenic, phosphorus and boron. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. The Growth of Protein Crystals Using McDUCK

    Science.gov (United States)

    Ewing, Felicia; Wilson, Lori; Nadarajah, Arunan; Pusey, Marc

    1998-01-01

    Most of the current microgravity crystal growth hardware is optimized to produce crystals within the limited time available on orbit. This often results in the actual nucleation and growth process being rushed or the system not coming to equilibrium within the limited time available. Longer duration hardware exists, but one cannot readily pick out crystals grown early versus those which nucleated and grew more slowly. We have devised a long duration apparatus, the Multi-chamber Dialysis Unit for Crystallization Kinetics, or McDUCK. This apparatus-is a series of protein chambers, stacked upon a precipitant reservoir chamber. All chambers are separated by a dialysis membrane, which serves to pass small molecules while retaining the protein. The volume of the Precipitant chamber is equal to the sum of the volumes of the protein chamber. In operation, the appropriate chambers are filled with precipitant solution or protein solution, and the McDUCK is placed standing upright, with the precipitant chamber on the bottom. The precipitant diffuses upwards over time, with the time to reach equilibration a function of the diffusivity of the precipitant and the overall length of the diffusion pathway. Typical equilibration times are approximately 2-4 months, and one can readily separate rapid from slow nucleation and growth crystals. An advantage on Earth is that the vertical precipitant concentration gradient dominates that of the solute, thus dampening out solute density gradient driven convective flows. However, large Earth-grown crystals have so far tended to be more two dimensional. Preliminary X-ray diffraction analysis of lysozyme crystals grown in McDUCK have indicated that the best, and largest, come from the middle chambers, suggesting that there is an optimal growth rate. Further, the improvements in diffraction resolution have been better signal to noise ratios in the low resolution data, not an increase in resolution overall. Due to the persistently large crystals

  9. Conductive atomic force microscopy study of InAs growth kinetics on vicinal GaAs (110)

    International Nuclear Information System (INIS)

    Tejedor, Paloma; Diez-Merino, Laura; Beinik, Igor; Teichert, Christian

    2009-01-01

    Conductive atomic force microscopy has been used to investigate the effect of atomic hydrogen and step orientation on the growth behavior of InAs on GaAs (110) misoriented substrates. Samples grown by conventional molecular beam epitaxy exhibit higher conductivity on [110]-multiatomic step edges, where preferential nucleation of InAs nanowires takes place by step decoration. On H-terminated substrates with triangular terraces bounded by [115]-type steps, three-dimensional InAs clusters grow selectively at the terrace apices as a result of a kinetically driven enhancement in upward mass transport via AsH x intermediate species and a reduction in the surface free energy.

  10. Coalescence of GaAs on (001) Si nano-trenches based on three-stage epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    He, Yunrui; Wang, Jun, E-mail: wangjun12@bupt.edu.cn; Hu, Haiyang; Wang, Qi; Huang, Yongqing; Ren, Xiaomin [State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, Beijing 100876 (China)

    2015-05-18

    The coalescence of selective area grown GaAs regions has been performed on patterned 1.8 μm GaAs buffer layer on Si via metal-organic chemical vapor deposition. We propose a promising method of three-stage epitaxial lateral overgrowth (ELO) to achieve uniform coalescence and flat surface. Rough surface caused by the coalescence of different growth fronts is smoothened by this method. Low root-mean-square surface roughness of 6.29 nm has been obtained on a 410-nm-thick coalesced ELO GaAs layer. Cross-sectional transmission electron microscope study shows that the coalescence of different growth fronts will induce some new dislocations. However, the coalescence-induced dislocations tend to mutually annihilate and only a small part of them reach the GaAs surface. High optical quality of the ELO GaAs layer has been confirmed by low temperature (77 K) photoluminescence measurements. This research promises a very large scale integration platform for the monolithic integration of GaAs-based device on Si.

  11. Advanced crystal growth techniques for thallium bromide semiconductor radiation detectors

    Science.gov (United States)

    Datta, Amlan; Becla, Piotr; Guguschev, Christo; Motakef, Shariar

    2018-02-01

    Thallium Bromide (TlBr) is a promising room-temperature radiation detector candidate with excellent charge transport properties. Currently, Travelling Molten Zone (TMZ) technique is widely used for growth of semiconductor-grade TlBr crystals. However, there are several challenges associated with this type of crystal growth process including lower yield, high thermal stress, and low crystal uniformity. To overcome these shortcomings of the current technique, several different crystal growth techniques have been implemented in this study. These include: Vertical Bridgman (VB), Physical Vapor Transport (PVT), Edge-defined Film-fed Growth (EFG), and Czochralski Growth (Cz). Techniques based on melt pulling (EFG and Cz) were demonstrated for the first time for semiconductor grade TlBr material. The viability of each process along with the associated challenges for TlBr growth has been discussed. The purity of the TlBr crystals along with its crystalline and electronic properties were analyzed and correlated with the growth techniques. Uncorrected 662 keV energy resolutions around 2% were obtained from 5 mm x 5 mm x 10 mm TlBr devices with virtual Frisch-grid configuration.

  12. Growth of large detector crystals. CRADA final report

    International Nuclear Information System (INIS)

    Boatner, L.A.; Samuelson, S.

    1997-01-01

    In the course of a collaborative research effort between L.A. Boatner of Oak Ridge National Laboratory and Prof. Alex Lempicki of the Department of Chemistry of Boston University, a new highly efficient and very fast scintillator for the detection of gamma-rays was discovered. This new scintillator consists of a single crystal of lutetium orthophosphate (LuPO 4 ) to which a small percentage of trivalent cerium is added as an activator ion. The new lutetium orthophosphate-cerium scintillator was found to be superior in performance to bismuth germanium oxide--a material that is currently widely used as a gamma-ray detector in a variety of medical, scientific, and technical applications. Single crystals of LuPO 4 and related rare-earth orthophosphates had been grown for a number of years in the ORNL Solid State Division prior to the discovery of the efficient gamma-ray-scintillation response of LuPO 4 :Ce. The high-temperature-solvent (flux-growth) method used for the growth of these crystals was capable of producing crystals in sizes that were adequate for research purposes but that were inadequate for commercial-scale production and widespread application. The CRADA between ORNL and Deltronic Crystal Industries of Dover, NJ was undertaken for the purpose of investigating alternate approaches, such as top-seeded-solution growth, to the growth of LuPO 4 :Ce scintillator crystals in sizes significantly larger than those obtainable through the application of standard flux-growth methods and, therefore, suitable for commercial sales and applications

  13. Growth of optical grade germanium crystals

    International Nuclear Information System (INIS)

    Waris, M.; Akhtar, M.J.; Mehmood, N.; Ashraf, M.; Siddique, M.

    2011-01-01

    A novel design of Czochralski( CZ ) growth station in a low frequency induction furnace is described and growth of optical grade Ge crystal as a test material is performed achieving a flat solid-liquid interface shape. Grown Ge crystals are annealed in air at 450 -500 deg. C for 4 hrs and then characterized by determination of crystallographic orientation by Laue (back-reflection of X-rays) method, dislocation density studies by etch-pits formation, measuring electrical resistivity by 4-probe technique, conductivity type determination by hot probe method, measurement of hardness on Moh's scale and optical transmission measurement in IR region. The results obtained are compared to those reported in the literature. The use of this growth station for other materials is suggested. (author)

  14. A Cannibalistic Approach to Grand Canonical Crystal Growth.

    Science.gov (United States)

    Karmakar, Tarak; Piaggi, Pablo M; Perego, Claudio; Parrinello, Michele

    2018-04-04

    Canonical molecular dynamics simulations of crystal growth from solution suffer from severe finite-size effects. As the crystal grows, the solute molecules are drawn from the solution to the crystal, leading to a continuous drop in the solution concentration. This is in contrast to experiments in which the crystal grows at an approximately constant supersaturation of a bulk solution. Recently, Perego et al. [ J. Chem. Phys. 2015, 142, 144113] showed that in a periodic setup in which the crystal is represented as a slab, the concentration in the vicinity of the two surfaces can be kept constant while the molecules are drawn from a part of the solution that acts as a molecular reservoir. This method is quite effective in studying crystallization under controlled supersaturation conditions. However, once the reservoir is depleted, the constant supersaturation conditions cannot be maintained. We propose a variant of this method to tackle this depletion problem by simultaneously dissolving one side of the crystal while letting the other side grow. A continuous supply of particles to the solution due to the crystal dissolution maintains a steady solution concentration and avoids reservoir depletion. In this way, a constant supersaturation condition can be maintained for as long as necessary. We have applied this method to study the growth and dissolution of urea crystal from water solution under constant supersaturation and undersaturation conditions, respectively. The computed growth and dissolution rates are in good agreement with those obtained in previous studies.

  15. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    CERN Document Server

    Verbitskaya, E; Ivanov, A; Strokan, N; Vasilev, V; Markov, A; Polyakov, A; Gavrin, V; Kozlova, Y; Veretenkin, E; Bowles, T J

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p sup + -i-n sup + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E sub v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E sub v +0....

  16. Fluid Physics and Macromolecular Crystal Growth in Microgravity

    Science.gov (United States)

    Helliwell, John R.; Snell, Edward H.; Chayen, Naomi E.; Judge, Russell A.; Boggon, Titus J.; Pusey, M. L.; Rose, M. Franklin (Technical Monitor)

    2000-01-01

    The first protein crystallization experiment in microgravity was launched in April, 1981 and used Germany's Technologische Experimente unter Schwerelosigkeit (TEXUS 3) sounding rocket. The protein P-galactosidase (molecular weight 465Kda) was chosen as the sample with a liquid-liquid diffusion growth method. A sliding device brought the protein, buffer and salt solution into contact when microgravity was reached. The sounding rocket gave six minutes of microgravity time with a cine camera and schlieren optics used to monitor the experiment, a single growth cell. In microgravity a strictly laminar diffusion process was observed in contrast to the turbulent convection seen on the ground. Several single crystals, approx 100micron in length, were formed in the flight which were of inferior but of comparable visual quality to those grown on the ground over several days. A second experiment using the same protocol but with solutions cooled to -8C (kept liquid with glycerol antifreeze) again showed laminar diffusion. The science of macromolecular structural crystallography involves crystallization of the macromolecule followed by use of the crystal for X-ray diffraction experiments to determine the three dimensional structure of the macromolecule. Neutron protein crystallography is employed for elucidation of H/D exchange and for improved definition of the bound solvent (D20). The structural information enables an understanding of how the molecule functions with important potential for rational drug design, improved efficiency of industrial enzymes and agricultural chemical development. The removal of turbulent convection and sedimentation in microgravity, and the assumption that higher quality crystals will be produced, has given rise to the growing number of crystallization experiments now flown. Many experiments can be flown in a small volume with simple, largely automated, equipment - an ideal combination for a microgravity experiment. The term "protein crystal growth

  17. Economic analysis of crystal growth in space

    Science.gov (United States)

    Ulrich, D. R.; Chung, A. M.; Yan, C. S.; Mccreight, L. R.

    1972-01-01

    Many advanced electronic technologies and devices for the 1980's are based on sophisticated compound single crystals, i.e. ceramic oxides and compound semiconductors. Space processing of these electronic crystals with maximum perfection, purity, and size is suggested. No ecomonic or technical justification was found for the growth of silicon single crystals for solid state electronic devices in space.

  18. Characterization of a Ga-assisted GaAs nanowire array solar cell on si substrate

    DEFF Research Database (Denmark)

    Boulanger, J. P.; Chia, A. C. E.; Wood, B.

    2016-01-01

    A single-junction core-shell GaAs nanowire (NW) solar cell on Si (1 1 1) substrates is presented. A Ga-assisted vapor–liquid–solid growth mechanism was used for the formation of a patterned array of radial p-i-n GaAs NWs encapsulated in AlInP passivation. Novel device fabrication utilizing facet-...

  19. A high compression crystal growth system

    International Nuclear Information System (INIS)

    Nieman, H.F.; Walton, A.A.; Powell, B.M.; Dolling, G.

    1980-01-01

    This report describes the construction and operating procedure for a high compression crystal growth system, capable of growing single crystals from the fluid phase over the temperature range of 4.2 K to 300 K, at pressures up to 900 MPa. Some experimental results obtained with the system are given for solid β-nitrogen. (auth)

  20. Polarity driven simultaneous growth of free-standing and lateral GaAsP epitaxial nanowires on GaAs (001) substrate

    International Nuclear Information System (INIS)

    Sun, Wen; Xu, Hongyi; Guo, Yanan; Gao, Qiang; Hoe Tan, Hark; Jagadish, Chennupati; Zou, Jin

    2013-01-01

    Simultaneous growth of 〈111〉 B free-standing and ±[110] lateral GaAsP epitaxial nanowires on GaAs (001) substrates were observed and investigated by electron microscopy and crystallographic analysis. It was found that the growth of both free-standing and lateral ternary nanowires via Au catalysts was driven by the fact that Au catalysts prefer to maintain low-energy (111) B interfaces with surrounding GaAs(P) materials: in the case of free-standing nanowires, Au catalysts maintain (111) B interfaces with their underlying GaAsP nanowires; while in the case of lateral nanowires, each Au catalyst remain their side (111) B interfaces with the surrounding GaAs(P) material during the lateral nanowire growth

  1. The effect of sulphur-terminated GaAs substrates on the MOVPE growth of CuGaS2 thin films

    International Nuclear Information System (INIS)

    Berndt, P.R.; Botha, J.R.; Branch, M.S.; Leitch, A.W.R.; Kirmse, H.; Neumann, W.; Weber, J.

    2007-01-01

    In this study, various CuGaS 2 layers were grown on GaAs (001) substrates using metalorganic vapour phase epitaxy, for the purpose of studying the effect of sulphur-termination of the substrate on layer quality. The resultant films were investigated using X-ray diffractometry, and transmission electron microscopy, with high-resolution transmission electron microscopy providing additional insights into crystallite growth on the control substrates. This paper will demonstrate that sulphur-termination limits substrate degradation. In the absence of sulphur-termination, atypical three-dimensional MOVPE growth is observed, with epitaxial crystallites varying in size from 10 nm to 200 nm. Substrate degradation inhibits lateral growth at the interface resulting in amorphous regions, cavities, and epitaxial crystallites demonstrating overgrowth into mushroom-like structures

  2. Shallow Melt Apparatus for Semicontinuous Czochralski Crystal Growth

    Science.gov (United States)

    Wang, T.; Ciszek, T. F.

    2006-01-10

    In a single crystal pulling apparatus for providing a Czochralski crystal growth process, the improvement of a shallow melt crucible (20) to eliminate the necessity supplying a large quantity of feed stock materials that had to be preloaded in a deep crucible to grow a large ingot, comprising a gas tight container a crucible with a deepened periphery (25) to prevent snapping of a shallow melt and reduce turbulent melt convection; source supply means for adding source material to the semiconductor melt; a double barrier (23) to minimize heat transfer between the deepened periphery (25) and the shallow melt in the growth compartment; offset holes (24) in the double barrier (23) to increase melt travel length between the deepened periphery (25) and the shallow growth compartment; and the interface heater/heat sink (22) to control the interface shape and crystal growth rate.

  3. Optical Investigation of Nanoconfined Crystal Growth

    Science.gov (United States)

    Kohler, F.; Dysthe, D. K.

    2015-12-01

    Crystals growing in a confined space exert forces on their surroundings. This crystallization force causes deformation of solids and is therefore particularly relevant for the comprehension of geological processes such as replacement and weathering [1]. In addition, these forces are relevant for the understanding of damages in porous building materials caused by crystallization, which is of great economical importance and fundamental for methods that can help to preserve our cultural heritage [2,3]. However, the exact behavior of the growth and the dissolution process in close contact to an interface are still not known in detail. The crystallization, the dissolution and the transport of material is mediated by a nanoconfined water film. We observe brittle NaClO3 crystals growing against a glass surface by optical methods such as reflective interference contrast microscopy (RICM) [4]. In order to carefully control the supersaturation of the fluid close to the crystal interface, a temperature regulated microfluidic system is used (fig. A). The interference based precision of RICM enables to resolve distance variations down to the sub nanometer range without any unwanted disturbances by the measuring method. The combination of RICM with a sensitive camera allows us to observe phenomena such as periodic, wavelike growth of atomic layers. These waves are particularly obvious when observing the difference between two consecutive images (fig. B). In contradiction to some theoretical results, which predict a smooth interface, some recent experiments have shown that the nanoconfined growth surfaces are rough. In combination with theoretical studies and Kinetic Monte Carlo simulations we aim at providing more realistic descriptions of surface energies and energy barriers which are able to explain the discrepancies between experiments and current theory. References:[1] Maliva, Diagenetic replacement controlled by force of crystallization, Geology, August (1988), v. 16 [2] G

  4. A Study of Biomolecules as Growth Modifiers of Calcium Oxalate Crystals

    Science.gov (United States)

    Kwak, Junha John

    Crystallization processes are ubiquitous in nature, science, and technology. Controlling crystal growth is pivotal in many industries as material properties and functions can be tailored by tuning crystal habits (e.g. size, shape, phase). In biomineralization, organisms exert excellent control over bottom-up synthesis and assembly of inorganic-organic structures (e.g. bones, teeth, exoskeletons). This is made possible by growth modifiers that range from small molecules to macromolecules, such as proteins. Molecular recognition of the mineral phase allows proteins to function as nucleation templates, matrices, and growth inhibitors or promoters. We are interested in taking a biomimetic approach to control crystallization via biomolecular growth modifiers. We investigated calcium oxalate monohydrate (COM), found in plants and kidney stones, as a model system of crystallization. We studied the effects of four common proteins on COM crystallization: bovine serum albumin (BSA), transferrin, lactoferrin, and lysozyme. Through kinetic studies of COM crystallization, we classified BSA and lysozyme as COM growth inhibitor and promoter respectively. Their inhibition and promotion effects were also evident in the macroscopic crystal habit. Through adsorption and microscopy experiments, we showed that BSA exhibits binding specificity for the apical surfaces of macroscopic COM crystals. Lysozyme, on the other, functions via a non-binding mechanism at the surface to accelerate the growth of the apical surfaces. We also synthesized and studied peptides derived from the protein primary sequences to identify putative domains responsible for these inhibition and promotion effects. Collectively, our study of physiologically relevant biomolecules suggests potential roles of COM modifiers in pathological crystallization and helps to develop guidelines for rational design of biomolecular growth modifiers for applications in crystal engineering.

  5. Growth of emerald single crystals

    International Nuclear Information System (INIS)

    Bukin, G.V.; Godovikov, A.A.; Klyakin, V.A.; Sobolev, V.S.

    1986-01-01

    In addition to its use for jewelry, emerald can also be used in low-noise microwave amplifiers. The authors discuss flux crystallization of emerald and note that when emerald is grown by this method, it is desirable to use solvents which dissolve emerald with minimum deviations from congruence but at the same time with sufficient high efficiency. Emerald synthesis and crystal growth from slowly cooled solutions is discussed as another possibility. The techniques are examined. Vapor synthesis and growht of beryl crystals re reviewed and the authors experimentally study the seeded CVD crystallization of beryl from BeO, Al 2 O 3 and SiO 2 oxides, by using complex compounds as carrier agents. The color of crystals of emerald and other varieties of beryl is detemined by slelective light absorption in teh visible part of the spectrum and depends on the density and structural positions of chromphore ions: chromium, iron, vanadium, nickel, manganese and cobalt

  6. Modeling and simulation of Si crystal growth from melt

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Lijun; Liu, Xin; Li, Zaoyang [National Engineering Research Center for Fluid Machinery and Compressors, School of Energy and Power Engineering, Xi' an Jiaotong University, Xi' an, Shaanxi 710049 (China); Miyazawa, Hiroaki; Nakano, Satoshi; Kakimoto, Koichi [Research Institute for Applied Mechanics, Kyushu University, Kasuga 816-8580 (Japan)

    2009-07-01

    A numerical simulator was developed with a global model of heat transfer for any crystal growth taking place at high temperature. Convective, conductive and radiative heat transfers in the furnace are solved together in a conjugated way by a finite volume method. A three-dimensional (3D) global model was especially developed for simulation of heat transfer in any crystal growth with 3D features. The model enables 3D global simulation be conducted with moderate requirement of computer resources. The application of this numerical simulator to a CZ growth and a directional solidification process for Si crystals, the two major production methods for crystalline Si for solar cells, was introduced. Some typical results were presented, showing the importance and effectiveness of numerical simulation in analyzing and improving these kinds of Si crystal growth processes from melt. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. High-pressure growth of NaMn7O12 crystals

    International Nuclear Information System (INIS)

    Gilioli, Edi; Calestani, Gianluca; Licci, Francesca; Paorici, Carlo; Gauzzi, Andrea; Bolzoni, Fulvio; Prodi, Andrea

    2006-01-01

    With the aim of producing large crystals of metastable NaMn 7 O 12 manganite, suitable for physical measurements (i.e.: RXS, Raman, EPR, STS, single-crystal neutron diffraction), we carried out a systematic investigation of the parameters controlling the growth of crystals, including the thermodynamic variables (T, P, and reagent composition) and the kinetic factors, such as reaction time and heating/cooling rate. By varying each parameter while maintaining constant the other ones, we found the thermodynamic conditions under which an optimum equilibrium is reached between the competing nucleation and growth rates. They were found to range between 400 and 700 o C (T) and between 20 and 60 Kbars (P), respectively. Under these conditions, we further optimized the growth process, by establishing the most appropriate growth duration (several hours), reagent type (pre-reacted precursor) and composition (presence of 0.4 mole% water and of 5% Na excess with respect to the stoichiometric composition). Typical crystals having several hundreds μm in linear sizes were reproducibly obtained, while the largest sample was about 800 μm. A description of the crystal growth mechanism, based on the experimental results, is also presented and discussed. It assumes that two different mechanisms control the crystal growth, depending on whether the crystallization is taking place outside the stability field, i.e. in presence of native reagents, or inside it, i.e. in a polycrystalline NaMn 7 O 12 phase matrix. In the first case, large crystal growth occurs thanks to the low nucleation and high diffusion rates, while in the second one the crystallization is due to the solid-state mechanism based on the free energy reduction caused by grain boundary migration. - Graphical abstract: Optical (a) and SEM images (b) of NaMn 7 O 12 crystals. Note the markers: 300 μm, top-right corner (a) and 40 μm, bottom left (b)

  8. GaAs monolayer: Excellent SHG responses and semi metallic to metallic transition modulated by vacancy effect

    Science.gov (United States)

    Rozahun, Ilmira; Bahti, Tohtiaji; He, Guijie; Ghupur, Yasenjan; Ablat, Abduleziz; Mamat, Mamatrishat

    2018-05-01

    Monolayer materials are considered as a promising candidate for novel applications due to their attractive magnetic, electronic and optical properties. Investigation on nonlinear optical (NLO) properties and effect of vacancy on monolayer materials are vital to property modulations of monolayers and extending their applications. In this work, with the aid of first-principles calculations, the crystal structure, electronic, magnetic, and optical properties of GaAs monolayers with the vacancy were investigated. The result shows gallium arsenic (GaAs) monolayer produces a strong second harmonic generation (SHG) response. Meanwhile, the vacancy strongly affects structural, electronic, magnetic and optical properties of GaAs monolayers. Furthermore, arsenic vacancy (VAs) brings semi metallic to metallic transition, while gallium vacancy (VGa) causes nonmagnetic to magnetic conversion. Our result reveals that GaAs monolayer possesses application potentials in Nano-amplifying modulator and Nano-optoelectronic devices, and may provide useful guidance in designing new generation of Nano-electronic devices.

  9. Solid-melt interface structure and growth of Cu alloy single crystals

    International Nuclear Information System (INIS)

    Tomimitsu, Hiroshi; Kamada, Kohji.

    1983-01-01

    Crystal-melt interface behavior during the growth of Cu-base solid solutions by the Bridgman method is discussed on the basis of experimental evidence obtained by neutron diffraction topography. Advantages of neutron diffraction topography for the characterization of large single crystals, such as dealt with in this paper, are emphasized. Evidence was odserved of extremely regular crystal growth along directions, irrespective of the macroscopic growth direction. This contrasts with the previously believed (110) normal growth which is a conclusion of growth theory based on molecular kinetics at the solid-melt interface. In consequence, we believe that the kinetics at the interface is a minor factor in the meltgrowth of metal single crystals. Revised melt-growth theory should include both the growth and the formation of the regular structure as evidenced by neutron diffraction topography. (author)

  10. Optical and electrical properties of disordered layers in GaAs crystals produced by Si+ ion implantation

    International Nuclear Information System (INIS)

    Shigetomi, S.; Matsumori, T.

    1981-01-01

    The ion dose dependence and the annealing behavior of GaAs after implantation with 200 keV 2 x 10 12 -2 x 10 16 Si + ions/cm 2 as studied by using optical absorption, reflection, photoluminescence, sheet-resistivity, Hall effect and field effect methods. The experimental results of the optical absorption and reflection indicate that, when annealed at about 400 0 C, the disordered layers produced by high-dose implantation (2 x 10 15 -2 x 10 16 ions/cm 2 ), reorder epitaxially on the underlying crystal leaving relatively little residual disorder in the near-surface. However, from the experimental results of optical reflection, 800 0 C annealing is required for perfect recrystallization. The temperature dependence of the sheet-resistivity, with annealing temperature as a parameter, indicates that, next to the variable-range hopping and phonon-assisted hopping regions, a new region is observed. Holes, thermally-activated from localized states near the Fermi level Esub(F) to the mobility edge Esub(V) near the valence band, are responsible for electrical conduction in this region. (orig.)

  11. High-Performance GaAs Nanowire Solar Cells for Flexible and Transparent Photovoltaics.

    Science.gov (United States)

    Han, Ning; Yang, Zai-xing; Wang, Fengyun; Dong, Guofa; Yip, SenPo; Liang, Xiaoguang; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2015-09-16

    Among many available photovoltaic technologies at present, gallium arsenide (GaAs) is one of the recognized leaders for performance and reliability; however, it is still a great challenge to achieve cost-effective GaAs solar cells for smart systems such as transparent and flexible photovoltaics. In this study, highly crystalline long GaAs nanowires (NWs) with minimal crystal defects are synthesized economically by chemical vapor deposition and configured into novel Schottky photovoltaic structures by simply using asymmetric Au-Al contacts. Without any doping profiles such as p-n junction and complicated coaxial junction structures, the single NW Schottky device shows a record high apparent energy conversion efficiency of 16% under air mass 1.5 global illumination by normalizing to the projection area of the NW. The corresponding photovoltaic output can be further enhanced by connecting individual cells in series and in parallel as well as by fabricating NW array solar cells via contact printing showing an overall efficiency of 1.6%. Importantly, these Schottky cells can be easily integrated on the glass and plastic substrates for transparent and flexible photovoltaics, which explicitly demonstrate the outstanding versatility and promising perspective of these GaAs NW Schottky photovoltaics for next-generation smart solar energy harvesting devices.

  12. Crystal growth of Li10B3O5

    International Nuclear Information System (INIS)

    Sugiyama, Akira; Gallagher, Hugh G.; Han, Thomas P.J.

    1999-09-01

    The growth of boron 10 isotope enriched L 10 BO (Li 10 B 3 O 5 ) optical crystal has been developed from Top-Seeded-Solution-Growth using a resistance furnace. In the preparation for growth materials, we have made further improvement on a charge loading technique to a crucible and succeeded in forming suitable high temperature flux for producing crystals. Adequate temperature gradient of 1K/cm inside the crucible was achieved from searching for a combination of setting temperatures in the vertical three-zone furnace and installing a ceramic ring under the crucible. We have also optimized seed holder configuration and established growth conditions by several attempts. As a result, two good quality L 10 BO crystals were produced with sizes of 14 x 25 x 22 mm and 13 x 10 x 12 mm from oriented seed crystals. Although these sizes were limited by the size of the crucible used, appropriate oriented samples were extracted for detailed studies in optical measurements. (author)

  13. Crystal growth under external electric fields

    International Nuclear Information System (INIS)

    Uda, Satoshi; Koizumi, Haruhiko; Nozawa, Jun; Fujiwara, Kozo

    2014-01-01

    This is a review article concerning the crystal growth under external electric fields that has been studied in our lab for the past 10 years. An external field is applied electrostatically either through an electrically insulating phase or a direct injection of an electric current to the solid-interface-liquid. The former changes the chemical potential of both solid and liquid and controls the phase relationship while the latter modifies the transport and partitioning of ionic solutes in the oxide melt during crystallization and changes the solute distribution in the crystal

  14. Crystal growth under external electric fields

    Energy Technology Data Exchange (ETDEWEB)

    Uda, Satoshi; Koizumi, Haruhiko; Nozawa, Jun; Fujiwara, Kozo [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai, Miyagi 980-8577 (Japan)

    2014-10-06

    This is a review article concerning the crystal growth under external electric fields that has been studied in our lab for the past 10 years. An external field is applied electrostatically either through an electrically insulating phase or a direct injection of an electric current to the solid-interface-liquid. The former changes the chemical potential of both solid and liquid and controls the phase relationship while the latter modifies the transport and partitioning of ionic solutes in the oxide melt during crystallization and changes the solute distribution in the crystal.

  15. Andreev reflections at interfaces between delta-doped GaAs and superconducting Al films

    DEFF Research Database (Denmark)

    Taboryski, Rafael Jozef; Clausen, Thomas; Hansen, Jørn Bindslev

    1996-01-01

    By placing several Si delta-doped layers close to the surface of a GaAs molecular beam epitaxy-grown crystal, we achieve a compensation of the Schottky barrier and obtain a good Ohmic contact between an in situ deposited (without breaking the vacuum) Al metallization layer and a highly modulation...

  16. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Dutta, P.; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V.; Zheng, N.; Ahrenkiel, P.; Martinez, J.

    2014-01-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10 7  cm −2 . Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm 2 /V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  17. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, P., E-mail: pdutta2@central.uh.edu; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V. [Department of Mechanical Engineering, University of Houston, Houston, Texas 77204 (United States); Zheng, N.; Ahrenkiel, P. [Department of Nanoscience and Nanoengineering, South Dakota School of Mines and Technology, Rapid City, South Dakota 57701 (United States); Martinez, J. [Materials Evaluation Laboratory, NASA Johnson Space Center, Houston, Texas 77085 (United States)

    2014-09-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10{sup 7 }cm{sup −2}. Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm{sup 2}/V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  18. Temperature dependence of stacking faults in catalyst-free GaAs nanopillars.

    Science.gov (United States)

    Shapiro, Joshua N; Lin, Andrew; Ratsch, Christian; Huffaker, D L

    2013-11-29

    Impressive opto-electronic devices and transistors have recently been fabricated from GaAs nanopillars grown by catalyst-free selective-area epitaxy, but this growth technique has always resulted in high densities of stacking faults. A stacking fault occurs when atoms on the growing (111) surface occupy the sites of a hexagonal-close-pack (hcp) lattice instead of the normal face-centered-cubic (fcc) lattice sites. When stacking faults occur consecutively, the crystal structure is locally wurtzite instead of zinc-blende, and the resulting band offsets are known to negatively impact device performance. Here we present experimental and theoretical evidence that indicate stacking fault formation is related to the size of the critical nucleus, which is temperature dependent. The difference in energy between the hcp and fcc orientation of small nuclei is computed using density-function theory. The minimum energy difference of 0.22 eV is calculated for a nucleus with 21 atoms, so the population of nuclei in the hcp orientation is expected to decrease as the nucleus grows larger. The experiment shows that stacking fault occurrence is dramatically reduced from 22% to 3% by raising the growth temperature from 730 to 790 ° C. These data are interpreted using classical nucleation theory which dictates a larger critical nucleus at higher growth temperature.

  19. Cross-twinning model of fcc crystal growth

    NARCIS (Netherlands)

    van de Waal, B.W.

    1995-01-01

    The theory developed in 1960 by Wagner, Hamilton and Seidensticker (WHS-theory) to explain observed crystal growth phenomena in Ge is critically reviewed and shown to be capable of explaining preservation of ABC stacking order in two dimensions in fcc crystals of effectively spherical closed shell

  20. Approach for growth of high-quality and large protein crystals

    Energy Technology Data Exchange (ETDEWEB)

    Matsumura, Hiroyoshi, E-mail: matsumura@chem.eng.osaka-u.ac.jp [Graduate School of Engineering, Osaka University, Suita, Osaka 565-0871 (Japan); JST (Japan); SOSHO Inc., Osaka 541-0053 (Japan); Sugiyama, Shigeru; Hirose, Mika; Kakinouchi, Keisuke; Maruyama, Mihoko; Murai, Ryota [Graduate School of Engineering, Osaka University, Suita, Osaka 565-0871 (Japan); JST (Japan); Adachi, Hiroaki; Takano, Kazufumi [Graduate School of Engineering, Osaka University, Suita, Osaka 565-0871 (Japan); JST (Japan); SOSHO Inc., Osaka 541-0053 (Japan); Murakami, Satoshi [JST (Japan); SOSHO Inc., Osaka 541-0053 (Japan); Graduate School of Bioscience and Biotechnology, Tokyo Institute of Technology, Nagatsuta, Midori-ku, Yokohama 226-8501 (Japan); Mori, Yusuke; Inoue, Tsuyoshi [Graduate School of Engineering, Osaka University, Suita, Osaka 565-0871 (Japan); JST (Japan); SOSHO Inc., Osaka 541-0053 (Japan)

    2011-01-01

    Three crystallization methods, including crystallization in the presence of a semi-solid agarose gel, top-seeded solution growth (TSSG) and a large-scale hanging-drop method, have previously been presented. In this study, crystallization has been further evaluated in the presence of a semi-solid agarose gel by crystallizing additional proteins. A novel crystallization method combining TSSG and the large-scale hanging-drop method has also been developed. Three crystallization methods for growing large high-quality protein crystals, i.e. crystallization in the presence of a semi-solid agarose gel, top-seeded solution growth (TSSG) and a large-scale hanging-drop method, have previously been presented. In this study the effectiveness of crystallization in the presence of a semi-solid agarose gel has been further evaluated by crystallizing additional proteins in the presence of 2.0% (w/v) agarose gel, resulting in complete gelification with high mechanical strength. In TSSG the seed crystals are hung by a seed holder protruding from the top of the growth vessel to prevent polycrystallization. In the large-scale hanging-drop method, a cut pipette tip was used to maintain large-scale droplets consisting of protein–precipitant solution. Here a novel crystallization method that combines TSSG and the large-scale hanging-drop method is reported. A large and single crystal of lysozyme was obtained by this method.

  1. Distinctions of the growth and structural-spectroscopic investigations of thin AlN films grown on the GaAs substrates

    Science.gov (United States)

    Seredin, P. V.; Kashkarov, V. M.; Arsentyev, I. N.; Bondarev, A. D.; Tarasov, I. S.

    2016-08-01

    Using X-ray diffraction analysis, atomic force microscopy, IR and UV spectroscopy, the properties of thin aluminium nitride films (4.0 for the wavelength band around 250 nm and an optical band-gap of 5 eV. It was shown that the morphology, surface composition and optical functional characteristics of AlN/GaAs heterophase systems can be controlled owing to the use of misoriented GaAs substrates as well choice of the technological parameters used for the film growth.

  2. The dependence of the wavelength on MBE growth parameters of GaAs quantum dot in AlGaAs NWs on Si (111) substrate

    Science.gov (United States)

    Reznik, R. R.; Shtrom, I. V.; Samsonenko, Yu B.; Khrebtov, A. I.; Soshnikov, I. P.; Cirlin, G. E.

    2017-11-01

    The data on the growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on Si (111) substrates by Au-assisted molecular beam epitaxy are presented. It is shown that by varying of the growth parameters it is possible to form structures like quantum dots emitting in a wide wavelengths range for both active and barrier parts. The technology proposed opens new possibilities for the integration of direct-band AIIIBV materials on silicon platform.

  3. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    International Nuclear Information System (INIS)

    Verbitskaya, E.; Eremin, V.; Ivanov, A.; Strokan, N.; Vasilev, V.; Markov, A.; Polyakov, A.; Gavrin, V.; Kozlova, Yu.; Veretenkin, E.; Bowles, T.J.

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p + -i-n + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E v +0.075 eV, presumably assigned to Ga antisite and its influence on the concentration of the ionized deep donor level EL2 +

  4. The effect of sulphur-terminated GaAs substrates on the MOVPE growth of CuGaS{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Berndt, P.R. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)]. E-mail: pearl.berndt@nmmu.ac.za; Botha, J.R. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Branch, M.S. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Kirmse, H. [Institute of Physics, Chair of Crystallography, Humboldt University of Berlin, Berlin (Germany); Neumann, W. [Institute of Physics, Chair of Crystallography, Humboldt University of Berlin, Berlin (Germany); Weber, J. [Institute for Applied Physics-Semiconductor Physics, University of Technology, Dresden (Germany)

    2007-05-31

    In this study, various CuGaS{sub 2} layers were grown on GaAs (001) substrates using metalorganic vapour phase epitaxy, for the purpose of studying the effect of sulphur-termination of the substrate on layer quality. The resultant films were investigated using X-ray diffractometry, and transmission electron microscopy, with high-resolution transmission electron microscopy providing additional insights into crystallite growth on the control substrates. This paper will demonstrate that sulphur-termination limits substrate degradation. In the absence of sulphur-termination, atypical three-dimensional MOVPE growth is observed, with epitaxial crystallites varying in size from 10 nm to 200 nm. Substrate degradation inhibits lateral growth at the interface resulting in amorphous regions, cavities, and epitaxial crystallites demonstrating overgrowth into mushroom-like structures.

  5. Growth and Raman spectroscopy studies of gold-free catalyzed semiconductor nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Zardo, Ilaria

    2010-12-15

    The present Ph.D. thesis proposes two aims: the search for catalysts alternative to gold for the growth of silicon nanowires and the investigation of the structural properties of the gold-free catalyzed Si, Ge, and GaAs nanowires. The successful growth of gold free catalyzed silicon nanowires was obtained using Ga and In as catalyst. Hydrogen plasma conditions were needed during the growth process. We proposed a growth mechanism where the role of the hydrogen plasma is taken into account. The influence of the growth conditions on nanowire growth morphology and structural properties was investigated in detail. The TEM studies showed the occurrence of different kind of twin defects depending on the nanowire growth direction. The intersection of twins in different spatial directions in <111>-oriented nanowires or the periodicity of highly dense twins in <112>-oriented nanowires leads to the formation of hexagonal domains embedded in the diamond silicon structure. A simple crystallographic model which illustrates the formation of the hexagonal phase was proposed. The presence of the hexagonal domains embedded in the diamond silicon structure was investigated also by means of Raman spectroscopy. The measured frequencies of the E2g and A1g modes were found to be in agreement with frequencies expected from phonon dispersion folding. An estimation of the percentage of hexagonal structure with respect to the cubic structure was given. The relative percentage of the two structures was found to change with growth temperature. Spatially resolved Raman scattering experiments were also realized on single Si nanowires. The lattice dynamics of gold-free catalyzed Ge and GaAs nanowires was studied by means of Raman spectroscopy. We performed spatially resolved Raman spectroscopy experiments on single crystalline- amorphous core-shell Ge nanowires. The correlation with TEM studies on nanowires grown under the same conditions and with AFM measurements realized of the same nanowires

  6. Growth and time dependent alignment of KCl crystals in Hemoglobin LB monolayer

    International Nuclear Information System (INIS)

    Mahato, Mrityunjoy; Pal, Prabir; Tah, Bidisha; Kamilya, Tapanendu; Talapatra, G.B.

    2012-01-01

    Nature and organism often use the biomineralization technique to build up various highly regular structures such as bone, teeth, kidney stone etc., and recently this becomes the strategy to design and synthesis of novel biocomposite materials. We report here the controlled crystallization of KCl in Langmuir and Langmuir Blodgett (LB) monolayer of Hemoglobin (Hb) at ambient condition. The nucleation and growth of KCl crystals in Hb monolayer has temporal and KCl concentration dependency. The growth of KCl crystals in LB film of Hb has distinct behavior in the alignment of crystals from linear to fractal like structures depending on growth time. The crystallographic identity of the biomineralized KCl crystal is confirmed from HR-TEM, XRD, and from powder diffraction simulation. Our results substantiated that the template of Langmuir monolayer of proteins plays a crucial role in biomineralization as well as in designing and synthesizing of novel biocomposite materials. Highlights: ► Biomineralization of KCl crystal has been studied in Hemoglobin LB film. ► KCl crystal growth is time and concentration of KCl dependent. ► The alignment of KCl crystal growth is fractal nature with time. ► The unfolding of Hb and evaporation factor has some role in crystallization and fractal growth.

  7. Polarized recombination of acoustically transported carriers in GaAs nanowires

    Science.gov (United States)

    Möller, Michael; Hernández-Mínguez, Alberto; Breuer, Steffen; Pfüller, Carsten; Brandt, Oliver; de Lima, Mauricio M.; Cantarero, Andrés; Geelhaar, Lutz; Riechert, Henning; Santos, Paulo V.

    2012-05-01

    The oscillating piezoelectric field of a surface acoustic wave (SAW) is employed to transport photoexcited electrons and holes in GaAs nanowires deposited on a SAW delay line on a LiNbO3 crystal. The carriers generated in the nanowire by a focused light spot are acoustically transferred to a second location where they recombine. We show that the recombination of the transported carriers occurs in a zinc blende section on top of the predominant wurtzite nanowire. This allows contactless control of the linear polarized emission by SAWs which is governed by the crystal structure. Additional polarization-resolved photoluminescence measurements were performed to investigate spin conservation during transport.

  8. Silicon Crystal Growth by the Electromagnetic Czochralski (EMCZ) Method

    Science.gov (United States)

    Watanabe, Masahito; Eguchi, Minoru; Hibiya, Taketoshi

    1999-01-01

    A new method for growing silicon crystals by using electromagnetic force to rotate the melt without crucible rotation has been developed. We call it electromagnetic Czochralski (EMCZ) growth. An electromagnetic force in the azimuthal direction is generated in the melt by the interaction between an electric current (I) through the melt in the radial direction and a vertical magnetic field (B). The rotation rate (ωm) of the silicon melt is continuously changed from 0 to over 105 rpm under I = 0 to 8 A and B = 0 to 0.1 T. Thirty-mm-diameter silicon single crystals free of dislocations could be grown under two conditions: I = 2.0 A and B = 0.05 T (ωm = 105 rpm); and I =0.2 A and B = 0.1 T (ωm = 15 rpm). The oxygen concentration in the crystals was 8 ×1017 atoms/cm3 for the high rotation rate and 1×1017 atoms/cm3 for the low rotation rate. The oxygen-concentration distributions in the radial direction in both crystals were more homogeneous than those in the crystals grown by conventional CZ and/or MCZ growth. This new crystal-growth method can be easily adopted for growing large-diameter silicon crystals.

  9. Inclusion free cadmium zinc tellurium and cadmium tellurium crystals and associated growth method

    Science.gov (United States)

    Bolotnikov, Aleskey E [South Setauket, NY; James, Ralph B [Ridge, NY

    2010-07-20

    The present disclosure provides systems and methods for crystal growth of cadmium zinc tellurium (CZT) and cadmium tellurium (CdTe) crystals with an inverted growth reactor chamber. The inverted growth reactor chamber enables growth of single, large, high purity CZT and CdTe crystals that can be used, for example, in X-ray and gamma detection, substrates for infrared detectors, or the like. The inverted growth reactor chamber enables reductions in the presence of Te inclusions, which are recognized as an important limiting factor in using CZT or CdTe as radiation detectors. The inverted growth reactor chamber can be utilized with existing crystal growth techniques such as the Bridgman crystal growth mechanism and the like. In an exemplary embodiment, the inverted growth reactor chamber is a U-shaped ampoule.

  10. Growth and fabrication of large size sodium iodide crystal scintillator

    International Nuclear Information System (INIS)

    Sabharwal, S.C.; Karandikar, S.C.; Mirza, T.; Ghosh, B.; Deshpande, R.Y.

    1979-01-01

    The growth of 80 - 135 mm dia. Sodium iodide crystals activated with thallium is described in the present report. The growth is effected in a glazed porcelain crucible in a protective ambient of dry nitrogen. The technical details of the equipment developed have been fully described. The results of measurements on the rate of growth of crystal and the optimization of different growth parameters are reported. The dependence of various factors upon the performance characteristics of the scintillator detectors made using these crystals is also discussed. The energy resolution obtained for a typical detector of dimensions 76 mm dia x 76 mm ht. is 10 percent. (auth.)

  11. Ultra-large single crystals by abnormal grain growth.

    Science.gov (United States)

    Kusama, Tomoe; Omori, Toshihiro; Saito, Takashi; Kise, Sumio; Tanaka, Toyonobu; Araki, Yoshikazu; Kainuma, Ryosuke

    2017-08-25

    Producing a single crystal is expensive because of low mass productivity. Therefore, many metallic materials are being used in polycrystalline form, even though material properties are superior in a single crystal. Here we show that an extraordinarily large Cu-Al-Mn single crystal can be obtained by abnormal grain growth (AGG) induced by simple heat treatment with high mass productivity. In AGG, the sub-boundary energy introduced by cyclic heat treatment (CHT) is dominant in the driving pressure, and the grain boundary migration rate is accelerated by repeating the low-temperature CHT due to the increase of the sub-boundary energy. With such treatment, fabrication of single crystal bars 70 cm in length is achieved. This result ensures that the range of applications of shape memory alloys will spread beyond small-sized devices to large-scale components and may enable new applications of single crystals in other metallic and ceramics materials having similar microstructural features.Growing large single crystals cheaply and reliably for structural applications remains challenging. Here, the authors combine accelerated abnormal grain growth and cyclic heat treatments to grow a superelastic shape memory alloy single crystal to 70 cm.

  12. Growth and characterization of straight InAs/GaAs nanowire heterostructures on Si substrate

    International Nuclear Information System (INIS)

    Yan Xin; Zhang Xia; Li Jun-Shuai; Lü Xiao-Long; Ren Xiao-Min; Huang Yong-Qing

    2013-01-01

    Vertical InAs/GaAs nanowire (NW) heterostructures with a straight InAs segment have been successfully fabricated on Si (111) substrate by using AlGaAs/GaAs buffer layers coupled with a composition grading InGaAs segment. Both the GaAs and InAs segments are not limited by the misfit strain induced critical diameter. The low growth rate of InAs NWs is attributed to the AlGaAs/GaAs buffer layers which dramatically decrease the adatom diffusion contribution to the InAs NW growth. The crystal structure of InAs NW can be tuned from zincblende to wurtzite by controlling its diameter as well as the length of GaAs NWs. This work helps to open up a road for the integration of high-quality III-V NW heterostructures with Si

  13. Effects of surface passivation on twin-free GaAs nanosheets.

    Science.gov (United States)

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  14. Development of n- and p-type Doped Perovskite Single Crystals Using Solid-State Single Crystal Growth (SSCG) Technique

    Science.gov (United States)

    2017-10-09

    for AGG should be minimal. For this purpose, the seeds for AGG may also be provided externally. This process is called the solid-state single...bonding process . Figure 31 shows (a) the growth of one large single crystal from one small single crystal seed as well as (b) the growth of one...one bi-crystal seed : One large bi-crystal can be grown from one small bi-crystal by SSCG process . Fig. 32. Diffusion bonding process for

  15. Molecular beam epitaxial growth of Bi2Te3 and Sb2Te3 topological insulators on GaAs (111 substrates: a potential route to fabricate topological insulator p-n junction

    Directory of Open Access Journals (Sweden)

    Zhaoquan Zeng

    2013-07-01

    Full Text Available High quality Bi2Te3 and Sb2Te3 topological insulators films were epitaxially grown on GaAs (111 substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111 substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111 substrate is better than a vicinal substrate to provide high quality Bi2Te3 and Sb2Te3 films. Hall and magnetoresistance measurements indicate that p type Sb2Te3 and n type Bi2Te3 topological insulator films can be directly grown on a GaAs (111 substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  16. Optimized Pyroelectric Vidicon Thermal Imager. Volume II. Improper Ferroelectric Crystal Growth.

    Science.gov (United States)

    1980-09-01

    4.2.1 Apparatus .......................... 77 4.2.2 Growth from Acidic Media .................o 78 4.2.3 Hydrothermal Growth in Basic Media ...... 99...method of hydrothermal growth was examined using both acidic and basic solvents. (1) Standard Composition Our standard composition was derived from... Acid 10 Good, well formed crystals. Acrylic Acid 10 Very good, clear crystals. Glycine 10 Poor crystals. Oxalic Acid 10 Precipitation of calcium and

  17. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    Science.gov (United States)

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  18. Monte Carlo simulation of continuous-space crystal growth

    International Nuclear Information System (INIS)

    Dodson, B.W.; Taylor, P.A.

    1986-01-01

    We describe a method, based on Monte Carlo techniques, of simulating the atomic growth of crystals without the discrete lattice space assumed by conventional Monte Carlo growth simulations. Since no lattice space is assumed, problems involving epitaxial growth, heteroepitaxy, phonon-driven mechanisms, surface reconstruction, and many other phenomena incompatible with the lattice-space approximation can be studied. Also, use of the Monte Carlo method circumvents to some extent the extreme limitations on simulated timescale inherent in crystal-growth techniques which might be proposed using molecular dynamics. The implementation of the new method is illustrated by studying the growth of strained-layer superlattice (SLS) interfaces in two-dimensional Lennard-Jones atomic systems. Despite the extreme simplicity of such systems, the qualitative features of SLS growth seen here are similar to those observed experimentally in real semiconductor systems

  19. Crystal Growth of Ternary Compound Semiconductors in Low Gravity Environment

    Science.gov (United States)

    Su, Ching-Hua

    2014-01-01

    A low gravity material experiment will be performed in the Material Science Research Rack (MSRR) on International Space Station (ISS). There are two sections of the flight experiment: (I) crystal growth of ZnSe and related ternary compounds, such as ZnSeS and ZnSeTe, by physical vapor transport (PVT) and (II) melt growth of CdZnTe by directional solidification. The main objective of the project is to determine the relative contributions of gravity-driven fluid flows to the compositional distribution, incorporation of impurities and defects, and deviation from stoichiometry observed in the grown crystals as results of buoyancy-driven convection and growth interface fluctuations caused by irregular fluid-flows on Earth. The investigation consists of extensive ground-based experimental and theoretical research efforts and concurrent flight experimentation. This talk will focus on the ground-based studies on the PVT crystal growth of ZnSe and related ternary compounds. The objectives of the ground-based studies are (1) obtain the experimental data and conduct the analyses required to define the optimum growth parameters for the flight experiments, (2) perfect various characterization techniques to establish the standard procedure for material characterization, (3) quantitatively establish the characteristics of the crystals grown on Earth as a basis for subsequent comparative evaluations of the crystals grown in a low-gravity environment and (4) develop theoretical and analytical methods required for such evaluations. ZnSe and related ternary compounds have been grown by vapor transport technique with real time in-situ non-invasive monitoring techniques. The grown crystals have been characterized extensively by various techniques to correlate the grown crystal properties with the growth conditions.

  20. Simulated and experimental spectroscopic performance of GaAs X-ray pixel detectors

    International Nuclear Information System (INIS)

    Bisogni, M.G.; Cola, A.; Fantacci, M.E.

    2001-01-01

    In pixel detectors, the electrode geometry affects the signal shape and therefore the spectroscopic performance of the device. This effect is enhanced in semiconductors where carrier trapping is relevant. In particular, semi insulating (SI) GaAs crystals present an incomplete charge collection due to a high concentration of deep traps in the bulk. In the last few years, SI GaAs pixel detectors have been developed as soft X-ray detectors for medical imaging applications. In this paper, we present a numerical method to evaluate the local charge collection properties of pixel detectors. A bi-dimensional description has been used to represent the detector geometry. According to recent models, the active region of a reverse biased SI GaAs detector is almost neutral. Therefore, the electrostatic potential inside a full active detector has been evaluated using the Laplace equation. A finite difference method with a fixed step orthogonal mesh has been adopted. The photon interaction point has been generated with a Monte Carlo method according to the attenuation length of a monochromatic X-ray beam in GaAs. The number of photogenerated carriers for each interaction has been extracted using a gaussian distribution. The induced signal on the collecting electrode has been calculated according to the Ramo's theorem and the trapping effect has been modeled introducing electron and hole lifetimes. The noise of the charge preamplifier have been also taken into account. A comparison between simulated and experimental X-ray spectra from a 241 Am source acquired with different GaAs pixel detectors has been carried out

  1. Crystal growth of various ruthenates

    Energy Technology Data Exchange (ETDEWEB)

    Kunkemoeller, Stefan; Braden, Markus [II. Physikalisches Institut, Universitaet zu Koeln (Germany); Nugroho, Agung [Institut Teknologi Bandung (Indonesia)

    2013-07-01

    Ruthenates of the Ruddlesdon-Popper series exhibit a variety of interesting phenomena ranging from unconventional superconductivity to orbitally polarized Mott insulators. Unfortunately the crystal growth of most of these ruthenates is extremely difficult partially due to the high evaporation of ruthenium; this strongly limits the research on these fascinating materials. We have started to grow single crystals of layered and perovskite ruthenates by the travelling floating-zone method using a Canon SC1-MDH mirror furnace. For the layered Ca{sub 2-x}Sr{sub x}RuO{sub 4} series we focused first on the range of concentration where recent My-SR experiments reveal spin-density wave ordering to occur at relatively high temperature and with a sizeable ordered moment. Good quality crystals of Ca{sub 1.5}Sr{sub 0.5}RuO{sub 4} can be obtained, when an excess of 15 percent of ruthenium is added to the initial preparation of the rod and when a high growth speed up to 40mm/h is used. Even slight modifications of the growing conditions result in large amounts of (Sr/Ca)RuO{sub 3} and (Sr/Ca){sub 3}Ru{sub 2}O{sub 7} intergrowth phases. First attempts to grow perovskite and double-layered ruthenates are discussed as well.

  2. Homogeneous SiGe crystal growth in microgravity by the travelling liquidus-zone method

    International Nuclear Information System (INIS)

    Kinoshita, K; Arai, Y; Inatomi, Y; Sakata, K; Takayanagi, M; Yoda, S; Miyata, H; Tanaka, R; Sone, T; Yoshikawa, J; Kihara, T; Shibayama, H; Kubota, Y; Shimaoka, T; Warashina, Y

    2011-01-01

    Homogeneous SiGe crystal growth experiments will be performed on board the ISS 'Kibo' using a gradient heating furnace (GHF). A new crystal growth method invented for growing homogeneous mixed crystals named 'travelling liquidus-zone (TLZ) method' is evaluated by the growth of Si 0.5 Ge 0.5 crystals in space. We have already succeeded in growing homogeneous 2mm diameter Si 0.5 Ge 0.5 crystals on the ground but large diameter homogeneous crystals are difficult to be grown due to convection in a melt. In microgravity, larger diameter crystals can be grown with suppressing convection. Radial concentration profiles as well as axial profiles in microgravity grown crystals will be measured and will be compared with our two-dimensional TLZ growth model equation and compositional variation is analyzed. Results are beneficial for growing large diameter mixed crystals by the TLZ method on the ground. Here, we report on the principle of the TLZ method for homogeneous crystal growth, results of preparatory experiments on the ground and plan for microgravity experiments.

  3. Formation and growth mechanism of TiC crystal in TiCp/Ti composites

    Institute of Scientific and Technical Information of China (English)

    金云学; 王宏伟; 曾松岩; 张二林

    2002-01-01

    Ti-C and Ti-Al-C alloys were prepared using gravity and directional solidification processes. Morphologies of TiC crystal were investigated by using SEM, XRD and EDX. Also, the formation and growth mechanism of TiC crystal have been analyzed on the basis of coordination polyhedron growth unit theory. During solidification of titanium alloys, the coordination polyhedron growth unit is TiC6. TiC6 growth units stack in a linking mode of edge to edge and form octahedral TiC crystal with {111} planes as present faces. Although the growing geometry of TiC crystal is decided by its lattice structure, the final morphology of TiC crystal depends on the effects of its growth environment. In solute concentration distribution, the super-saturation of C or TiC6 at the corners of octahedral TiC crystal is much higher than that of edges and faces of octahedral TiC crystal. At these corners the driving force for crystal growth is greater and the interface is instable which contribute to quick stacking rate of growth units at these corners and result in secondary dendrite arms along TiC crystallographic 〈100〉 directions. TiC crystal finally grows to be dendrites.

  4. Hydrothermal growth of PbSO4 (Anglesite) single crystal

    International Nuclear Information System (INIS)

    Kikuta, Ko-ichi; Yoneta, Yasuhito; Yogo, Toshinobu; Hirano, Shin-ichi

    1994-01-01

    Hydrothermal growth of single crystals of PbSO 4 , which is known as a natural mineral called anglesite, was investigated. Lead nitrate and nitric acid solutions were found to be useful for the growth of angle-site on the basis of the experimental results on the dissolution behavior. Relatively large euhedral single crystals bound by {210} and {101} planes were successfully grown in 1.5 mol/kg Pb(NO 3 ) 2 at 400degC and 100 MPa. Optical characterization revealed that the grown anglesite crystals can be useful for scintillators material. (author)

  5. The Mn site in Mn-doped GaAs nanowires: an EXAFS study

    International Nuclear Information System (INIS)

    D’Acapito, F; Rovezzi, M; Boscherini, F; Jabeen, F; Bais, G; Piccin, M; Rubini, S; Martelli, F

    2012-01-01

    We present an EXAFS study of the Mn atomic environment in Mn-doped GaAs nanowires. Mn doping has been obtained either via the diffusion of the Mn used as seed for the nanowire growth or by providing Mn during the growth of Au-induced wires. As a general finding, we observe that Mn forms chemical bonds with As but is not incorporated in a substitutional site. In Mn-induced GaAs wires, Mn is mostly found bonded to As in a rather disordered environment and with a stretched bond length, reminiscent of that exhibited by MnAs phases. In Au-seeded nanowires, along with stretched MnAs coordination, we have found the presence of Mn in a MnAu intermetallic compound. (paper)

  6. Formation of columnar (In,Ga)As quantum dots on GaAs(100)

    International Nuclear Information System (INIS)

    He, J.; Noetzel, R.; Offermans, P.; Koenraad, P.M.; Gong, Q.; Hamhuis, G.J.; Eijkemans, T.J.; Wolter, J.H.

    2004-01-01

    Columnar (In,Ga)As quantum dots (QDs) with homogeneous composition and shape in the growth direction are realized by molecular-beam epitaxy on GaAs(100) substrates. The columnar (In,Ga)As QDs are formed on InAs seed QDs by alternating deposition of thin GaAs intermediate layers and monolayers of InAs with extended growth interruptions after each layer. The height of the columnar (In,Ga)As QDs is controlled by varying the number of stacked GaAs/InAs layers. The structural and optical properties are studied by cross-sectional scanning tunneling microscopy, atomic force microscopy, and photoluminescence spectroscopy. With increase of the aspect ratio of the columnar QDs, the emission wavelength is redshifted and the linewidth is reduced

  7. Growth, morphology, spectral and thermal studies of gel grown diclofenac acid crystals

    Science.gov (United States)

    Ramachandran, E.; Ramukutty, S.

    2014-03-01

    The crystal growth of diclofenac acid in silica gel is the first to be reported in literature. The growth parameters were varied to optimize the suitable growth condition. Single crystal X-ray diffraction method was used for the conformation of the crystal structure. Morphology studies showed that the growth is prominent along the b-axis and the prominent face is {002}. Fourier transform infrared spectral study was performed to identify the functional groups present in the crystal. Thermal stability and decomposition of the material were analyzed using thermo calorimetry in the temperature range 30-500 °C.

  8. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al2O3 gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    Directory of Open Access Journals (Sweden)

    Takeshi Aoki

    2015-08-01

    Full Text Available This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS structures comprising a Al2O3 gate oxide, deposited via atomic layer deposition (ALD, with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD. The established protocol afforded self-limiting growth of Al2O3 in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al2O3 layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resulting MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (Dit near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce Dit to below 2 × 1012 cm−2 eV−1. Using a (111A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.

  9. Inhibition of ice crystal growth in ice cream mix by gelatin hydrolysate.

    Science.gov (United States)

    Damodaran, Srinivasan

    2007-12-26

    The inhibition of ice crystal growth in ice cream mix by gelatin hydrolysate produced by papain action was studied. The ice crystal growth was monitored by thermal cycling between -14 and -12 degrees C at a rate of one cycle per 3 min. It is shown that the hydrolysate fraction containing peptides in the molecular weight range of about 2000-5000 Da exhibited the highest inhibitory activity on ice crystal growth in ice cream mix, whereas fractions containing peptides greater than 7000 Da did not inhibit ice crystal growth. The size distribution of gelatin peptides formed in the hydrolysate was influenced by the pH of hydrolysis. The optimum hydrolysis conditions for producing peptides with maximum ice crystal growth inhibitory activity was pH 7 at 37 degrees C for 10 min at a papain to gelatin ratio of 1:100. However, this may depend on the type and source of gelatin. The possible mechanism of ice crystal growth inhibition by peptides from gelatin is discussed. Molecular modeling of model gelatin peptides revealed that they form an oxygen triad plane at the C-terminus with oxygen-oxygen distances similar to those found in ice nuclei. Binding of this oxygen triad plane to the prism face of ice nuclei via hydrogen bonding appears to be the mechanism by which gelatin hydrolysate might be inhibiting ice crystal growth in ice cream mix.

  10. Fabrication of GaAs nanowire devices with self-aligning W-gate electrodes using selective-area MOVPE

    International Nuclear Information System (INIS)

    Ooike, N.; Motohisa, J.; Fukui, T.

    2004-01-01

    We propose and demonstrate a novel self-aligning process for fabricating the tungsten (W) gate electrode of GaAs nanowire FETs by using selective-area metalorganic vapor phase epitaxy (SA-MOVPE) where SiO 2 /W composite films are used to mask the substrates. First, to study the growth process and its dependence on mask materials, GaAs wire structures were grown on masked substrates partially covered with a single W layer or SiO 2 /W composite films. We found that lateral growth over the masked regions could be suppressed when a wire along the [110] direction and a SiO 2 /W composite mask were used. Using this composite mask, we fabricated GaAs narrow channel FETs using W as a Schottky gate electrode, and we were able to observe FET characteristics at room temperature

  11. Silicon crystal growth using a liquid-feeding Czochralski method

    Science.gov (United States)

    Shiraishi, Yutaka; Kurosaka, Shoei; Imai, Masato

    1996-09-01

    Silicon single crystals with uniformity along the growth direction were grown using a new continuous Czochralski (CCZ) method. Polycrystalline silicon rods used as charge materials are melted by carbon heaters over a crucible without contact between the raw material and other substances. Using this method, silicon crystals with diameters as large as 6 or 8 inch and good uniformity along the growth direction were grown.

  12. Potassium-cobalt sulphate crystal growth assisted by low frequency vibrations

    Science.gov (United States)

    Sadovsky, A.; Ermochenkov, I.; Dubovenko, E.; Sukhanova, E.; Bebyakin, M.; Dubov, V.; Avetissov, I.

    2018-02-01

    Single crystals of K2Co(SO4)2·6H2O were grown from solution using the temperature reduction method enhanced by the axial low frequency vibration control technique (AVC-technique). Physical modeling of heat-mass transfer in solution under the AVC action was performed. The growth rate of the AVC grown crystal was found to be twice that of the crystal grown under natural convection conditions. Analysis of spectral characteristics (absorption and Raman spectra) as well as structural properties (dislocation density and microhardness) of the grown crystals showed the significant superiority of the AVC technique for the growth of K2Co(SO4)2·6H2O crystals.

  13. Needle-shaped and platelet growth of borax crystals

    International Nuclear Information System (INIS)

    Takoo, R.K.; Patel, B.R.; Joshi, M.S.

    1983-01-01

    Needle-shaped and platelet growth of borax crystals from solutions is reported. Results of microtopographical studies on both the varieties are discussed. It is suggested that a slow rate of evaporation favours needle growth and a faster rate is conducive to the growth of platelets. (author)

  14. Mechanism of abnormally slow crystal growth of CuZr alloy

    International Nuclear Information System (INIS)

    Yan, X. Q.; Lü, Y. J.

    2015-01-01

    Crystal growth of the glass-forming CuZr alloy is shown to be abnormally slow, which suggests a new method to identify the good glass-forming alloys. The crystal growth of elemental Cu, Pd and binary NiAl, CuZr alloys is systematically studied with the aid of molecular dynamics simulations. The temperature dependence of the growth velocity indicates the different growth mechanisms between the elemental and the alloy systems. The high-speed growth featuring the elemental metals is dominated by the non-activated collision between liquid-like atoms and interface, and the low-speed growth for NiAl and CuZr is determined by the diffusion across the interface. We find that, in contrast to Cu, Pd, and NiAl, a strong stress layering arisen from the density and the local order layering forms in front of the liquid-crystal interface of CuZr alloy, which causes a slow diffusion zone. The formation of the slow diffusion zone suppresses the interface moving, resulting in much small growth velocity of CuZr alloy. We provide a direct evidence of this explanation by applying the compressive stress normal to the interface. The compression is shown to boost the stress layering in CuZr significantly, correspondingly enhancing the slow diffusion zone, and eventually slowing down the crystal growth of CuZr alloy immediately. In contrast, the growth of Cu, Pd, and NiAl is increased by the compression because the low diffusion zones in them are never well developed

  15. Superconducting NbN single-photon detectors on GaAs with an AlN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Ekkehart; Merker, Michael; Ilin, Konstantin; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie, Hertzstrasse 16, 76187 Karlsruhe (Germany)

    2015-07-01

    GaAs is the material of choice for photonic integrated circuits. It allows the monolithic integration of single-photon sources like quantum dots, waveguide based optical circuits and detectors like superconducting nanowire single-photon detectors (SNSPDs) onto one chip. The growth of high quality NbN films on GaAs is challenging, due to natural occurring surface oxides and the large lattice mismatch of about 27%. In this work, we try to overcome these problems by the introduction of a 10 nm AlN buffer layer. Due to the buffer layer, the critical temperature of 6 nm thick NbN films was increased by about 1.5 K. Furthermore, the critical current density at 4.2 K of NbN flim deposited onto GaAs with AlN buffer is 50% higher than of NbN film deposited directly onto GaAs substrate. We successfully fabricated NbN SNSPDs on GaAs with a AlN buffer layer. SNSPDs were patterned using electron-beam lithography and reactive-ion etching techniques. Results on the study of detection efficiency and jitter of a NbN SNSPD on GaAs, with and without AlN buffer layer will be presented and discussed.

  16. Surface science analysis of GaAs photocathodes following sustained electron beam delivery

    Directory of Open Access Journals (Sweden)

    V. Shutthanandan

    2012-06-01

    Full Text Available Degradation of the photocathode materials employed in photoinjectors represents a challenge for sustained operation of nuclear physics accelerators and high power free electron lasers (FEL. Photocathode quantum efficiency degradation is due to residual gases in the electron source vacuum system being ionized and accelerated back to the photocathode. These investigations are a first attempt to characterize the nature of the photocathode degradation, and employ multiple surface and bulk analysis techniques to investigate damage mechanisms including sputtering of the Cs-oxidant surface monolayer, other surface chemistry effects, and ion implantation. Surface and bulk analysis studies were conducted on two GaAs photocathodes, which were removed from the JLab FEL DC photoemission gun after delivering electron beam, and two control samples. The analysis techniques include helium ion microscopy, Rutherford backscattering spectrometry (RBS, atomic force microscopy, and secondary ion mass spectrometry (SIMS. In addition, two high-polarization strained superlattice GaAs photocathode samples, one removed from the continuous electron beam accelerator facility (CEBAF photoinjector and one unused, were also analyzed using transmission electron microscopy (TEM and SIMS. It was found that heat cleaning the FEL GaAs wafer introduces surface roughness, which seems to be reduced by prolonged use. The bulk GaAs samples retained a fairly well organized crystalline structure after delivering beam but show evidence of Cs depletion on the surface. Within the precision of the SIMS and RBS measurements, the data showed no indication of hydrogen implantation or lattice damage from ion back bombardment in the bulk GaAs wafers. In contrast, SIMS and TEM measurements of the strained superlattice photocathode show clear crystal damage in the wafer from ion back bombardment.

  17. Crystal growth and scintillation properties of Lu substituted CeBr.sub.3./sub. single crystals

    Czech Academy of Sciences Publication Activity Database

    Ito, T.; Yokota, Y.; Kurosawa, S.; Král, Robert; Kamada, K.; Pejchal, Jan; Ohashi, Y.; Yoshikawa, A.

    2016-01-01

    Roč. 452, Oct (2016), s. 65-68 ISSN 0022-0248. [American Conference on Crystal Growth and Epitaxy /20./ (ACCGE) / 17th Biennial Workshop on Organometallic Vapor Phase Epitaxy (OMVPE) / 2nd 2D Electronic Materials Symposium. Big Sky, MT, 02.08.2015-07.08.2015] Institutional support: RVO:68378271 Keywords : radiation * halides * scintillator materials * crystal growth Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.751, year: 2016

  18. Time-Lapse, in Situ Imaging of Ice Crystal Growth Using Confocal Microscopy.

    Science.gov (United States)

    Marcellini, Moreno; Noirjean, Cecile; Dedovets, Dmytro; Maria, Juliette; Deville, Sylvain

    2016-11-30

    Ice crystals nucleate and grow when a water solution is cooled below its freezing point. The growth velocities and morphologies of the ice crystals depend on many parameters, such as the temperature of ice growth, the melting temperature, and the interactions of solutes with the growing crystals. Three types of morphologies may appear: dendritic, cellular (or fingerlike), or the faceted equilibrium form. Understanding and controlling which type of morphology is formed is essential in several domains, from biology to geophysics and materials science. Obtaining, in situ, three dimensional observations without introducing artifacts due to the experimental technique is nevertheless challenging. Here we show how we can use laser scanning confocal microscopy to follow in real-time the growth of smoothed and faceted ice crystals in zirconium acetate solutions. Both qualitative and quantitative observations can be made. In particular, we can precisely measure the lateral growth velocity of the crystals, a measure otherwise difficult to obtain. Such observations should help us understand the influence of the parameters that control the growth of ice crystals in various systems.

  19. Strain in GaAs / InAs core-shell nanowire heterostructures grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Rieger, Torsten; Lepsa, Mihail Ion [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires (NWs) has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. Compared to planar heterostructures, the nanowire approach offers an advantage regarding the possibility to form heterostructures between highly lattice mismatched systems, because the free surface of the nanowires allows to relieve the strain more efficiently. One particular way to form heterostructures in the NW geometry, is the fabrication of core-shell devices, in which a NW core is surrounded by a shell of different material. The understanding of the mutual strain between core and shell, as well as the relaxation behavior of the system are crucial for the fabrication of functional devices. In this contribution we report on first X-ray diffraction measurements of GaAs-core/InAs-shell nanowires grown on GaAs(111) by molecular beam epitaxy. Using symmetric- and grazing-incidence X-ray diffraction, the relaxation state of the InAs shell as well as the strain in the GaAs core are measured as function of the InAs shell thickness, showing a gradual relaxation behavior of the shell.

  20. Epitaxial growth of chalcopyrite CuInS2 films on GaAs (001) substrates by evaporation method with elemental sources

    International Nuclear Information System (INIS)

    Nozomu, Tsuboi; Satoshi, Kobayash; Nozomu, Tsuboi; Takashi, Tamogami

    2010-01-01

    Full text : Ternary chalcopyrite semiconductor CuInS 2 is one of the potential candidates for absorber layers in high-efficiency thin film solar cells due to its direct bandgap Eg of 1.5 eV, which matches with solar spectrum. However, CuInS 2 solar cells face the problem of lower solar conversion efficiency compared with Cu(InGa)Se 2 solar cells. Investigation of fundamental properties of CuInS 2 films is necessary to understand key issues for solar cell performance. Although in bulk CuInS 2 is known to crystallize into chalcopyrite (CH) structure, in thin film other structures such as Cu-Au (CA) and sphalerite (SP) structures may coexist. It was reported epitaxial growth of slightly Cu-rich CuInS 2 films with c-axis orientated CA only and/or with a mixture of a- and c-axes orientated CH structures on GaP (001) at substrate temperature of 500 degrees using the conventional evaporation method with three elemental sources. Successful growth of epitaxial CH structured CuInS 2 were observed for films grown on GaP at 570 degrees with slightly Cu-rich composition. In this paper, CuInS 2 films with various [Cu]/[In] ratios are grown on GaAs(001) substrates, and the composition range in terms of the [Cu]/[In] ratio where epitaxial films with CH structure grow and the structural qualities of the films are discussed in comparison with those on GaP substrates. Films with various ratios of [Cu]/[In]=0.8 ≤1.9 are grown at 500 degrees and 570 degrees using the evaporation system described in our previous reports. Regardless of the substrate temperature, noticeable X-ray diffraction (XRD) peaks of CH structured CuInS 2 phase are observed in slightly Cu-rich films. However, reflection high energy electron diffraction (RHEED) patterns of the slightly Cu-rich films grown at 570 degrees exhibit noticeable spots not only due to the CH structure but also due to the CA structure. The amount of the CA structure is considered to be small because of the absence of the XRD peaks of the CA

  1. Growth and characterization of high-purity SiC single crystals

    Science.gov (United States)

    Augustine, G.; Balakrishna, V.; Brandt, C. D.

    2000-04-01

    High-purity SiC single crystals with diameter up to 50 mm have been grown by the physical vapor transport method. Finite element analysis was used for thermal modeling of the crystal growth cavity in order to reduce stress in the grown crystal. Crystals are grown in high-purity growth ambient using purified graphite furniture and high-purity SiC sublimation sources. Undoped crystals up to 50 mm in diameter with micropipe density less than 100 cm -2 have been grown using this method. These undoped crystals exhibit resistivities in the 10 3 Ω cm range and are p-type due to the presence of residual acceptor impurities, mainly boron. Semi-insulating SiC material is obtained by doping the crystal with vanadium. Vanadium has a deep donor level located near the middle of the band gap, which compensates the residual acceptor resulting in semi-insulating behavior.

  2. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  3. Epitaxial crystal growth by sputter deposition: Applications to semiconductors. Part 2

    International Nuclear Information System (INIS)

    Greene, J.E.

    1984-01-01

    The understanding of the physics of ion-surface interactions has progressed sufficiently to allow sputter depositinn to be used as a crystal growth technique for depositing a wide variety of single crystal elemental, compound, alloy, and superlattice semiconductors. In many cases, films with essentially bulk values of carrier concentrations and mobilities have been obtained. The controlled use of low energy particle bombardment of the growing film during sputter deposition has been shown to affect all stages of crystal growth ranging from adatom mobilities and nucleation kinetics to elemental incorporation probabilities. Such effects provide inherent advantages for sputter deposition over other vapor phase techniques for the low temperature growth of compound and alloy semiconductors and are essential in allowing the growth of new and unique single crystal metastable semiconductors. Part 1 of this review includes sections on experimental techniques, the physics of ion-surface interactions, and ion bombardment effects on film nucleation and growth, while Part 2 presents a discussion of recent results in the growth of elemental, III-V, II-VI, IV-VI, metastable, and other compound semiconductors

  4. Protein crystal growth results from the United States Microgravity Laboratory-1 mission

    Science.gov (United States)

    Delucas, Lawrence J.; Moore, K. M.; Vanderwoerd, M.; Bray, T. L.; Smith, C.; Carson, M.; Narayana, S. V. L.; Rosenblum, W. M.; Carter, D.; Clark, A. D, Jr.

    1994-01-01

    Protein crystal growth experiments have been performed by this laboratory on 18 Space Shuttle missions since April, 1985. In addition, a number of microgravity experiments also have been performed and reported by other investigators. These Space Shuttle missions have been used to grow crystals of a variety of proteins using vapor diffusion, liquid diffusion, and temperature-induced crystallization techniques. The United States Microgravity Laboratory - 1 mission (USML-1, June 25 - July 9, 1992) was a Spacelab mission dedicated to experiments involved in materials processing. New protein crystal growth hardware was developed to allow in orbit examination of initial crystal growth results, the knowledge from which was used on subsequent days to prepare new crystal growth experiments. In addition, new seeding hardware and techniques were tested as well as techniques that would prepare crystals for analysis by x-ray diffraction, a capability projected for the planned Space Station. Hardware that was specifically developed for the USML-1 mission will be discussed along with the experimental results from this mission.

  5. Lithium compensation of GaAs

    International Nuclear Information System (INIS)

    Alexiev, D.; Tavendale, A.J.

    1988-08-01

    Defects generated following Li diffusion into GaAs were studied by optical deep level transient spectroscopy (ODLTS) and deep level transient spectroscopy (DLTS). In an exploratory series of experiments, the effect of Li diffusion on existing trap spectra, defect generation and as a means for the compensation of GaAs was studied. The variables included diffusion temperature, initial trap spectra of GaAs and annealing periods. Detailed measurements of trap energies were made

  6. New developments on size-dependent growth applied to the crystallization of sucrose

    Science.gov (United States)

    Martins, P. M.; Rocha, F.

    2007-12-01

    The effect of crystal size on the growth rate of sucrose (C 12H 22O 11) at 40 °C is investigated from a theoretical and an experimental point of view. Based on new perspectives resulting from the recently introduced spiral nucleation model [P.M. Martins, F. Rocha, Surf. Sci. 601 (2007) 3400], crystal growth rates are expressed in terms of mass deposition per time and crystal volume units. This alternative definition is demonstrated to be size-independent over the considered supersaturation range. The conventional overall growth rate expressed per surface area units is found to be linearly dependent on crystal size. The advantages of the "volumetric" growth rate concept are discussed. Sucrose dissolution rates were measured under reciprocal conditions of the growth experiments in order to investigate the two-way effect of crystal size on mass transfer rates and on the integration kinetics. Both effects are adequately described by combining a well-established diffusion-integration model and the spiral nucleation mechanism.

  7. The nuclear reaction analysis (NRA) as a means for detecting carbon in GaAs and in source materials and additives

    International Nuclear Information System (INIS)

    Bethge, K.; Mader, A.; Michelmann, R.; Krauskopf, J.; Thee, P.; Meyer, J.D.

    1991-01-01

    The nuclear reaction ananlysis (NRA) on the basis of the reaction 12 C (d,p) 13 C is a method allowing the detection and description of both lateral and depth profiles of the presence of carbon in GaAs and in the source materials and additives. The NRA is an absolute method with a detection limit for C of approx. 4x10 15 cm 3 . The achievable detection range in depth under the experimental conditions goes from the surface down to 6 μm. Combined with channeling measurements, NRA is capable of identifying the position of carbon in the GaAs crystal lattice, and thus permits to examine the mobility of C in GaAs. (BBR) With 11 refs [de

  8. Initial Stages of GaAs/Au Eutectic Alloy Formation for the Growth of GaAs Nano wires

    International Nuclear Information System (INIS)

    Rosnita, M.; Yussof, W.; Zuhairi, I.; Zulkafli, O.; Samsudi, S.

    2012-01-01

    Annealing temperature plays an important role in the formation of an Au-Ga eutectic alloy. The effects of the annealing temperature on gold nanoparticles colloid and substrate surface were studied using AFM, FE-SEM and TEM. At 600 degree Celsius, the layer of gold colloids particle formed an island in the state of molten eutectic alloy and absorbed evaporated metal-organics to formed nano wire (NW) underneath the alloy. Pit formed on the substrate surface due to the chemical reactions during the annealing process have an impact on the direction of growth of the NW. Without annealing, the NW formed vertically on the GaAs (100) surface. The growth direction depends on the original nucleation facets and surface energy when annealed. When annealed, the wire base is large and curved due to the migration of Ga atoms on the substrate surface towards the tip of the wire and the line tension between the substrate surface and gold particle. (author)

  9. Understanding the true shape of Au-catalyzed GaAs nanowires.

    Science.gov (United States)

    Jiang, Nian; Wong-Leung, Jennifer; Joyce, Hannah J; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-10-08

    With increasing interest in nanowire-based devices, a thorough understanding of the nanowire shape is required to gain tight control of the quality of nanowire heterostructures and improve the performance of related devices. We present a systematic study of the sidewalls of Au-catalyzed GaAs nanowires by investigating the faceting process from the beginning with vapor-liquid-solid (VLS) nucleation, followed by the simultaneous radial growth on the sidewalls, and to the end with sidewall transformation during annealing. The VLS nucleation interface of our GaAs nanowires is revealed by examining cross sections of the nanowire, where the nanowire exhibits a Reuleaux triangular shape with three curved surfaces along {112}A. These curved surfaces are not thermodynamically stable and adopt {112}A facets during radial growth. We observe clear differences in radial growth rate between the ⟨112⟩A and ⟨112⟩B directions with {112}B facets forming due to the slower radial growth rate along ⟨112⟩B directions. These sidewalls transform to {110} facets after high temperature (>500 °C) annealing. A nucleation model is proposed to explain the origin of the Reuleaux triangular shape of the nanowires, and the sidewall evolution is explained by surface kinetic and thermodynamic limitations.

  10. Surface growth mechanisms and structural faulting in the growth of large single and spherulitic titanosilicate ETS-4 crystals

    Science.gov (United States)

    Miraglia, Peter Q.; Yilmaz, Bilge; Warzywoda, Juliusz; Sacco, Albert

    2004-10-01

    Morphological, surface and crystallographic analyses of titanosilicate ETS-4 products, with diverse habits ranging from spherulitic particles composed of submicron crystallites to large single crystals, are presented. Pole figures revealed that crystal surfaces with a-, b- and c- axes corresponded to , and directions, respectively. Thus, technologically important 8-membered ring pores and titania chains in ETS-4 run along the b-axis of single crystals and terminate at the smallest crystal face. Height of the spiral growth steps observed on {1 0 0} and {0 0 1} surfaces corresponded to the interplanar spacings associated with their crystallographic orientation, and is equivalent to the thickness of building units that form the ETS-4 framework. Data suggest that the more viscous synthesis mixtures, with a large driving force for growth, increased the two- and three-dimensional nucleation, while limiting the transport of nutrients to the growth surface. These conditions increase the tendency for stacking fault formation on {1 0 0} surfaces and small angle branching, which eventually results in spherulitic growth. The growth of high quality ETS-4 single crystals (from less viscous synthesis mixtures) occurred at lower surface nucleation rates. Data suggest that these high quality, large crystals grew due to one-dimensional nucleation at spiral hillocks, and indicate that under these conditions un-faulted growth is preferred.

  11. The 1993 annual conference of the Israeli Association for Crystal Growth. Program and abstracts

    International Nuclear Information System (INIS)

    1993-11-01

    Papers presented in oral and poster sessions of one day conference, organized by Israeli Association for Crystal Growth, are compiled in this document. Main topics covered in this document can be classified as: (i) Fundamental and numerical analysis of crystal growth. (ii) Techniques of crystal growth and structural analysis. (iii) Thin film growth and characterization

  12. Low-energy particle treatment of GaAs surface

    International Nuclear Information System (INIS)

    Pincik, E.; Ivanco, J.; Brunner, R.; Jergel, M.; Falcony, C.; Ortega, L.; Kucera, J. M.

    2002-01-01

    The paper presents results of a complex study of surface properties of high-doped (2x10 18 cm -3 ) and semi-insulating GaAs after an interaction with the particles coming from low-energy ion sources such as RF plasma and ion beams. The virgin samples were mechano-chemically polished liquid-encapsulated Czochralski-grown GaAs (100) oriented wafers. The crystals were mounted on the grounded electrode (holder). The mixture Ar+H 2 as well as O 2 and CF 4 were used as working gases: In addition, a combination of two different in-situ exposures was applied, such as e.g. hydrogen and oxygen. Structural, electrical and optical properties of the exposed surfaces were investigated using X-ray diffraction at grazing incidence, quasi-static and high-frequency C-V curve measurements, deep-level transient spectroscopy, photo-reflectance, and photoluminescence. Plasma and ion beam exposures were performed in a commercial RF capacitively coupled plasma equipment SECON XPL-200P and a commercial LPAI device, respectively. The evolution of surface properties as a function of the pressure of working gas and the duration of exposure was observed. (Authors)

  13. Growth features of ammonium hydrogen d-tartrate single crystals

    Indian Academy of Sciences (India)

    Unknown

    Abstract. Ammonium hydrogen d-tartrate (d-AHT) single crystals were grown in silica gel. The growth fea- ... solution (specific gravity, 1⋅04 g/cc) with d-tartaric acid solution having ... resulting in the production of crystal nuclei. The interface.

  14. Crystal growth of CVD diamond and some of its peculiarities

    CERN Document Server

    Piekarczyk, W

    1999-01-01

    Experiments demonstrate that CVD diamond can form in gas environments that are carbon undersaturated with respect to diamond. This fact is, among others, the most serious violation of principles of chemical thermodynamics. In this $9 paper it is shown that none of the principles is broken when CVD diamond formation is considered not a physical process consisting in growth of crystals but a chemical process consisting in accretion of macro-molecules of polycyclic $9 saturated hydrocarbons belonging to the family of organic compounds the smallest representatives of which are adamantane, diamantane, triamantane and so forth. Since the polymantane macro-molecules are in every respect identical with $9 diamond single crystals with hydrogen-terminated surfaces, the accretion of polymantane macro- molecules is a process completely equivalent to the growth of diamond crystals. However, the accretion of macro-molecules must be $9 described in a way different from that used to describe the growth of crystals because so...

  15. Face-selective crystal growth behavior of L-aspartic acid in the presence of L-asparagine

    Science.gov (United States)

    Sato, Hiroyasu; Doki, Norihito; Yoshida, Saki; Yokota, Masaaki; Shimizu, Kenji

    2016-02-01

    The kinetic mechanism of L-asparagine (L-Asn) action on L-aspartic acid (L-Asp) crystal growth, namely the face-selective effect of L-Asn on the L-Asp crystal growth rate in each direction, was examined. In the a-axis direction, the effect of L-Asn on the L-Asp crystal growth rate was small. Enhancement and inhibition of L-Asp crystal growth, and interestingly the dissolution of the L-Asp crystal face, were observed in the b-axis direction, depending on the amount of L-Asn added. In the c-axis direction, the L-Asp crystal growth rate decreased with the increase in the amount of L-Asn added, and the experimental results were well fitted with a Langmuir adsorption isotherm. The study showed that there were crystal growth conditions where enhancement and inhibition, as well as inhibition and dissolution, coexisted in the presence of an additive with a structure similar to the growing crystal.

  16. Meniscus Imaging for Crystal-Growth Control

    Science.gov (United States)

    Sachs, E. M.

    1983-01-01

    Silicon crystal growth monitored by new video system reduces operator stress and improves conditions for observation and control of growing process. System optics produce greater magnification vertically than horizontally, so entire meniscus and melt is viewed with high resolution in both width and height dimensions.

  17. Insights into crystal growth rates from a study of orbicular granitoids from western Australia

    Science.gov (United States)

    Zhang, J.; Lee, C. T.

    2017-12-01

    The purpose of this study is to develop new tools for constraining crystal growth rate in geologic systems. Of interest is the growth of crystals in magmatic systems because crystallization changes the rheology of a magma as well as provides surfaces on which bubbles can nucleate. To explore crystal growth in more detail, we conducted a case study of orbicular granitoids from western Australia. The orbicules occur as spheroids dispersed in a granitic matrix. Most orbicules have at least two to three concentric bands, composed of elongate and radially oriented hornblende surrounded by interstitial plagioclase. We show that mineral modes and hence bulk composition at the scale of the band is homogeneous from rim to core. Crystal number density decreases and crystal size increases from rim to core. These observations suggest that the orbicules crystallized rapidly from rim to core. We hypothesize that the orbicules are blobs of hot dioritic liquid injected into a cold granitic magma and subsequently cooled and solidified. Crystals stop growing when the mass transport rate tends to zero due to the low temperature. We estimated cooling timescales based on conductive cooling models, constraining crystal growth rates to be 10-6 to 10-5 m/s. We also show that the oscillatory banding is controlled by disequilibrium crystallization, wherein hornblende preferentially crystallizes, resulting in the diffusive growth of a chemical boundary layer enriched in plagioclase component, which in turns results in crystallization of plagioclase. We show that the correlation between the width of each crystallization couplet (band) with distance from orbicule rim is linear, with the slope corresponding to the square root of the ratio between chemical diffusivity in the growth medium and thermal diffusivity. We estimate chemical diffusivity of 2*10-7 m2/s, which is remarkably fast for silicate liquids but reasonable for diffusion in hot aqueous fluids, suggesting that crystallization

  18. Growth of GaAs-nanowires on GaAs (111)B substrates induced by focused ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Schott, Ruediger; Reuter, Dirk; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany)

    2011-07-01

    Semiconductor nanowires are a promising system for applications in the areas of electronics and photonics and also for exploring phenomena at the nanoscale. There are several approaches to grow nanowires at arbitrary sites on the wafer. We report about growing GaAs-nanowires on GaAs(111)B substrates via the vapour-liquid-solid (VLS) mechanism in an ultra-high-vacuum (UHV)-cluster of a molecular beam epitaxy (MBE) and a focused ion beam (FIB) system. Our idea is to implant metal seeds (especially Au) for the nanowire growth by in situ patterning using FIB. Due to the UHV transfer between the FIB and the MBE chamber, no further cleaning step of the substrate surface is necessary. Formations of organized GaAs-nanowires and high aspect ratios are observed.

  19. Crystal growth and mechanical hardness of In{sub 2}Se{sub 2.7}Sb{sub 0.3} single crystal

    Energy Technology Data Exchange (ETDEWEB)

    Patel, Piyush, E-mail: piyush-patel130@yahoo.com; Vyas, S. M., E-mail: s-m-vyas-gu@hotmail.com; Patel, Vimal; Pavagadhi, Himanshu [Department of Physics, School of Science, Gujarat University, Ahmedabad, Gujarat, India-380009 (India); Solanki, Mitesh [panditdindayal Petroleum University, Gandhinagar. Gujarat (India); Jani, Maunik P. [BITS Edu Campus, Varnama, Vadodara, Gujarat (India)

    2015-08-28

    The III-VI compound semiconductors is important for the fabrication of ionizing radiation detectors, solid-state electrodes, and photosensitive heterostructures, solar cell and ionic batteries. In this paper, In{sub 2}Se{sub 2.7} Sb{sub 0.3} single crystals were grown by the Bridgman method with temperature gradient of 60 °C/cm and the growth velocity 0.5cm/hr. The as-grown crystals were examined under the optical microscope for surface study, a various growth features observed on top free surface of the single crystal which is predominant of layers growth mechanism. The lattice parameters of as-grown crystal was determined by the XRD analysis. A Vickers’ projection microscope were used for the study of microhardness on the as-cleaved, cold-worked and annealed samples of the crystals, the results were discussed, and reported in detail.

  20. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    Science.gov (United States)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  1. The investigation of alloy formation during InAs nanowires growth on GaAs (111)B substrate

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Walter-Flex-Str. 3, Siegen 57072 (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institute (PGI-9), Forschungzentrum Juelich, Juelich 52425 (Germany)

    2013-07-01

    A possible way to obtain nanowires is the growth in molecular beam epitaxy (MBE) on the (111) oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of two different series (etched and unetched) of NWs samples. Vertically aligned InAs nanowires (NWs) doped with Si were self-assisted grown by molecular beam epitaxy on GaAs [111]B substrates covered with a thin SiO{sub x} layer. Using a combination of symmetric and asymmetric X-ray diffraction we study the influence of Si supply on the growth process and nanostructure formation. We find that the number of parasitic crystallites grown between the NWs increases with increasing Si flux. In addition, we observe the formation of a Ga{sub 0.2}In{sub 0.8}As alloy if the growth is performed on samples covered by a defective (etched) oxide layer. This alloy formation is observed within the crystallites and not within the nanowires. The Gallium concentration is determined from the lattice mismatch of the crystallites relative to the InAs nanowires. No alloy formation is found for samples with faultless oxide layers.

  2. Molecular beam epitaxial growth of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} topological insulators on GaAs (111) substrates: a potential route to fabricate topological insulator p-n junction

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Zhaoquan; Morgan, Timothy A.; Li, Chen; Hirono, Yusuke; Hu, Xian; Hawkridge, Michael E.; Benamara, Mourad; Salamo, Gregory J. [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Fan, Dongsheng; Yu, Shuiqing [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Department of Electrical Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Zhao, Yanfei [International Center for Quantum Materials, School of Physics, Peking University, Beijing, 100871 (China); Lee, Joon Sue [The Center for Nanoscale Science and Department of Physics, The Pennsylvania State University, University Park, PA 16802 (United States); Wang, Jian [International Center for Quantum Materials, School of Physics, Peking University, Beijing, 100871 (China); The Center for Nanoscale Science and Department of Physics, The Pennsylvania State University, University Park, PA 16802 (United States); Wang, Zhiming M. [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Science, Beijing 100083 (China)

    2013-07-15

    High quality Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} topological insulators films were epitaxially grown on GaAs (111) substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111) substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111) substrate is better than a vicinal substrate to provide high quality Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films. Hall and magnetoresistance measurements indicate that p type Sb{sub 2}Te{sub 3} and n type Bi{sub 2}Te{sub 3} topological insulator films can be directly grown on a GaAs (111) substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  3. Spatial structure of single and interacting Mn acceptors in GaAs

    Science.gov (United States)

    Koenraad, Paul

    2005-03-01

    Ferromagnetic semiconductors such as Ga1-xMnxAs are receiving a lot of attention at the moment because of their application in spintronic devices. However, despite intense study of deep acceptors in III-V semiconductors such as MnGa, little information has been obtained on their electronic properties at the atomic scale. Yet the spatial shape of the Mn acceptor state will influence the hole-mediated Mn-Mn coupling and thus all of the magnetic properties of ferromagnetic semiconductors such as Ga1-xMnxAs. This study presents an experimental and theoretical description of the spatial symmetry of the Mn acceptor wave-function in GaAs. We present measurements of the spatial mapping of the anisotropic wavefunction of a hole localized at a Mn acceptor. To achieve this, we have used the STM tip not only to image the Mn acceptor but also to manipulate its charge state A^0/A^- at room temperature. Within an envelope function effective mass model (EFM) the anisotropy in the acceptor wave-function can be traced to the influence of the cubic symmetry of the GaAs crystal which selects specific d-states that mix into the ground state due to the spin-orbit interaction in the valence band. Comparison with calculations based on a tight-binding model (TBM) for the Mn acceptor structure supports this conclusion. Using the same experimental and theoretical approach we furthermore explored the interaction between Mn acceptors directly by analyzing close Mn-Mn pairs, which were separated by less than 2 nm. We will discuss some implications of these results for Mn delta-doped layers grown on differently oriented growth surfaces.

  4. Dielectric constant of GaAs during a subpicosecond laser-induced phase transition

    Science.gov (United States)

    Siegal, Y.; Glezer, E. N.; Mazur, E.

    1994-06-01

    We measured the time evolution of the real and imaginary parts of the dielectric constant of GaAs following femtosecond laser pulse excitation. The data show a collapse of the average optical gap, or average bonding-antibonding energy-level separation. The rate of collapse increases with pump fluence. The decrease in the gap indicates that the pump beam induces a structural transformation from a covalent, tetrahedrally coordinated crystal to a phase with metallic cohesive properties.

  5. Growth of methyl 2-(2,4-dinitrophenyl)aminopropanoate single crystals

    Science.gov (United States)

    Perigaud, A.; Nicolau, Y. F.

    1986-12-01

    Methyl 2-(2,4-dinitrophenyl)aminopropanoate single crystals, 1 cm in diameter and 7 cm in length have been grown by a travelling-heater-Bridgman method in polyethylene tubes introduced into glass ampoules, at a growth rate of 1.5-6 mm/day. The starting material was synthesised and purified by recrystallization from methanol and by vacuum evaporation to a purity of 99.994%. The period of growth, and hence the length of the crystal, is limited due to melt decomposition and polycondensation. The b-axis of the crystals is always oriented at about 72° to the ampoule axis. Good quality single crystals have been obtained giving a FWHM of the Cu Kα (040) rocking curve of about 1'.

  6. Magnetic Control in Crystal Growth from a Melt

    Science.gov (United States)

    Huang, Yue

    Control of bulk melt crystal growth techniques is desirable for producing semiconductors with the highest purity and ternary alloys with tunable electrical properties. Because these molten materials are electrically conducting, external magnetic fields are often employed to regulate the flow in the melt. However, complicated by the coupled flow, thermal, electromagnetic and chemical physics, such magnetic control is typically empirical or even an educated guess. Two magnetic flow control mechanisms: flow damping by steady magnetic fields, and flow stirring by alternating magnetic fields, are investigated numerically. Magnetic damping during optically-heated float-zone crystal growth is modeled using a spectral collocation method. The Marangoni convection at the free melt-gas interface is suppressed when exposed to a steady axial magnetic field, measured by the Hartmann number Ha. As a result, detrimental flow instabilities are suppressed, and an almost quiescent region forms in the interior, ideal for single crystal growth. Using normal mode linear stability analyses, dominant flow instabilities are determined in a range applicable to experiments (up to Ha = 300 for Pr = 0.02, and up to Ha = 500 for Pr = 0.001). The hydrodynamic nature of the instability for small Prandtl number Pr liquid bridges is confirmed by energy analyses. Magnetic stirring is modeled for melt crystal growth in an ampule exposed to a transverse rotating magnetic field. Decoupled from the flow field at small magnetic Reynolds number, the electromagnetic field is first solved via finite element analysis. The flow field is then solved using the spectral element method. At low to moderate AC frequencies (up to a few kHz), the electromagnetic body force is dominant in the azimuthal direction, which stirs a steady axisymmetric flow primarily in the azimuthal direction. A weaker secondary flow develops in the meridional plane. However, at high AC frequencies (on the order of 10 kHz and higher), only

  7. ICCG-10: Tenth International Conference on Crystal Growth. Poster presentation abstracts

    Science.gov (United States)

    1992-01-01

    Poster presentation abstracts from the tenth International Conference on Crystal Growth (ICCG) (Aug. 16-21, 1992) are provided. Topics discussed at the conference include crystal growth mechanisms, superconductors, semiconductors, laser materials, optical materials, and biomaterials. Organizing committees, ICCG advisory board and officers, and sponsors of the conference are also included.

  8. Increasing the quantum efficiency of GaAs solar cells by embedding InAs quantum dots

    Science.gov (United States)

    Salii, R. A.; Mintairov, S. A.; Nadtochiy, A. M.; Payusov, A. S.; Brunkov, P. N.; Shvarts, M. Z.; Kalyuzhnyy, N. A.

    2016-11-01

    Development of Metalorganic Vapor Phase Epitaxy (MOVPE) technology of InAs quantum dots (QDs) in GaAs for photovoltaic applications is presented. The growth peculiarities in InAs-GaAs lattice-mismatched system were considered. The photoluminescence (PL) intensity dependences on different growth parameters were obtained. The multimodal distribution of QDs by sizes was found using AFM and PL methods. GaAs solar cell nanoheterostructures with imbedded QD arrays were designed and obtained. Ones have been demonstrated a significant increase of quantum efficiency and photogenerated current of QD solar cells due to photo effect in InAs QD array (0.59 mA/cm2 for AM1.5D and 82 mA/cm2 for AM0).

  9. A versatile Czochralski crystal growth system with automatic diameter control

    Science.gov (United States)

    Aggarwal, M. D.; Metzl, R.; Wang, W. S.; Choi, J.

    1995-07-01

    A versatile Czochralski crystal pulling system with automatic diameter control for the growth of nonlinear optical oxide crystals is discussed. Pure and doped bulk single crystals of bismuth silicon oxide (Bi12SiO20) have been successfully grown using this system. The system consists of a regular Czochralski type pulling system with provision for continuous weighing of the growing crystal to provide feedback for power control.

  10. Synthesis, growth, crystal structure, optical and third order nonlinear optical properties of quinolinium derivative single crystal: PNQI

    Science.gov (United States)

    Karthigha, S.; Krishnamoorthi, C.

    2018-03-01

    An organic quinolinium derivative nonlinear optical (NLO) crystal, 1-ethyl-2-[2-(4-nitro-phenyl)-vinyl]-quinolinium iodide (PNQI) was synthesized and successfully grown by slow evaporation solution growth technique. Formation of a crystalline compound was confirmed by single crystal X-ray diffraction. The quinolinium compound PNQI crystallizes in the triclinic crystal system with a centrosymmetric space group of P-1 symmetry. The molecular structure of PNQI was confirmed by 1H NMR and 13C NMR spectral studies. The thermal properties of the crystal have been investigated by thermogravimetric (TG) and differential scanning calorimetry (DSC) studies. The optical characteristics obtained from UV-Vis-NIR spectral data were described and the cut-off wavelength observed at 506 nm. The etching study was performed to analyse the growth features of PNQI single crystal. The third order NLO properties such as nonlinear refractive index (n2), nonlinear absorption coefficient (β) and nonlinear susceptibility (χ (3)) of the crystal were investigated using Z-scan technique at 632.8 nm of Hesbnd Ne laser.

  11. Design optimization of GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyanag; Jiang Lan; Chen Xuyuan

    2011-01-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm -2 63 Ni, the open circuit voltage of the optimized batteries is about ∼0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P + PN + junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm -2 , which indicates a carrier diffusion length of less than 1 μm. The overall results show that multi-layer P + PN + junctions are the preferred structures for GaAs betavoltaic battery design.

  12. Crystal structure and crystal growth of the polar ferrimagnet CaBaFe4O7

    Science.gov (United States)

    Perry, R. S.; Kurebayashi, H.; Gibbs, A.; Gutmann, M. J.

    2018-05-01

    Magnetic materials are a cornerstone for developing spintronic devices for the transport of information via magnetic excitations. To date, relatively few materials have been investigated for the purpose of spin transport, mostly due to the paucity of suitable candidates as these materials are often chemically complex and difficult to synthesize. We present the crystal growth and a structure solution on the high-temperature crystal structure of the layered, polar ferrimagnet CaBaFe4O7 , which is a possible new contender for spintronics research. The space group is identified as P 3 by refinement of single crystal and powder neutron diffraction data. At 400 K, the trigonal lattice parameters are a =11.0114 (11 )Å and c =10.330 (3 )Å . The structure is similar to the low-temperature phase with alternating layers of triangular and Kagome-arranged Fe-O tetrahedra. We also present details of the crystal growth by traveling solvent method.

  13. Supersaturation Control using Analytical Crystal Size Distribution Estimator for Temperature Dependent in Nucleation and Crystal Growth Phenomena

    Science.gov (United States)

    Zahari, Zakirah Mohd; Zubaidah Adnan, Siti; Kanthasamy, Ramesh; Saleh, Suriyati; Samad, Noor Asma Fazli Abdul

    2018-03-01

    The specification of the crystal product is usually given in terms of crystal size distribution (CSD). To this end, optimal cooling strategy is necessary to achieve the CSD. The direct design control involving analytical CSD estimator is one of the approaches that can be used to generate the set-point. However, the effects of temperature on the crystal growth rate are neglected in the estimator. Thus, the temperature dependence on the crystal growth rate needs to be considered in order to provide an accurate set-point. The objective of this work is to extend the analytical CSD estimator where Arrhenius expression is employed to cover the effects of temperature on the growth rate. The application of this work is demonstrated through a potassium sulphate crystallisation process. Based on specified target CSD, the extended estimator is capable of generating the required set-point where a proposed controller successfully maintained the operation at the set-point to achieve the target CSD. Comparison with other cooling strategies shows a reduction up to 18.2% of the total number of undesirable crystals generated from secondary nucleation using linear cooling strategy is achieved.

  14. Unidirectional growth and characterization of L-arginine monohydrochloride monohydrate single crystals

    International Nuclear Information System (INIS)

    Sangeetha, K.; Babu, R. Ramesh; Bhagavannarayana, G.; Ramamurthi, K.

    2011-01-01

    Highlights: → L-Arginine monohydrochloride monohydrate (LAHCl) single crystal was grown successfully by unidirectional solution growth method for the first time. → High crystalline perfection was observed for UDS grown crystal compared to CS grown crystal. → The optical transparency and mechanical stability are high for UDS grown LAHCl single crystal. → Optical birefringence measurement on this material. → The piezoelectric resonance frequencies observation - first time observation on this material. - Abstract: L-Arginine monohydrochloride monohydrate (LAHCl) single crystals were grown successfully by conventional and unidirectional solution growth methods. The crystalline perfection of grown crystals was analyzed by high-resolution X-ray diffraction. The linear optical transmittance, mechanical stability of conventional and unidirectional grown LAHCl single crystals were analyzed and compared along (0 0 1) plane. The refractive index and birefringence of LAHCl single crystals were also measured using He-Ne laser source. From the dielectric studies, piezoelectric resonance frequencies were observed in kHz frequency range for both conventional and unidirectional grown LAHCl single crystals along (0 0 1) plane.

  15. Growth of metastable fcc Mn thin film on GaAs(001) and its electronic structure studied by photoemission with synchrotron radiation

    International Nuclear Information System (INIS)

    Chen Yan; Dong Guosheng; Zhang Ming

    1995-01-01

    The epitaxial growth of metastable fcc Mn thin films on GaAs(001) surface has been achieved at a substrate temperature of 400 K. The development of the fcc Mn thin films as a function of coverage is studied by photoemission with synchrotron radiation. The electron density of states below the Fermi edge of the fcc Mn phase is measured. A significant difference of the electronic structures is observed between the metastable fcc Mn phase and the thermodynamically stable α-Mn phase. Possible mechanisms are proposed to interpret the experimental result

  16. Crystal growth velocity in deeply undercooled Ni-Si alloys

    Science.gov (United States)

    Lü, Y. J.

    2012-02-01

    The crystal growth velocity of Ni95Si5 and Ni90Si10 alloys as a function of undercooling is investigated using molecular dynamics simulations. The modified imbedded atom method potential yields the equilibrium liquidus temperatures T L ≈ 1505 and 1387 K for Ni95Si5 and Ni90Si10 alloys, respectively. From the liquidus temperatures down to the deeply undercooled region, the crystal growth velocities of both the alloys rise to the maximum with increasing undercooling and then drop slowly, whereas the athermal growth process presented in elemental Ni is not observed in Ni-Si alloys. Instead, the undercooling dependence of the growth velocity can be well-described by the diffusion-limited model, furthermore, the activation energy associated with the diffusion from melt to interface increases as the concentration increases from 5 to 10 at.% Si, resulting in the remarkable decrease of growth velocity.

  17. The performance studies of DKDP crystals grown by a rapid horizontal growth method

    Science.gov (United States)

    Xie, Xiaoyi; Qi, Hongji; Wang, Bin; Wang, Hu; Chen, Duanyang; Shao, Jianda

    2018-04-01

    A deuterated potassium dihydrogen phosphate (DKDP) crystal with about 70% deuterium level was grown by a rapid horizontal growth method with independent design equipment, which includes a continuous filtration system. The cooling program during crystal growth was designed according to a self-developed software to catch the size of growing crystal in real time. The crystal structure, optical performance and laser induced damage threshold (LIDT) of this DKDP crystal were investigated in this paper. The deuterium concentration of the crystal was confirmed by the neutron diffraction technique, which was effective and available in determining a complete range of deuteration level. The dielectric property was measured to evaluate the perfection of the lattice. The transmittance and LIDT were carried out further to evaluate the optical and functional properties of this DKDP crystal grown in the rapid horizontal growth technique. All of the detailed characterization for DKDP figured out that the 70% deuterated KDP crystal grown in this way had relatively good qualities.

  18. Growth and characterization of CdTe absorbers on GaAs by MBE for high concentration PV solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ari, Ozan; Polat, Mustafa; Selamet, Yusuf [Department of Physics, Izmir Institute of Technology, Izmir 35430 (Turkey); Karakaya, Merve [Department of Material Science and Engineering, Izmir Institute of Technology, Izmir 35430 (Turkey)

    2015-11-15

    CdTe based II-VI absorbers are promising candidates for high concentration PV solar cells with an ideal band gap for AM1.5 solar radiation. In this study, we propose single crystal CdTe absorbers grown on GaAs substrates with a molecular beam epitaxy (MBE) which is a clean deposition technology. We show that high quality CdTe absorber layers can be grown with full width half maximum of X-ray diffraction rocking curves (XRD RC) as low as 227 arc-seconds with 0.5% thickness uniformity that a 2 μm layer is capable of absorbing 99% of AM1.5 solar radiation. Bandgap of the CdTe absorber is found as 1.483 eV from spetroscopic ellipsometry (SE) measurements. Also, high absorption coefficient is calculated from the results, which is ∝5 x 10{sup 5}cm{sup -1} in solar radiation spectrum. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Nanoparticle-mediated nonclassical crystal growth of sodium fluorosilicate nanowires and nanoplates

    Directory of Open Access Journals (Sweden)

    Hongxia Li

    2011-12-01

    Full Text Available We observed nonclassical crystal growth of the sodium fluorosilicate nanowires, nanoplates, and hierarchical structures through self-assembly and aggregation of primary intermediate nanoparticles. Unlike traditional ion-by-ion crystallization, the primary nanoparticles formed first and their subsequent self-assembly, fusion, and crystallization generated various final crystals. These findings offer direct evidences for the aggregation-based crystallization mechanism.

  20. Growth of sodium chlorate crystals in the presence of potassium sulphate

    Science.gov (United States)

    Kim, E. L.; Tsyganova, A. A.; Vorontsov, D. A.; Ovsetsina, T. I.; Katkova, M. R.; Lykov, V. A.; Portnov, V. N.

    2015-09-01

    In this work, we investigated the morphology and growth rates of NaClO3 crystals in solutions with K2SO4 additives. NaClO3 crystals were grown using the temperature gradient technique under concentration convection. We found that the crystal habitus changed from cubic to tetrahedral, and the growth of the cubic {100}, tetrahedral {111} and rhomb-dodecahedral {110} faces decelerated with an increase in the concentration of SO42- ions. The {110} face was the most and the {100} face was the least inhibited by sulphate ions. The mechanism of SO42- ions action is their adsorption on the crystal surface, which impedes attachment of the crystal's building units. We conclude that different atomic structure and charge state of various crystal faces determine their sensitivity to the action of the SO42- ions.

  1. Protein crystal growth on board Shenzhou 3: a concerted effort improves crystal diffraction quality and facilitates structure determination

    International Nuclear Information System (INIS)

    Han, Y.; Cang, H.-X.; Zhou, J.-X.; Wang, Y.-P.; Bi, R.-C.; Colelesage, J.; Delbaere, L.T.J.; Nahoum, V.; Shi, R.; Zhou, M.; Zhu, D.-W.; Lin, S.-X.

    2004-01-01

    The crystallization of 16 proteins was carried out using 60 wells on board Shenzhou 3 in 2002. Although the mission was only 7 days, careful and concerted planning at all stages made it possible to obtain crystals of improved quality compared to their ground controls for some of the proteins. Significantly improved resolutions were obtained from diffracted crystals of 4 proteins. A complete data set from a space crystal of the PEP carboxykinase yielded significantly higher resolution (1.46 A vs. 1.87 A), I/sigma (22.4 vs. 15.5), and a lower average temperature factor (29.2 A 2 vs. 42.9 A 2 ) than the best ground-based control crystal. The 3-D structure of the enzyme is well improved with significant ligand density. It has been postulated that the reduced convection and absence of macromolecule sedimentation under microgravity have advantages/benefits for protein crystal growth. Improvements in experimental design for protein crystal growth in microgravity are ongoing

  2. Kramers-Kronig Relations in Representation of Modulation Polarimetry by an Example of the Transmission Spectra of GaAs Crystal

    Science.gov (United States)

    Matyash, I. E.; Minailova, I. A.; Mishchuk, O. N.; Serdega, B. K.

    2017-12-01

    The increments of the real and imaginary components of the complex refractive index Δ N = Δ n- iΔ k of a lightly doped GaAs crystal with a donor concentration of 1016 cm-3 have been measured using modulation polarimetry. It is shown that, within this representation, the birefringence and dichroism spectra (Δ n(ω) and Δ k(ω), respectively) obtained in the transparency window of a sample subjected to probe strain are derivatives of the corresponding functions: Δ n(ω) ≈ dn/ dω and Δ k(ω) ≈ dk/ dω. The experimental characteristics and primary dependences n(ω) and k(ω) derived from them by graphical integration are in agreement with the results of other researchers and measurements carried out by independent methods. The results obtained are compared (taking into account the integral (Kramers-Kronig) relations) with the resonance parameters: amplitude and phase in the Drude-Lorenz model. Agreement between the experimental characteristics and theoretical model predictions can be obtained by choosing an appropriate value of resonance damping parameter.

  3. L-alanine distribution in the growth pyramids of TGS crystals and its influence on the growth, switching and domain structure

    International Nuclear Information System (INIS)

    Brezina, B.; Havrankova, M.

    1985-01-01

    The full-faced crystals of triglycine sulphate (TGS) and deuterated homologs substituted by L-alanine (LATGS and LADTGS, resp.) were grown from growth solutions with various concentrations of the substituent. The distribution of L, alanine (L,al) in various growth pyramids of crystals was measured by the electrical switching method. The stability of domain structure of doped crystals was studied by the liquid crystal method. (author)

  4. Growth and properties of self-catalyzed (In,Mn)As nanowires

    International Nuclear Information System (INIS)

    Bouravleuv, Alexei; Soshnikov, Ilya; Cirlin, George; Reznik, Rodion; Khrebtov, Artem; Samsonenko, Yuriy; Werner, Peter; Savin, Alexander; Lipsanen, Harri

    2016-01-01

    Mn-assisted molecular beam epitaxy is used for the growth of (In,Mn)As nanowires (NWs) on GaAs(111)B. The transmission electron microscopy measurements revealed that despite the relatively high growth temperature regime this technique can be used to obtain (In,Mn)As NWs with high crystalline quality without any crystal defects, such as dislocations, stacking faults or precipitates inside the investigated NWs or on their side-walls, although the growth processes of NWs were accompanied by the formation of MnAs precipitates between the NWs at the interface of the wetting layer. The results obtained are of importance for the realization of new spintronic nanostructured materials. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Improvements of MCT MBE Growth on GaAs

    Science.gov (United States)

    Ziegler, J.; Wenisch, J.; Breiter, R.; Eich, D.; Figgemeier, H.; Fries, P.; Lutz, H.; Wollrab, R.

    2014-08-01

    In recent years, continuous progress has been published in the development of HgCdTe (MCT) infrared (IR) focal plane arrays (FPAs) fabricated by molecular beam epitaxy on GaAs substrates. In this publication, further characterization of the state-of-the art 1280 × 1024 pixel, 15- μm pitch detector fabricated from this material in both the mid-wavelength (MWIR) and long-wavelength (LWIR) IR region will be presented. For MWIR FPAs, the percentage of defective pixel remains below 0.5% up to an operating temperature ( T OP) of around 100 K. For the LWIR FPA, an operability of 99.25% was achieved for a T OP of 76 K. Additionally, the beneficial effect of the inclusion of MCT layers with a graded composition region was investigated and demonstrated on current-voltage ( IV) characteristics on test diodes in a MWIR FPA.

  6. Numerical simulations of crystal growth in a transdermal drug delivery system

    Science.gov (United States)

    Zeng, Jianming; Jacob, Karl I.; Tikare, Veena

    2004-02-01

    Grain growth by precipitation and Ostwald ripening in an unstressed matrix of a dissolved crystallizable component was simulated using a kinetic Monte Carlo model. This model was used previously to study Ostwald ripening in the high crystallizable component regime and was shown to correctly simulate solution, diffusion and precipitation. In this study, the same model with modifications was applied to the low crystallizable regime of interest to the transdermal drug delivery system (TDS) community. We demonstrate the model's utility by simulating precipitation and grain growth during isothermal storage at different supersaturation conditions. The simulation results provide a first approximation for the crystallization occurring in TDS. It has been reported that for relatively higher temperature growth of drug crystals in TDS occurs only in the middle third of the polymer layer. The results from the simulations support these findings that crystal growth is limited to the middle third of the region, where the availability of crystallizable components is the highest, for cluster growth at relatively high temperature.

  7. Growth of mercuric iodide single crystals from dimethylsulfoxide

    International Nuclear Information System (INIS)

    Carlston, R.C.

    1976-01-01

    Dimethylsulfoxide is used as a solvent for the growth of red mercuric iodide (HgI 2 ) crystals for use in radiation detectors. The hygroscopic property of the solvent allows controlled amounts of water to enter into the solvent phase and diminish the large solubility of HgI 2 so that the precipitating solid collects as well-defined euhedral crystals which grow into a volume of several cc

  8. Small-Angle Neutron Scattering Investigation of Growth Modifiers on Hydrate Crystal Surfaces

    Science.gov (United States)

    Sun, Thomas; Hutter, Jeffrey L.; Lin, M.; King, H. E., Jr.

    1998-03-01

    Hydrates are crystals consisting of small molecules enclathrated within an ice-like water cage. Suppression of their growth is important in the oil industry. The presence of small quantities of specific polymers during hydrate crystallization can induce a transition from an octahedral to planar growth habit. This symmetry breaking is surprising because of the suppression of two 111 planes relative to the other six crystallographically equivalent faces. To better understand the surface effects leading to this behavior, we have studied the surface adsorption of these growth-modifing polymers onto the hydrate crytals using SANS. The total hydrate surface area, as measured by Porod scattering, increases in the presence of the growth modifier, but, no significant increase in polymer concentration on the crystal surfaces is found. Implications for possible growth mechanisms will be discussed.

  9. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    International Nuclear Information System (INIS)

    Leonhardt, Darin; Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S.; Han, Sang M.

    2010-01-01

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10 7 /cm 2 in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H 2 O 2 and H 2 SO 4 . Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10 11 /cm 2 . Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO 2 in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10 7 /cm 2 . Additionally, coalescence results in films of 3 μm thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H 2 O 2 results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO 2 interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the subsequent growth morphology of GaAs deposited by metal-organic chemical vapor

  10. Microscopic Rate Constants of Crystal Growth from Molecular Dynamic Simulations Combined with Metadynamics

    Directory of Open Access Journals (Sweden)

    Dániel Kozma

    2012-01-01

    Full Text Available Atomistic simulation of crystal growth can be decomposed into two steps: the determination of the microscopic rate constants and a mesoscopic kinetic Monte Carlo simulation. We proposed a method to determine kinetic rate constants of crystal growth. We performed classical molecular dynamics on the equilibrium liquid/crystal interface of argon. Metadynamics was used to explore the free energy surface of crystal growth. A crystalline atom was selected at the interface, and it was displaced to the liquid phase by adding repulsive Gaussian potentials. The activation free energy of this process was calculated as the maximal potential energy density of the Gaussian potentials. We calculated the rate constants at different interfacial structures using the transition state theory. In order to mimic real crystallization, we applied a temperature difference in the calculations of the two opposite rate constants, and they were applied in kinetic Monte Carlo simulation. The novelty of our technique is that it can be used for slow crystallization processes, while the simple following of trajectories can be applied only for fast reactions. Our method is a possibility for determination of elementary rate constants of crystal growth that seems to be necessary for the long-time goal of computer-aided crystal design.

  11. Integrated Intelligent Modeling, Design and Control of Crystal Growth Processes

    National Research Council Canada - National Science Library

    Prasad, V

    2000-01-01

    .... This MURI program took an integrated approach towards modeling, design and control of crystal growth processes and in conjunction with growth and characterization experiments developed much better...

  12. Crystal nucleation and dendrite growth of metastable phases in undercooled melts

    International Nuclear Information System (INIS)

    Herlach, Dieter

    2011-01-01

    Research highlights: → Homogenous nucleation. → Effects of convection on dendrite growth kinetics. → Description of disorder trapping validated by experiment. - Abstract: An undercooled melt possesses an enhanced free enthalpy that opens up the possibility to crystallize metastable crystalline solids in competition with their stable counterparts. Crystal nucleation selects the crystallographic phase whereas the growth dynamics controls microstructure evolution. We apply containerless processing techniques such as electromagnetic and electrostatic levitation to containerlesss undercool and solidify metallic melts. Owing to the complete avoidance of heterogeneous nucleation on container-walls a large undercooling range becomes accessible with the extra benefit that the freely suspended drop is direct accessible for in situ observation of crystallization far away from equilibrium. Results of investigations of maximum undercoolability on pure zirconium are presented showing the limit of maximum undercoolability set by the onset of homogeneous nucleation. Rapid dendrite growth is measured as a function of undercooling by a high-speed camera and analysed within extended theories of non-equilibrium solidification. In such both supersaturated solid solutions and disordered superlattice structure of intermetallics are formed at high growth velocities. A sharp interface theory of dendrite growth is capable to describe the non-equilibrium solidification phenomena during rapid crystallization of deeply undercooled melts. Eventually, anomalous growth behaviour of Al-rich Al-Ni alloys is presented, which may be caused by forced convection.

  13. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    Science.gov (United States)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  14. Advances in the Growth and Characterization of Relaxor-PT-Based Ferroelectric Single Crystals

    Directory of Open Access Journals (Sweden)

    Jun Luo

    2014-07-01

    Full Text Available Compared to Pb(Zr1−xTixO3 (PZT polycrystalline ceramics, relaxor-PT single crystals offer significantly improved performance with extremely high electromechanical coupling and piezoelectric coefficients, making them promising materials for piezoelectric transducers, sensors and actuators. The recent advances in crystal growth and characterization of relaxor-PT-based ferroelectric single crystals are reviewed in this paper with emphases on the following topics: (1 the large crystal growth of binary and ternary relaxor-PT-based ferroelectric crystals for commercialization; (2 the composition segregation in the crystals grown from such a solid-solution system and possible solutions to reduce it; (3 the crystal growth from new binary and ternary compositions to expand the operating temperature and electric field; (4 the crystallographic orientation dependence and anisotropic behaviors of relaxor-PT-based ferroelectriccrystals; and (5 the characterization of the dielectric, elastic and piezoelectric properties of the relaxor-PT-based ferroelectriccrystals under small and large electric fields.

  15. Stacking fault growth of FCC crystal: The Monte-Carlo simulation approach

    International Nuclear Information System (INIS)

    Jian Jianmin; Ming Naiben

    1988-03-01

    The Monte-Carlo method has been used to simulate the growth of the FCC (111) crystal surface, on which is presented the outcrop of a stacking fault. The comparison of the growth rates has been made between the stacking fault containing surface and the perfect surface. The successive growth stages have been simulated. It is concluded that the outcrop of stacking fault on the crystal surface can act as a self-perpetuating step generating source. (author). 7 refs, 3 figs

  16. Three-dimensional modelling of thermal stress in floating zone silicon crystal growth

    Science.gov (United States)

    Plate, Matiss; Krauze, Armands; Virbulis, Jānis

    2018-05-01

    During the growth of large diameter silicon single crystals with the industrial floating zone method, undesirable level of thermal stress in the crystal is easily reached due to the inhomogeneous expansion as the crystal cools down. Shapes of the phase boundaries, temperature field and elastic material properties determine the thermal stress distribution in the solid mono crystalline silicon during cylindrical growth. Excessive stress can lead to fracture, generation of dislocations and altered distribution of intrinsic point defects. Although appearance of ridges on the crystal surface is the decisive factor of a dislocation-free growth, the influence of these ridges on the stress field is not completely clear. Here we present the results of thermal stress analysis for 4” and 5” diameter crystals using a quasi-stationary three dimensional mathematical model including the material anisotropy and the presence of experimentally observed ridges which cannot be addressed with axis-symmetric models. The ridge has a local but relatively strong influence on thermal stress therefore its relation to the origin of fracture is hypothesized. In addition, thermal stresses at the crystal rim are found to increase for a particular position of the crystal radiation reflector.

  17. A Low-Cost System Based on Image Analysis for Monitoring the Crystal Growth Process.

    Science.gov (United States)

    Venâncio, Fabrício; Rosário, Francisca F do; Cajaiba, João

    2017-05-31

    Many techniques are used to monitor one or more of the phenomena involved in the crystallization process. One of the challenges in crystal growth monitoring is finding techniques that allow direct interpretation of the data. The present study used a low-cost system, composed of a commercial webcam and a simple white LED (Light Emitting Diode) illuminator, to follow the calcium carbonate crystal growth process. The experiments were followed with focused beam reflectance measurement (FBRM), a common technique for obtaining information about the formation and growth of crystals. The images obtained in real time were treated with the red, blue, and green (RGB) system. The results showed a qualitative response of the system to crystal formation and growth processes, as there was an observed decrease in the signal as the growth process occurred. Control of the crystal growth was managed by increasing the viscosity of the test solution with the addition of monoethylene glycol (MEG) at 30% and 70% in a mass to mass relationship, providing different profiles of the RGB average curves. The decrease in the average RGB value became slower as the concentration of MEG was increased; this reflected a lag in the growth process that was proven by the FBRM.

  18. Growth of strontium oxalate crystals in agar–agar gel

    Indian Academy of Sciences (India)

    Growth of strontium oxalate crystals in agar–agar gel. P V DALAL. ∗ and K B SARAF. Postgraduate Department of Physics, Pratap College, Amalner 425 401, India. MS received 16 March 2008; revised 5 April 2010. Abstract. Single crystals of strontium oxalate have been grown by using strontium chloride and oxalic acid in.

  19. Screening and Crystallization Plates for Manual and High-throughput Protein Crystal Growth

    Science.gov (United States)

    Thorne, Robert E. (Inventor); Berejnov, Viatcheslav (Inventor); Kalinin, Yevgeniy (Inventor)

    2010-01-01

    In one embodiment, a crystallization and screening plate comprises a plurality of cells open at a top and a bottom, a frame that defines the cells in the plate, and at least two films. The first film seals a top of the plate and the second film seals a bottom of the plate. At least one of the films is patterned to strongly pin the contact lines of drops dispensed onto it, fixing their position and shape. The present invention also includes methods and other devices for manual and high-throughput protein crystal growth.

  20. Quantum Dots obtained by LPE from under-saturated In-As liquid phases on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz F E; Mishurnyi V; Gorbatchev A; De Anda F [Universidad Autonoma de San Luis Potosi, Instituto de Investigacion en Comunicacion Optica, Av. Karacorum 1470, Col. Lomas 4a Sec., CP 78210San Luis PotosI (Mexico); Prutskij T, E-mail: fcoe_ov@prodigy.net.mx, E-mail: andre@cactus.iico.uaslp.mx [BUAP, Instituto de Ciencias, Apartado Postal 207, 72000, Puebla (Mexico)

    2011-01-01

    In this work we inform about quantum dots (QD) obtained by Liquid Phase Epitaxy (LPE) on GaAs substrates from under-saturated In-As liquid phases. In our processes, we have prepared saturated In-rich liquid phases by dissolving an InAs wafer at one of the temperatures interval from 450 to 414 C for 60 minutes. The contact between In-As liquid phase and the GaAs substrate was always done at a constant temperature of 444 C for 5 seconds. Thus, the growth temperature for most of the samples was higher than the liquidus temperature. We think that the growth driving force is related to a transient process that occurs when the system is trying to reach equilibrium. Under the atom force microscope (AFM) we have observed nano-islands on the surfaces of the samples obtained from under-saturated liquid phases prepared at 438, 432 and 426 C. The 25 K photoluminescence spectrum shows a peak at a 1.33 eV, in addition to the GaAs related line.

  1. Crystallization and Growth of Colloidal Nanocrystals

    CERN Document Server

    Leite, Edson Roberto

    2012-01-01

    Since the size, shape, and microstructure of nanocrystalline materials strongly impact physical and chemical properties, the development of new synthetic routes to  nanocrystals with controlled composition and morphology is a key objective of the nanomaterials community. This objective is dependent on control of the nucleation and growth mechanisms that occur during the synthetic process, which in turn requires a fundamental understanding of both classical nucleation and growth and non-classical growth processes in nanostructured materials.  Recently, a novel growth process called Oriented Attachment (OA) was identified which appears to be a fundamental mechanism during the development of nanoscale  materials. OA is a special case of aggregation that provides an important route by which nanocrystals grow, defects are formed, and unique—often symmetry-defying—crystal morphologies can be produced. This growth mechanism involves reversible self-assembly of primary nanocrystals followed by reorientati...

  2. Digital Control of the Czochralski Growth of Gallium Arsenide-Controller Software Reference Manual

    Science.gov (United States)

    1987-07-15

    once a parameter was changed. (2) Despite of the fact that there are analog controllers on the market which feature a high degree of automation...single-zone heater is in use.) - 4 - Kfc ^&S^^ p IS’ K: i 1. Digital Control of Czochralski GaAs Crystal Growth (2) Four tachometers which are...34 if either the overlay name or the program version loaded with the overlay do not match the expected data. (It is important not to mix modules

  3. Growth, spectral and thermal studies of ibuprofen crystals

    Energy Technology Data Exchange (ETDEWEB)

    Ramukutty, S.; Ramachandran, E. [Department of Physics, Thiruvalluvar College, Papanasam (India)

    2012-01-15

    RS -Ibuprofen was crystallized for the first time in silica gel under suitable pH conditions by reduction of solubility method. The grown crystals were characterized by single crystal X-ray diffraction and density measurement. The functional groups present in the crystal were identified using Fourier transform infrared spectroscopy. Optical bandgap energy of ibuprofen was estimated as 3.19(3) eV from UV-Vis spectrum. Thermogravimetric analysis revealed that ibuprofen is thermally stable upto 102.9 C and the initial loss of mass was due to evaporation only. Morphological study showed that the growth is prominent along b-axis and the prominent face is {l_brace}100{r_brace}. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Impact of Heterogeneity and Lattice Bond Strength on DNA Triangle Crystal Growth.

    Science.gov (United States)

    Stahl, Evi; Praetorius, Florian; de Oliveira Mann, Carina C; Hopfner, Karl-Peter; Dietz, Hendrik

    2016-09-07

    One key goal of DNA nanotechnology is the bottom-up construction of macroscopic crystalline materials. Beyond applications in fields such as photonics or plasmonics, DNA-based crystal matrices could possibly facilitate the diffraction-based structural analysis of guest molecules. Seeman and co-workers reported in 2009 the first designed crystal matrices based on a 38 kDa DNA triangle that was composed of seven chains. The crystal lattice was stabilized, unprecedentedly, by Watson-Crick base pairing. However, 3D crystallization of larger designed DNA objects that include more chains such as DNA origami remains an unsolved problem. Larger objects would offer more degrees of freedom and design options with respect to tailoring lattice geometry and for positioning other objects within a crystal lattice. The greater rigidity of multilayer DNA origami could also positively influence the diffractive properties of crystals composed of such particles. Here, we rationally explore the role of heterogeneity and Watson-Crick interaction strengths in crystal growth using 40 variants of the original DNA triangle as model multichain objects. Crystal growth of the triangle was remarkably robust despite massive chemical, geometrical, and thermodynamical sample heterogeneity that we introduced, but the crystal growth sensitively depended on the sequences of base pairs next to the Watson-Crick sticky ends of the triangle. Our results point to weak lattice interactions and high concentrations as decisive factors for achieving productive crystallization, while sample heterogeneity and impurities played a minor role.

  5. On the growth of calcium tartrate tetrahydrate single crystals

    Indian Academy of Sciences (India)

    Unknown

    Abstract. Calcium tartrate single crystals were grown using silica gel as the growth medium. Calcium for- mate mixed with formic acid was taken as the supernatant solution. It was observed that the nucleation den- sity was reduced and the size of the crystals was improved to a large extent compared to the conventional way.

  6. InSb semiconductors and (In,Mn)Sb diluted magnetic semiconductors: Growth and properties

    International Nuclear Information System (INIS)

    Tran, Lien

    2011-01-01

    This dissertation describes investigations of the growth by molecular beam epitaxy and the characterization of the semiconductor InSb as well as the diluted magnetic semiconductor (DMS) In 1-x Mn x Sb. The InSb films were grown on GaAs (001) substrate and Si (001) offcut by 4 toward (110) substrate up to a thickness of about 2 μm, in spite of a large lattice mismatch between the epi-layer and substrate (14.6% between InSb and GaAs, and 19.3% between InSb and Si). After optimizing the growth conditions, the best InSb films grown directly on GaAs without any special technique results in a high crystal quality, low noise, and an electron mobility of 41100 cm 2 /V s Vs with associated electron concentration of 2.9.10 6 cm -3 at 300 K. Such structures could be used, for example, for infrared detector structures. The growth of InSb on Si, however, is a challenge. In order to successfully grow InSb on Si, tilted substrates and the insertion of buffer layers were used, which helps to reduce the lattice mismatch as well as the formation of defects, and hence to improve the crystal quality. An electron mobility of 24000 cm 2 /V s measured at 300 K, with an associated carrier concentration of 2.6.10 1 6 cm -3 is found for the best sample that was grown at 340 C with a 0.06 μm-thick GaSb/AlSb superlattice buffer layer. The smaller value of electron mobility (compared to the best GaAsbased sample) is related to a higher density of microtwins and stacking faults as well as threading dislocations in the near-interface region as shown by transmission electron microscopy. Deep level noise spectra indicate the existence of deep levels in both GaAs and Si-based samples. The samples grown on Si exhibit the lowest Hooge factor at 300 K, lower than the samples grown on GaAs. Taking the optimized growth conditions of InSb/GaAs, the diluted magnetic semiconductor In 1-x Mn x Sb/GaAs (001) is prepared by adding a few percent of Mn into the host material InSb during growth. I have

  7. Investigation of grain competitive growth during directional solidification of single-crystal nickel-based superalloys

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Xinbao [National Energy R and D Center of Clean and High-Efficiency Fossil-Fired Power Generation Technology, Xi' an Thermal Power Research Institute Co. Ltd., Xi' an (China); Northwestern Polytechnical University, State Key Laboratory of Solidification Processing, Xi' an (China); Liu, Lin; Zhang, Jun [Northwestern Polytechnical University, State Key Laboratory of Solidification Processing, Xi' an (China)

    2015-08-15

    Grain competitive growth of nickel-based single-crystal superalloys during directional solidification was investigated. A detailed characterization of bi-crystals' competitive growth was performed to explore the competitive grain evolution. It was found that high withdrawal rate improved the efficiency of grain competitive growth. The overgrowth rate was increased when the misorientation increased. Four patterns of grain competitive growth with differently oriented dispositions were characterized. The results indicated that the positive branching of the dendrites played a significant role in the competitive growth process. The effect of crystal orientation and heat flow on the competitive growth can be attributed to the blocking mechanism between the adjacent grains. (orig.)

  8. Hanging drop crystal growth apparatus

    Science.gov (United States)

    Naumann, Robert J. (Inventor); Witherow, William K. (Inventor); Carter, Daniel C. (Inventor); Bugg, Charles E. (Inventor); Suddath, Fred L. (Inventor)

    1990-01-01

    This invention relates generally to control systems for controlling crystal growth, and more particularly to such a system which uses a beam of light refracted by the fluid in which crystals are growing to detect concentration of solutes in the liquid. In a hanging drop apparatus, a laser beam is directed onto drop which refracts the laser light into primary and secondary bows, respectively, which in turn fall upon linear diode detector arrays. As concentration of solutes in drop increases due to solvent removal, these bows move farther apart on the arrays, with the relative separation being detected by arrays and used by a computer to adjust solvent vapor transport from the drop. A forward scattering detector is used to detect crystal nucleation in drop, and a humidity detector is used, in one embodiment, to detect relative humidity in the enclosure wherein drop is suspended. The novelty of this invention lies in utilizing angular variance of light refracted from drop to infer, by a computer algorithm, concentration of solutes therein. Additional novelty is believed to lie in using a forward scattering detector to detect nucleating crystallites in drop.

  9. Control of heat transfer in continuous-feeding Czochralski-silicon crystal growth with a water-cooled jacket

    Science.gov (United States)

    Zhao, Wenhan; Liu, Lijun

    2017-01-01

    The continuous-feeding Czochralski method is an effective method to reduce the cost of single crystal silicon. By promoting the crystal growth rate, the cost can be reduced further. However, more latent heat will be released at the melt-crystal interface under a high crystal growth rate. In this study, a water-cooled jacket was applied to enhance the heat transfer at the melt-crystal interface. Quasi-steady-state numerical calculation was employed to investigate the impact of the water-cooled jacket on the heat transfer at the melt-crystal interface. Latent heat released during the crystal growth process at the melt-crystal interface and absorbed during feedstock melting at the feeding zone was modeled in the simulations. The results show that, by using the water-cooled jacket, heat transfer in the growing crystal is enhanced significantly. Melt-crystal interface deflection and thermal stress increase simultaneously due to the increase of radial temperature at the melt-crystal interface. With a modified heat shield design, heat transfer at the melt-crystal interface is well controlled. The crystal growth rate can be increased by 20%.

  10. Synthesis and growth of single crystals of PrCl3

    International Nuclear Information System (INIS)

    Pei, Jen Shieh.

    1984-01-01

    An efficient method for growth of high optical quality single crystals of PrCl 3 . The method consists in careful drying of the hydrated chloride, PrCl 3 . 7H 2 O, with a protective atmosphere of anhydrous HCl and Ar. A subsequent growth was done by the zone melting technique. The hydrated chloride had prepared from dissolution of the oxide Pr 6 O 11 in hydrochloric acid. The grown crystals had characterized fluorescence and x-ray diffraction measurements. (Author) [pt

  11. Controlling single and few-layer graphene crystals growth in a solid carbon source based chemical vapor deposition

    International Nuclear Information System (INIS)

    Papon, Remi; Sharma, Subash; Shinde, Sachin M.; Vishwakarma, Riteshkumar; Tanemura, Masaki; Kalita, Golap

    2014-01-01

    Here, we reveal the growth process of single and few-layer graphene crystals in the solid carbon source based chemical vapor deposition (CVD) technique. Nucleation and growth of graphene crystals on a polycrystalline Cu foil are significantly affected by the injection of carbon atoms with pyrolysis rate of the carbon source. We observe micron length ribbons like growth front as well as saturated growth edges of graphene crystals depending on growth conditions. Controlling the pyrolysis rate of carbon source, monolayer and few-layer crystals and corresponding continuous films are obtained. In a controlled process, we observed growth of large monolayer graphene crystals, which interconnect and merge together to form a continuous film. On the other hand, adlayer growth is observed with an increased pyrolysis rate, resulting few-layer graphene crystal structure and merged continuous film. The understanding of monolayer and few-layer crystals growth in the developed CVD process can be significant to grow graphene with controlled layer numbers.

  12. Growth of single crystals from solutions using semi-permeable membranes

    Science.gov (United States)

    Varkey, A. J.; Okeke, C. E.

    1983-05-01

    A technique suitable for growth of single crystals from solutions using semi-preamble membranes is described. Using this technique single crystals of copper sulphate, potassium bromide and ammonium dihydrogen phosphate have been successfully grown. Advantages of this technique over other methods are discussed.

  13. Crystal growth within a phase change memory cell.

    Science.gov (United States)

    Sebastian, Abu; Le Gallo, Manuel; Krebs, Daniel

    2014-07-07

    In spite of the prominent role played by phase change materials in information technology, a detailed understanding of the central property of such materials, namely the phase change mechanism, is still lacking mostly because of difficulties associated with experimental measurements. Here, we measure the crystal growth velocity of a phase change material at both the nanometre length and the nanosecond timescale using phase-change memory cells. The material is studied in the technologically relevant melt-quenched phase and directly in the environment in which the phase change material is going to be used in the application. We present a consistent description of the temperature dependence of the crystal growth velocity in the glass and the super-cooled liquid up to the melting temperature.

  14. Growth of NH4Cl Single Crystal from Vapor Phase in Vertical Furnace

    Science.gov (United States)

    Nigara, Yutaka; Yoshizawa, Masahito; Fujimura, Tadao

    1983-02-01

    A pure and internally stress-free single crystal of NH4Cl was grown successfully from the vapor phase. The crystal measured 1.6 cmφ× 2 cm and had the disordered CsCl structure, which was stable below 184°C. The crystal was grown in an ampoule in a vertical furnace, in which the vapor was efficiently transported both by diffusion and convection. In line with the growth mechanism of a single crystal, the temperature fluctuation (°C/min) on the growth interface was kept smaller than the product of the temperature gradient (°C/cm) and the growth rate (cm/min). The specific heat of the crystal was measured around -31°C (242 K) during cooling and heating cycles by AC calorimetry. The thermal hysteresis (0.4 K) obtained here was smaller than that (0.89 K) of an NH4Cl crystal grown from its aqueous solution with urea added as a habit modifier.

  15. Lifetime studies of self-activated photoluminescence in heavily silicon-doped GaAs

    Science.gov (United States)

    Sauncy, T.; Palsule, C. P.; Holtz, M.; Gangopadhyay, S.; Massie, S.

    1996-01-01

    We report results of a detailed temperature dependence study of photoluminescence lifetime and continuous emission properties in silicon-doped GaAs. The primary focus is on a defect-related emission at 1.269 eV (T=20 K). GaAs crystals were grown using molecular-beam epitaxy with most of the experiments conducted on a sample having a carrier concentration of 4.9×1018 cm-3. The intensity is seen to decrease above 100 K, with no corresponding decrease in the measured lifetime of 9.63+/-0.25 ns. The intensity decrease implies an activation energy of 19+/-2 meV, which is approximately one order of magnitude smaller than what was previously obtained for similar defects in Czochralski-grown GaAs with other dopants. We interpret our results in terms of a configuration coordinate model and obtain a more complete picture of the energy-level structure. The experiments indicate that the upper level in the recombination process is about 20 meV below the conduction-band continuum, with the lower state approximately 300 meV above the valence band. Our results are consistent with the identification of the corresponding defect complex microstructure as being a silicon-at-gallium substitution, weakly interacting with a gallium vacancy second-nearest neighbor, known as the Si-Y defect complex.

  16. Historical review of quartz crystal growth

    Science.gov (United States)

    Iwasaki, Fumiko; Iwasaki, Hideo

    2002-04-01

    The history of quartz crystal growth is reviewed from the origin to the industrialization. The developing process of growth techniques is divided into the following three stages: (1) The fundamental work based on the mineralogical genetic view point, which was performed in Italy during the end of the 19th to the beginning of the 20th centuries. (2) The works to attempt the industrial application made in Germany and in England during World War II. (3) The industrialization of quartz growth after World War II. These were initiated in England, in USA and independently in Russia. The highest mass production process was developed in Japan. The historical flow is traced by the interview of several persons based on the original references.

  17. Investigating calcite growth rates using a quartz crystal microbalance with dissipation (QCM-D)

    Science.gov (United States)

    Cao, Bo; Stack, Andrew G.; Steefel, Carl I.; DePaolo, Donald J.; Lammers, Laura N.; Hu, Yandi

    2018-02-01

    Calcite precipitation plays a significant role in processes such as geological carbon sequestration and toxic metal sequestration and, yet, the rates and mechanisms of calcite growth under close to equilibrium conditions are far from well understood. In this study, a quartz crystal microbalance with dissipation (QCM-D) was used for the first time to measure macroscopic calcite growth rates. Calcite seed crystals were first nucleated and grown on sensors, then growth rates of calcite seed crystals were measured in real-time under close to equilibrium conditions (saturation index, SI = log ({Ca2+}/{CO32-}/Ksp) = 0.01-0.7, where {i} represent ion activities and Ksp = 10-8.48 is the calcite thermodynamic solubility constant). At the end of the experiments, total masses of calcite crystals on sensors measured by QCM-D and inductively coupled plasma mass spectrometry (ICP-MS) were consistent, validating the QCM-D measurements. Calcite growth rates measured by QCM-D were compared with reported macroscopic growth rates measured with auto-titration, ICP-MS, and microbalance. Calcite growth rates measured by QCM-D were also compared with microscopic growth rates measured by atomic force microscopy (AFM) and with rates predicted by two process-based crystal growth models. The discrepancies in growth rates among AFM measurements and model predictions appear to mainly arise from differences in step densities, and the step velocities were consistent among the AFM measurements as well as with both model predictions. Using the predicted steady-state step velocity and the measured step densities, both models predict well the growth rates measured using QCM-D and AFM. This study provides valuable insights into the effects of reactive site densities on calcite growth rate, which may help design future growth models to predict transient-state step densities.

  18. Nucleation and growth of the Naica giant gypsum crystals.

    Science.gov (United States)

    Otálora, Fermín; García-Ruiz, JuanMa

    2014-04-07

    The Cave of Giant Crystals in the Naica mine (Mexico) is one of the most amazing displays of mineral beauty ever created in nature. In addition to the colossal crystals of gypsum, which in some cases exceed eleven meters in length and one meter in thickness, the scenery fashioned by the crystalline beams that thrust through the darkness of the cave from floor to ceiling with a luster like moonlight is a unique example of harmony based on crystal symmetry. We review the crystallogenesis of this remarkable and challenging phenomenon of mineralization near equilibrium that can be used to teach the basics of nucleation and crystal growth.

  19. The inhibition of crystal growth of mirabilite in aqueous solutions in the presence of phosphonates

    Science.gov (United States)

    Vavouraki, A. I.; Koutsoukos, P. G.

    2016-02-01

    The formation of sodium sulfate decahydrate (Mirabilite) has been known to cause serious damages to structural materials both of modern and of historical buildings. Methods which can retard or completely suppress the development of mirabilte crystals are urgently needed especially as remedies or preventive measures for the preservation of the built cultural heritage. In the present work we present results on the effect of the presence of phosphonate compounds on the kinetics of crystal growth from aqueous supersaturated solutions at 18 °C using the seeded growth technique. The phosphonate compounds tested differed with respect to the number of ionizable phosphonate groups and with respect to the number of amino groups in the respective molecules. The crystal growth process was monitored by the temperature changes during the exothermic crystallization of mirabilite in the stirred supersaturated solutions. The crystal growth of mirabilite in the presence of: (1-hydroxyethylidene)-1, 1-diphosphonic acid (HEDP), amino tri (methylene phosphonic acid) (ATMP), hexamethylenediaminetetra (methylene)phosphonic acid (HTDMP), and diethylene triamine penta(methylene phosphonic acid)(DETPMP) over a range of concentrations between 0.1-5% w/w resulted in significant decrease of the rates of mirabilite crystal growth. All phosphonic compounds tested reduced the crystallization rates up to 60% in comparison with additive-free solutions. The presence of the test compounds did not cause changes of the mechanism of crystal growth which was surface diffusion controlled, as shown by the second order dependence of the rates of mirabilite crystal growth on the relative supersaturation. The excellent fit of the measured rates to a kinetic Langmuir-type model suggested that the activity of the tested inhibitors could be attributed to the adsorption and subsequent reduction of the active crystal growth sites of the seed crystals. In all cases, the inhibitory activity was reduced with

  20. Mass and energy dispersive recoil spectrometry of GaAs structures

    International Nuclear Information System (INIS)

    Hult, M.

    1994-01-01

    Mass and energy dispersive Recoil Spectrometry (RS) using heavy ions at energies of about 0.2Α-0.8Α MeV has attracted much interest recently due to its potential for separately and unambiguously generating information on isotopic depth distributions. The principal advantages of mass and energy dispersive RS are that both light and heavy elements can be separately studied simultaneously and problems caused by chemical matrix effects are avoided since the technique is based on high energy nucleus-nucleus scattering. In order to elucidate reactions taking place in various GaAs structures, Time of flight-Energy (ToF-E) RS was developed to allow Ga and As to be studied separately down to depths of about 500-800 nm with a depth resolution of about 16 nm at the surface. This was shown in a study of an Al x Ga 1-x As quantum-well structure. The benefits of using ToF-E RS on GaAs structures were further demonstrated in studies of Co/GaAs and CoSi 2 /GaAs reactions, as well as in a study of the composition of MOCVD grown Al x Ga 1-x As. Most recoil measurements employed 127 I at energies of about 50-90 MeV as projectiles. The recoil detector telescope consisted of a silicon energy detector and two carbon foil time pick-off detectors separated by a variable flight length of 213.5-961 mm. The reactions taking place between various thin films and GaAs were also studied using complementary techniques such as XRD, XPS and SEM. Co was found to react extensively with GaAs, already at about 300 degrees C, making it unsuitable as a contact material. Thin films of Co and Si were found to react extensively with each other and to form CoSi 2 at 500 degrees C and above. CoSi 2 , a low resistivity silicide, turned out to be stable on GaAs, at least up to 700 degrees C. Considerable grain growth could cause problems, however, in the use of CoSi 2 -contacts. 112 refs, figs, tabs

  1. Crystal Growth of New Radiation Detector Materials in Microgravity, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — RMD proposes to conduct a series of crystal growth experiments on the International Space Station in the SUBSA furnace inside the MSG glovebox to grow crystals of...

  2. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States); Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Han, Sang M., E-mail: meister@unm.ed [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States)

    2010-08-31

    subsequent growth morphology of GaAs deposited by metal-organic chemical vapor deposition. Room temperature photoluminescence shows that films of GaAs grown on Ge-on-oxidized Si have an intensity that is 20 to 25% compared to the intensity from GaAs grown on commercial Ge or GaAs substrates. Cathodoluminescence shows that nonradiative defects occur in the GaAs that spatially correspond to the stacking faults terminating at the Ge surface. The exact nature of these nonradiative defects in the GaAs is unknown, however, GaAs grown on annealed samples of Ge-on-oxidized Si, whereby annealing removes the stacking faults, have photoluminescence intensity that is comparable to GaAs grown on a GaAs substrate.

  3. Crystal growth of Li{sup 10}B{sub 3}O{sub 5}

    Energy Technology Data Exchange (ETDEWEB)

    Sugiyama, Akira [Kansai Research Establishment, Japan Atomic Energy Research Institute, Kizu, Kyoto (Japan); Gallagher, Hugh G.; Han, Thomas P.J.

    1999-09-01

    The growth of boron 10 isotope enriched L{sup 10}BO (Li{sup 10}B{sub 3}O{sub 5}) optical crystal has been developed from Top-Seeded-Solution-Growth using a resistance furnace. In the preparation for growth materials, we have made further improvement on a charge loading technique to a crucible and succeeded in forming suitable high temperature flux for producing crystals. Adequate temperature gradient of 1K/cm inside the crucible was achieved from searching for a combination of setting temperatures in the vertical three-zone furnace and installing a ceramic ring under the crucible. We have also optimized seed holder configuration and established growth conditions by several attempts. As a result, two good quality L{sup 10}BO crystals were produced with sizes of 14 x 25 x 22 mm and 13 x 10 x 12 mm from <001> oriented seed crystals. Although these sizes were limited by the size of the crucible used, appropriate oriented samples were extracted for detailed studies in optical measurements. (author)

  4. Modelisation and numerical simulation for bulk crystal growth processes

    International Nuclear Information System (INIS)

    Duffar, F.; Dusserre, P.; Barat, C.; Nabot, J.P.

    1993-01-01

    The aim of this work is to study the relevance of numerical simulation for improving the process control in the field of crystal growth. This investigation focused on the growth of semiconductor and halide crystals by the Bridgman solidification technique, the principle of which is to cool a seeded feed material contained in a crucible, either by pulling the crucible or by decreasing the temperature in the furnace. Calculations are performed with the finite element method, and for comparison, experiments are carried out on Bridgman pulling machines operating either in a laboratory or in industrial plants. Calculations and experimental data have shown a good agreement and a satisfactory reliability

  5. Defect reduction in seeded aluminum nitride crystal growth

    Science.gov (United States)

    Bondokov, Robert T.; Schowalter, Leo J.; Morgan, Kenneth; Slack, Glen A; Rao, Shailaja P.; Gibb, Shawn Robert

    2017-09-26

    Bulk single crystal of aluminum nitride (AlN) having an areal planar defect density.ltoreq.100 cm.sup.-2. Methods for growing single crystal aluminum nitride include melting an aluminum foil to uniformly wet a foundation with a layer of aluminum, the foundation forming a portion of an AlN seed holder, for an AlN seed to be used for the AlN growth. The holder may consist essentially of a substantially impervious backing plate.

  6. Protein nanocrystallography: growth mechanism and atomic structure of crystals induced by nanotemplates.

    Science.gov (United States)

    Pechkova, E; Vasile, F; Spera, R; Fiordoro, S; Nicolini, C

    2005-11-01

    Protein nanocrystallography, a new technology for crystal growth based on protein nanotemplates, has recently been shown to produce diffracting, stable and radiation-resistant lysozyme crystals. This article, by computing these lysozyme crystals' atomic structures, obtained by the diffraction patterns of microfocused synchrotron radiation, provides a possible mechanism for this increased stability, namely a significant decrease in water content accompanied by a minor but significant alpha-helix increase. These data are shown to be compatible with the circular dichroism and two-dimensional Fourier transform spectra of high-resolution H NMR of proteins dissolved from the same nanotemplate-based crystal versus those from a classical crystal. Finally, evidence for protein direct transfer from the nanotemplate to the drop and the participation of the template proteins in crystal nucleation and growth is provided by high-resolution NMR spectrometry and mass spectrometry. Furthermore, the lysozyme nanotemplate appears stable up to 523 K, as confirmed by a thermal denaturation study using spectropolarimetry. The overall data suggest that heat-proof lysozyme presence in the crystal provides a possible explanation of the crystal's resistance to synchrotron radiation.

  7. Shallow Levels Characterization in Epitaxial GaAs by Acousto-Optic Reflectance Shallow Levels Characterization in Epitaxial GaAs by Acousto-Optic Reflectance

    Directory of Open Access Journals (Sweden)

    O. G. Ibarra-Manzano

    2012-02-01

    Full Text Available Optical spectra of light reflection are detected under an influence of ultrasonic wave (UWon a GaAs wafer. The differential spectrum is calculated as a difference between those taken under UW and without that influence on a sample. This acousto-optic differential reflectance(AODR spectrum contains some bands that represent the energetic levels of the shallow centers in a sample. A physical basis of this technique is related to a perturbation of local states by UW. Here, a method is developed for characterization of local states at the surfaces and interfaces in crystals and low-dimensional epitaxial structures based on microelectronics materials. A theoretical model is presented to explain AODR spectra. Also, experiments using epitaxial GaAs structures doped by Te were made. Finally, theoretical and experimental results show that acousto-optic reflectance is an effective tool for characterization of shallow trapping centers in epitaxial semiconductor structures.En este trabajo, utilizamos el espectro de la luz reflejada en una muestra de Arsenuro de Galio (GaAs bajo la influencia de una onda ultrasónica. El diferencial espectral es calculado como una diferencia entre el espectro del material obtenido bajo la influencia del ultrasonido y aquél obtenido sin dicha influencia. Este diferencial de reflectancia espectral acusto-óptico (AODR contiene algunas bandas que representan los niveles energéticos de los centros en la superficie de la muestra. Esta técnica está basada en la perturbación de los estados locales generada por el ultrasonido. Particularmente, este trabajo presenta un método para caracterizar los estados locales en la superficie y las interfaces en los cristales, así como estructuras epiteliales de baja dimensión basadas en materiales semiconductores. Para ello, se presenta un modelo teórico para explicar dicho espectro de reflectancia diferencial (AODR. También se realizaron experimentos con estructuras de GaAs epitelial

  8. Self-Aligned Growth of Organic Semiconductor Single Crystals by Electric Field.

    Science.gov (United States)

    Kotsuki, Kenji; Obata, Seiji; Saiki, Koichiro

    2016-01-19

    We proposed a novel but facile method for growing organic semiconductor single-crystals via solvent vapor annealing (SVA) under electric field. In the conventional SVA growth process, nuclei of crystals appeared anywhere on the substrate and their crystallographic axes were randomly distributed. We applied electric field during the SVA growth of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) on the SiO2/Si substrate on which a pair of electrodes had been deposited beforehand. Real-time observation of the SVA process revealed that rodlike single crystals grew with their long axes parallel to the electric field and bridged the prepatterned electrodes. As a result, C8-BTBT crystals automatically formed a field effect transistor (FET) structure and the mobility reached 1.9 cm(2)/(V s). Electric-field-assisted SVA proved a promising method for constructing high-mobility single-crystal FETs at the desired position by a low-cost solution process.

  9. Crystal growth of calcium carbonate in silk fibroin/sodium alginate hydrogel

    Science.gov (United States)

    Ming, Jinfa; Zuo, Baoqi

    2014-01-01

    As known, silk fibroin-like protein plays a pivotal role during the formation of calcium carbonate (CaCO3) crystals in the nacre sheets. Here, we have prepared silk fibroin/sodium alginate nanofiber hydrogels to serve as templates for calcium carbonate mineralization. In this experiment, we report an interesting finding of calcium carbonate crystal growth in the silk fibroin/sodium alginate nanofiber hydrogels by the vapor diffusion method. The experimental results indicate calcium carbonate crystals obtained from nanofiber hydrogels with different proportions of silk fibroin/sodium alginate are mixture of calcite and vaterite with unusual morphologies. Time-dependent growth study was carried out to investigate the crystallization process. It is believed that nanofiber hydrogels play an important role in the process of crystallization. This study would help in understanding the function of organic polymers in natural mineralization, and provide a novel pathway in the design and synthesis of new materials related unique morphology and structure.

  10. Rapid growth of ZnO hexagonal prism crystals by direct microwave heating

    Institute of Scientific and Technical Information of China (English)

    ZHU Zhenqi; ZHOU Jian; LIU Guizhen; REN Zhiguo

    2008-01-01

    ZnO hexagonal prism crystals were synthesized from ZnO powders by microwave heating in a short time (within 20 min) without any metal catalyst or transport agent.Zinc oxide raw materials were made by evaporating from the high-temperature zone in an enclosure atmosphere and crystals were grown on the self-source substrate.The inherent asymmetry in microwave heating provides the temperature gradient for crystal growth.Substrate and temperature distribution in the oven show significant effects on the growth of the ZnO crystal.The morphologies demonstrate that these samples are pure hexagonal prism crystals with maximum 80 μm in diameter and 600 μm in length,which possess a well faceted end and side surface.X-ray diffraction (XRD) reveals that these samples are pure crystals.The photoluminescence (PL) exhibits strong ultraviolet emission at room temperature,indicating potential applications for short-wave light-emitting photonic devices.

  11. Ion Back-Bombardment of GaAs Photocathodes Inside DC High Voltage Electron Guns

    CERN Document Server

    Grames, Joseph M; Brittian, Joshua; Charles, Daniel; Clark, Jim; Hansknecht, John; Lynn Stutzman, Marcy; Poelker, Matthew; Surles-Law, Kenneth E

    2005-01-01

    The primary limitation for sustained high quantum efficiency operation of GaAs photocathodes inside DC high voltage electron guns is ion back-bombardment of the photocathode. This process results from ionization of residual gas within the cathode/anode gap by the extracted electron beam, which is subsequently accelerated backwards to the photocathode. The damage mechanism is believed to be either destruction of the negative electron affinity condition at the surface of the photocathode or damage to the crystal structure by implantation of the bombarding ions. This work characterizes ion formation within the anode/cathode gap for gas species typical of UHV vacuum chambers (i.e., hydrogen, carbon monoxide and methane). Calculations and simulations are performed to determine the ion trajectories and stopping distance within the photocathode material. The results of the simulations are compared with test results obtained using a 100 keV DC high voltage GaAs photoemission gun and beamline at currents up to 10 mA D...

  12. High quality GaAs single photon emitters on Si substrate

    International Nuclear Information System (INIS)

    Bietti, S.; Sanguinetti, S.; Cavigli, L.; Accanto, N.; Vinattieri, A.; Minari, S.; Abbarchi, M.; Isella, G.; Frigeri, C.; Gurioli, M.

    2013-01-01

    We describe a method for the direct epitaxial growth of a single photon emitter, based on GaAs quantum dots fabricated by droplet epitaxy, working at liquid nitrogen temperatures on Si substrates. The achievement of quantum photon statistics up to T=80 K is directly proved by antibunching in the second order correlation function as measured with a H anbury Brown and Twiss interferometer

  13. Growth and properties of low-dimensional III-V semiconductor nanowire heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Heiss, Martin

    2010-08-25

    In this work the properties of GaAs nanowire based heterostructures are investigated. The nanowires and their heterostructures are synthesized with Molecular Beam Epitaxy. The optical and structural properties are characterized by means of low temperature confocal micro-photoluminescence spectroscopy and Transmission Electron Microscopy. Molecular Beam Epitaxy is a versatile technique that allows to switch from radial to axial growth in order to cap the nanowires by an epitaxial prismatic AlGaAs/GaAs heterostructure. This can passivate surface states and improve the optical properties. The effect of such a passivation layer is studied by quantitative comparison of the diameter dependence of photoluminescence in passivated and unpassivated nanowires. The passivation is an important prerequisite for more complex axial heterostructures. Evidence for radial confinement effects is found in passivated nanowires with core diameters smaller than 70 nm. Furthermore, the polarization dependence of light absorption and emission is investigated. Two different types of axial heterostructures are studied that have the potential to further enhance the functionality of such nanowires. In a first step, the possibility of growth of axial InGaAs heterostructure in the Au-free Molecular Beam Epitaxy growth regime is investigated. Suitable growth conditions are identified and the growth temperature window for both GaAs and InGaAs nanowires is determined. At the optimum growth temperature for GaAs nanowires, the incorporation of indium in the structure is limited to a few percent. It is shown that by lowering the growth temperature the indium concentration in the structure can be increased up to 20%. The optical properties of the synthesized axial heterostructures are investigated by means of micro-photoluminescence spectroscopy and Transmission Electron Microscopy. The second type of axial nanowire heterostructure investigated in the present work is characterized by a change in crystal

  14. Lattice Boltzmann Simulation of Water Isotope Fractionation During Growth of Ice Crystals in Clouds

    Science.gov (United States)

    Lu, G.; Depaolo, D.; Kang, Q.; Zhang, D.

    2006-12-01

    The isotopic composition of precipitation, especially that of snow, plays a special role in the global hydrological cycle and in reconstruction of past climates using polar ice cores. The fractionation of the major water isotope species (HHO, HDO, HHO-18) during ice crystal formation is critical to understanding the global distribution of isotopes in precipitation. Ice crystal growth in clouds is traditionally treated with a spherically- symmetric steady state diffusion model, with semi-empirical modifications added to account for ventilation and for complex crystal morphology. Although it is known that crystal growth rate, which depends largely on the degree of vapor over-saturation, determines crystal morphology, there are no existing quantitative models that directly relate morphology to the vapor saturation factor. Since kinetic (vapor phase diffusion-controlled) isotopic fractionation also depends on growth rate, there should be a direct relationship between vapor saturation, crystal morphology, and crystal isotopic composition. We use a 2D Lattice-Boltzmann model to simulate diffusion-controlled ice crystal growth from vapor- oversaturated air. In the model, crystals grow solely according to the diffusive fluxes just above the crystal surfaces, and hence crystal morphology arises from the initial and boundary conditions in the model and does not need to be specified a priori. The input parameters needed are the isotope-dependent vapor deposition rate constant (k) and the water vapor diffusivity in air (D). The values of both k and D can be computed from kinetic theory, and there are also experimentally determined values of D. The deduced values of k are uncertain to the extent that the sticking coefficient (or accommodation coefficient) for ice is uncertain. The ratio D/k is a length that determines the minimum scale of dendritic growth features and allows us to scale the numerical calculations to atmospheric conditions using a dimensionless Damkohler number

  15. Determination of the thickness of chemically removed thin layers on GaAs VPE structures

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K.; Nemeth-Sallay, M.; Nemcsics, A. (Research Inst. for Technical Physics, Hungarian Academy of Sciences, Budapest (Hungary))

    1991-01-01

    Thinning of epitaxial GaAs layers was studied during the surface etching, with a special attention to submicron epitaxial structures, like MESFET or varactor-type structures. Each chemical treatment influences the crystal surface during the device preparation processes, though the possible thinning of the active layer is small. Therefore a method allowing determination of thicknesses as small as at about 20 nm of the layer removed by chemical etching from GaAs VPE structures was applied. Using special multilayered structures and a continuous electrochemical carrier concentration depth profiling, the influence of the layer thickness inhomogeneity and of some measurement errors can be minimized. Some frequently used etchants and the influence of different - so called - non-etching processes were compared in different combinations. It was shown that besides the direct etching a change of the surface conditions occurs, which influences the etch rate in the succeeding etching procedure. (orig.).

  16. Iron inhibits hydroxyapatite crystal growth in vitro.

    Science.gov (United States)

    Guggenbuhl, Pascal; Filmon, Robert; Mabilleau, Guillaume; Baslé, Michel F; Chappard, Daniel

    2008-07-01

    Hemochromatosis is a known cause of osteoporosis in which the pathophysiology of bone loss is largely unknown and the role of iron remains questionable. We have investigated the effects of iron on the growth of hydroxyapatite crystals in vitro on carboxymethylated poly(2-hydroxyethyl methacrylate) pellets. This noncellular and enzyme-independent model mimics the calcification of woven bone (composed of calcospherites made of hydroxyapatite crystals). Polymer pellets were incubated with body fluid containing iron at increasing concentrations (20, 40, 60 micromol/L). Hydroxyapatite growth was studied by chemical analysis, scanning electron microscopy, and Raman microscopy. When incubated in body fluid containing iron, significant differences were observed with control pellets. Iron was detected at a concentration of 5.41- to 7.16-fold that of controls. In pellets incubated with iron, there was a approximately 3- to 4-fold decrease of Ca and P and a approximately 1.3- to 1.4-fold increase in the Ca/P ratio. There was no significant difference among the iron groups of pellets, but a trend to a decrease of Ca with the increase of iron concentration was noted. Calcospherite diameters were significantly lower on pellets incubated with iron. Raman microspectroscopy showed a decrease in crystallinity (measured by the full width of the half height of the 960 Deltacm(-1) band) with a significant increase in carbonate substitution (measured by the intensity ratio of 1071 to 960 Deltacm(-1) band). Energy dispersive x-ray analysis identified iron in the calcospherites. In vitro, iron is capable to inhibit bone crystal growth with significant changes in crystallinity and carbonate substitution.

  17. Crystallization Kinetics of Organic–Inorganic Trihalide Perovskites and the Role of the Lead Anion in Crystal Growth

    KAUST Repository

    Moore, David T.

    2015-02-18

    © 2015 American Chemical Society. Methylammonium lead halide perovskite solar cells continue to excite the research community due to their rapidly increasing performance which, in large part, is due to improvements in film morphology. The next step in this progression is control of the crystal morphology which requires a better fundamental understanding of the crystal growth. In this study we use in situ X-ray scattering data to study isothermal transformations of perovskite films derived from chloride, iodide, nitrate, and acetate lead salts. Using established models we determine the activation energy for crystallization and find that it changes as a function of the lead salt. Further analysis enabled determination of the precursor composition and showed that the primary step in perovskite formation is removal of excess organic salt from the precursor. This understanding suggests that careful choice of the lead salt will aid in controlling crystal growth, leading to superior films and better performing solar cells.

  18. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  19. Effect of AlSb quantum dots on efficiency of GaAs solar cell (Conference Presentation)

    Science.gov (United States)

    Mansoori, Ahmad; Addamane, Sadhvikas J.; Renteria, Emma J.; Shima, Darryl M.; Hains, Christopher P.; Balakrishnan, Ganesh

    2016-09-01

    Quantum Dots (QDs) have a broad applications in science and specifically in solar cell. Many research groups show that by adding QDs with lower bandgap respect to host material, the overall absorption of sun spectrum coverage will increase. Here, we propose using QDs with higher band gap respect to host material to improve efficiency of solar cell by improving quantum efficiency. GaAs solar cells have the highest efficiency in single junction solar cells. However, the absorption of GaAs is not good enough in wavelength lower than 550nm. AlSb can absorb shorter wavelength with higher absorption coefficient and also recombination rate should be lower because of higher bandgap of AlSb respect to GaAs. We embed AlSb QDs in GaAs solar cells and results show slight improvement in quantum efficiency and also in overall efficiency. Coverage of AlSb QDs has a direct impact on quality of AlSb QDs and efficiency of cell. In the higher coverage, intermixing between GaAs and AlSb causes to shift bandgap to lower value (having AlGaSb QDs instead of pure AlSb QDs). This intermixing decrease the Voc and overall efficiency of cell. In lower coverage, AlSb can survive from intermixing and overall performance of cell improves. Optimizing growth condition of AlSb QDs is a key point for this work. By using AlSb QDs, we can decrease the thickness of active layer of GaAs solar cells and have a thinner solar cell.

  20. Imaging transport phenomena during lysozyme protein crystal growth by the hanging drop technique

    Science.gov (United States)

    Sethia Gupta, Anamika; Gupta, Rajive; Panigrahi, P. K.; Muralidhar, K.

    2013-06-01

    The present study reports the transport process that occurs during the growth of lysozyme protein crystals by the hanging drop technique. A rainbow schlieren technique has been employed for imaging changes in salt concentration. A one dimensional color filter is used to record the deflection of the light beam. An optical microscope and an X-ray crystallography unit are used to characterize the size, tetragonal shape and Bravais lattice constants of the grown crystals. A parametric study on the effect of drop composition, drop size, reservoir height and number of drops on the crystal size and quality is reported. Changes in refractive index are not large enough to create a meaningful schlieren image in the air gap between the drop and the reservoir. However, condensation of fresh water over the reservoir solution creates large changes in the concentration of NaCl, giving rise to clear color patterns in the schlieren images. These have been analyzed to obtain salt concentration profiles near the free surface of the reservoir solution as a function of time. The diffusion of fresh water into the reservoir solution at the early stages of crystal growth followed by the mass flux of salt from the bulk solution towards the free surface has been recorded. The overall crystal growth process can be classified into two regimes, as demarcated by the changes in slope of salt concentration within the reservoir. The salt concentration in the reservoir equilibrates at long times when the crystallization process is complete. Thus, transport processes in the reservoir emerge as the route to monitor protein crystal growth in the hanging drop configuration. Results show that crystal growth rate is faster for a higher lysozyme concentration, smaller drops, and larger reservoir heights.

  1. Complexes due to donor-acceptor-type transitions in GaAs

    International Nuclear Information System (INIS)

    Reynolds, D.C.; Litton, C.W.; Almassy, R.J.; McCoy, G.L.; Nam, S.B.

    1980-01-01

    A sharp line transition at 1.51385 eV has been observed in the photoluminescence spectra of an epitaxially grown crystal of GaAs. A Si 3 N 4 cap was applied by plasma deposition and the crystal was then annealed at 850 0 C for 15 min. The sharp emission line was observed after annealing. This transition was analyzed in perturbing magnetic and strain fields and is shown to result from a donor-acceptor-type complex. Three additional sharp line transitions are reported and the behavior of all of these transitions is compared with the behavior of similar transitions reported in the literature. Models for the complexes involved are re-examined and components of the complexes are suggested. All of the sharp line transitions were introduced in the growing process with the exception of the 1.51385-eV line which was introduced in the capping and annealing process

  2. Study on growth techniques and macro defects of large-size Nd:YAG laser crystal

    Science.gov (United States)

    Quan, Jiliang; Yang, Xin; Yang, Mingming; Ma, Decai; Huang, Jinqiang; Zhu, Yunzhong; Wang, Biao

    2018-02-01

    Large-size neodymium-doped yttrium aluminum garnet (Nd:YAG) single crystals were grown by the Czochralski method. The extinction ratio and wavefront distortion of the crystal were tested to determine the optical homogeneity. Moreover, under different growth conditions, the macro defects of inclusion, striations, and cracking in the as-grown Nd:YAG crystals were analyzed. Specifically, the inclusion defects were characterized using scanning electron microscopy and energy dispersive spectroscopy. The stresses of growth striations and cracking were studied via a parallel plane polariscope. These results demonstrate that improper growth parameters and temperature fields can enhance defects significantly. Thus, by adjusting the growth parameters and optimizing the thermal environment, high-optical-quality Nd:YAG crystals with a diameter of 80 mm and a total length of 400 mm have been obtained successfully.

  3. Crystal growth and characterization of calcium metaborate scintillators

    Science.gov (United States)

    Fujimoto, Y.; Yanagida, T.; Kawaguchi, N.; Fukuda, K.; Totsuka, D.; Watanabe, K.; Yamazaki, A.; Chani, V.; Nikl, M.; Yoshikawa, A.

    2013-03-01

    Calcium metaborate CaB2O4 single crystals were grown by the Czochralski (CZ) method with the radio-frequency (RF) heating system. In these crystals, a plane cleavage was observed along the growth direction. The crystals had an 80% transparency, and no absorption bands were detected in the 190-900 nm wavelength range. The 241Am 5.5 MeV α-ray-excited radioluminescence spectrum of CaB2O4 demonstrated a broad intrinsic luminescence peak at 300-400 nm, which originated from the lattice defects or an exciton-based emission. According to the pulse height spectrum, when irradiated by neutrons from a 252Cf source, the scintillation light yielded approximately 3200 photons per neutron (ph/n).

  4. Self-organized strain engineering on GaAs (311)B : template formation for quantum dot nucleation control

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Hamhuis, G.J.; Eijkemans, T.J.; Wolter, J.H.

    2002-01-01

    A matrix of closely packed cells develops during molecular-beam epitaxy of In/sub 0.35/Ga/sub 0.65/As on GaAs (311)B, due to strain-driven growth instability. The established lateral strain distribution generates a unique template that controls the nucleation and growth of InAs quantum dots (QDs).

  5. Potassium terbium fluoride crystal growth development for faraday rotator discs fabrication, 6 July 1978--6 February 1979

    Energy Technology Data Exchange (ETDEWEB)

    1979-05-17

    Crystal growth experiments were performed and growth of KTb/sub 3/F/sub 10/ crystals were accomplished. The crystal growth experiments consisted of hot zone modification and development of growth parameters. Several boules of KTb/sub 3/F/sub 10/ 30 to 40mm in diameter and one boule 50mm in diameter were grown at rates varying from .5mm/hr to 3.0mm/hr. The crystals evaluated display excellent optical quality. The optical path distortion was less than 0.5 fringe/cm at 633nm as viewed in Twyman--Green interferometry. Growth of large crystals has been limited by mechanical cleavage.

  6. Mathematical modeling and numerical simulation of Czochralski Crystal Growth

    Energy Technology Data Exchange (ETDEWEB)

    Jaervinen, J.; Nieminen, R. [Center for Scientific Computing, Espoo (Finland)

    1996-12-31

    A detailed mathematical model and numerical simulation tools based on the SUPG Finite Element Method for the Czochralski crystal growth has been developed. In this presentation the mathematical modeling and numerical simulation of the melt flow and the temperature distribution in a rotationally symmetric crystal growth environment is investigated. The temperature distribution and the position of the free boundary between the solid and liquid phases are solved by using the Enthalpy method. Heat inside of the Czochralski furnace is transferred by radiation, conduction and convection. The melt flow is governed by the incompressible Navier-Stokes equations coupled with the enthalpy equation. The melt flow is numerically demonstrated and the temperature distribution in the whole Czochralski furnace. (author)

  7. Mathematical modeling and numerical simulation of Czochralski Crystal Growth

    Energy Technology Data Exchange (ETDEWEB)

    Jaervinen, J; Nieminen, R [Center for Scientific Computing, Espoo (Finland)

    1997-12-31

    A detailed mathematical model and numerical simulation tools based on the SUPG Finite Element Method for the Czochralski crystal growth has been developed. In this presentation the mathematical modeling and numerical simulation of the melt flow and the temperature distribution in a rotationally symmetric crystal growth environment is investigated. The temperature distribution and the position of the free boundary between the solid and liquid phases are solved by using the Enthalpy method. Heat inside of the Czochralski furnace is transferred by radiation, conduction and convection. The melt flow is governed by the incompressible Navier-Stokes equations coupled with the enthalpy equation. The melt flow is numerically demonstrated and the temperature distribution in the whole Czochralski furnace. (author)

  8. Strategies for the coupling of global and local crystal growth models

    Science.gov (United States)

    Derby, Jeffrey J.; Lun, Lisa; Yeckel, Andrew

    2007-05-01

    The modular coupling of existing numerical codes to model crystal growth processes will provide for maximum effectiveness, capability, and flexibility. However, significant challenges are posed to make these coupled models mathematically self-consistent and algorithmically robust. This paper presents sample results from a coupling of the CrysVUn code, used here to compute furnace-scale heat transfer, and Cats2D, used to calculate melt fluid dynamics and phase-change phenomena, to form a global model for a Bridgman crystal growth system. However, the strategy used to implement the CrysVUn-Cats2D coupling is unreliable and inefficient. The implementation of under-relaxation within a block Gauss-Seidel iteration is shown to be ineffective for improving the coupling performance in a model one-dimensional problem representative of a melt crystal growth model. Ideas to overcome current convergence limitations using approximations to a full Newton iteration method are discussed.

  9. Growth and characterization of metamorphic InAs/GaSb tunnel heterojunction on GaAs by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jheng-Sin; Clavel, Michael B.; Hudait, Mantu K., E-mail: mantu.hudait@vt.edu [Advanced Devices and Sustainable Energy Laboratory (ADSEL), Bradley Department of Electrical and Computer Engineering, Virginia Tech, Blacksburg, Virginia 24061 (United States); Pandey, Rahul [Electrical Engineering, The Pennsylvania State University, University Park, Pennsylvania 16802 (United States); Datta, Suman [Electrical Engineering, University of Notre Dame, Notre Dame, Indiana 46556 (United States); Meeker, Michael; Khodaparast, Giti A. [Department of Physics, Virginia Tech, Blacksburg, Virginia 24061 (United States)

    2016-06-28

    The structural, morphological, optical, and electrical transport characteristics of a metamorphic, broken-gap InAs/GaSb p-i-n tunnel diode structure, grown by molecular beam epitaxy on GaAs, were demonstrated. Precise shutter sequences were implemented for the strain-balanced InAs/GaSb active layer growth on GaAs, as corroborated by high-resolution X-ray analysis. Cross-sectional transmission electron microscopy and detailed micrograph analysis demonstrated strain relaxation primarily via the formation of 90° Lomer misfit dislocations (MDs) exhibiting a 5.6 nm spacing and intermittent 60° MDs at the GaSb/GaAs heterointerface, which was further supported by a minimal lattice tilt of 180 arc sec observed during X-ray analysis. Selective area diffraction and Fast Fourier Transform patterns confirmed the full relaxation of the GaSb buffer layer and quasi-ideal, strain-balanced InAs/GaSb heteroepitaxy. Temperature-dependent photoluminescence measurements demonstrated the optical band gap of the GaSb layer. Strong optical signal at room temperature from this structure supports a high-quality material synthesis. Current–voltage characteristics of fabricated InAs/GaSb p-i-n tunnel diodes measured at 77 K and 290 K demonstrated two bias-dependent transport mechanisms. The Shockley–Read–Hall generation–recombination mechanism at low bias and band-to-band tunneling transport at high bias confirmed the p-i-n tunnel diode operation. This elucidated the importance of defect control in metamorphic InAs/GaSb tunnel diodes for the implementation of low-voltage and high-performance tunnel field effect transistor applications.

  10. Validation of mathematical model for CZ process using small-scale laboratory crystal growth furnace

    Science.gov (United States)

    Bergfelds, Kristaps; Sabanskis, Andrejs; Virbulis, Janis

    2018-05-01

    The present material is focused on the modelling of small-scale laboratory NaCl-RbCl crystal growth furnace. First steps towards fully transient simulations are taken in the form of stationary simulations that deal with the optimization of material properties to match the model to experimental conditions. For this purpose, simulation software primarily used for the modelling of industrial-scale silicon crystal growth process was successfully applied. Finally, transient simulations of the crystal growth are presented, giving a sufficient agreement to experimental results.

  11. New Crystal-Growth Methods for Producing Lattice-Matched Substrates for High-Temperature Superconductors

    Energy Technology Data Exchange (ETDEWEB)

    Boatner, L.A.

    2008-06-24

    This effort addressed the technical problem of identifying and growing, on a commercial scale, suitable single-crystal substrates for the subsequent deposition of epitaxial thin films of high temperature semiconductors such as GaN/AlN. The lack of suitable lattice-matched substrate materials was one of the major problem areas in the development of semiconducting devices for use at elevated temperatures as well as practical opto-electronic devices based on Al- and GaN technology. Such lattice-matched substrates are necessary in order to reduce or eliminate high concentrations of defects and dislocations in GaN/AlN and related epitaxial thin films. This effort concentrated, in particular, on the growth of single crystals of ZnO for substrate applications and it built on previous ORNL experience in the chemical vapor transport growth of large single crystals of zinc oxide. This combined expertise in the substrate growth area was further complemented by the ability of G. Eres and his collaborators to deposit thin films of GaN on the subject substrates and the overall ORNL capability for characterizing the quality of such films. The research effort consisted of research on the growth of two candidate substrate materials in conjunction with concurrent research on the growth and characterization of GaN films, i.e. the effort combined bulk crystal growth capabilities in the area of substrate production at both ORNL and the industrial partner, Commercial Crystal Growth Laboratories (CCL), Naples, Florida, with the novel thin-film deposition techniques previously developed in the ORNL SSD.

  12. Some optical and electron microscope comparative studies of excimer laser-assisted and nonassisted molecular-beam epitaxically grown thin GaAs films on Si

    Science.gov (United States)

    Lao, Pudong; Tang, Wade C.; Rajkumar, K. C.; Guha, S.; Madhukar, A.; Liu, J. K.; Grunthaner, F. J.

    1990-01-01

    The quality of GaAs thin films grown via MBE under pulsed excimer laser irradiation on Si substrates is examined in both laser-irradiated and nonirradiated areas using Raman scattering, Rayleigh scattering, and by photoluminescence (PL), as a function of temperature, and by TEM. The temperature dependence of the PL and Raman peak positions indicates the presence of compressive stress in the thin GaAs films in both laser-irradiated and nonirradiated areas. This indicates incomplete homogeneous strain relaxation by dislocations at the growth temperature. The residual compressive strain at the growth temperature is large enough such that even with the introduction of tensile strain arising from the difference in thermal expansion coefficients of GaAs and Si, a compressive strain is still present at room temperature for these thin GaAs/Si films.

  13. TEM studies of the crystal growth of indanthrone pigments

    International Nuclear Information System (INIS)

    McHendry, P.

    1998-01-01

    The aim of this work was to study the crystal growth of indanthrone during the pigmentation process. The colouring properties of a pigment are dependant on the chemical and crystallographic structure of the pigment. However, other factors are known to affect these properties including particle size, particle size distribution and level of dispersion in the chosen application medium. The parameters which affect the growth of the pigment particles were investigated with the emphasis placed on the mechanism by which growth took place. The final form of the crystals after growth was also investigated in some detail. Various electron microscopy techniques were employed in the investigations in this thesis. High and low magnification imaging and diffraction were studied on the CTEM (conventional transmission electron microscope) whilst PEELS (parallel electron energy loss spectroscopy) and DPC (differential phase contrast) studies took place on the VG HB5 STEM (scanning transmission electron microscope). In addition to these studies, x-ray diffraction and surface area analysis techniques were employed. The low magnification CTEM work gave good information on the size, shape and size distribution of the pigment particles and enabled detailed analysis of the level of growth attained under varied reaction conditions. (author)

  14. Stress evolution during growth of InAs on GaAs measured by an in-situ cantilever beam setup

    Energy Technology Data Exchange (ETDEWEB)

    Hu Dongzhi

    2007-02-13

    The influence of stress on the growth of InAs on GaAs(001) by molecular beam epitaxy (MBE) is investigated in this thesis. Film force curves were measured for InAs deposition under As-rich as well as In-rich growth conditions. The growth under As-rich conditions proceeds in the Stranski- Krastanov growth mode, meaning that quantum dots are formed after the initial growth of a wetting layer. During subsequent growth interruptions or intentional annealing at the growth temperature, the quantum dots undergo ripening. This growth mode of InAs films and the subsequent annealing behavior were studied in detail in this thesis. To understand the influence of strain on the growth mechanisms, the film force curves were analyzed and correlated to the morphological evolution of the InAs films during deposition and especially during annealing. Models were developed to fit and explain the relaxation of the film force measured during the annealing of InAs quantum dots. At temperatures lower than 470 C, quantum dots undergo standard Ostwald ripening. Different mechanisms, such as kinetic and diffusion limited, determine the ripening process. Fits of models based on these mechanisms to the film force relaxation curves, show, that although the relaxation curve for annealing at 440 C can be fitted reasonably well with all the models, the model describing ripening limited by the diffusion along dot boundaries yields a slightly better fit. The relaxation curves obtained at 455 C and 470 C can be fitted very well only with the model in which the ripening is controlled by the attachment/detachment of atoms on the dot surface. Annealing of quantum dots at temperatures higher than 500 C shows a very different behavior. Atomic force microscopy images reveal that the quantum dots ripen first and then dissolve after 450 s-600 s annealing. (orig.)

  15. Stress evolution during growth of InAs on GaAs measured by an in-situ cantilever beam setup

    International Nuclear Information System (INIS)

    Hu Dongzhi

    2007-01-01

    The influence of stress on the growth of InAs on GaAs(001) by molecular beam epitaxy (MBE) is investigated in this thesis. Film force curves were measured for InAs deposition under As-rich as well as In-rich growth conditions. The growth under As-rich conditions proceeds in the Stranski- Krastanov growth mode, meaning that quantum dots are formed after the initial growth of a wetting layer. During subsequent growth interruptions or intentional annealing at the growth temperature, the quantum dots undergo ripening. This growth mode of InAs films and the subsequent annealing behavior were studied in detail in this thesis. To understand the influence of strain on the growth mechanisms, the film force curves were analyzed and correlated to the morphological evolution of the InAs films during deposition and especially during annealing. Models were developed to fit and explain the relaxation of the film force measured during the annealing of InAs quantum dots. At temperatures lower than 470 C, quantum dots undergo standard Ostwald ripening. Different mechanisms, such as kinetic and diffusion limited, determine the ripening process. Fits of models based on these mechanisms to the film force relaxation curves, show, that although the relaxation curve for annealing at 440 C can be fitted reasonably well with all the models, the model describing ripening limited by the diffusion along dot boundaries yields a slightly better fit. The relaxation curves obtained at 455 C and 470 C can be fitted very well only with the model in which the ripening is controlled by the attachment/detachment of atoms on the dot surface. Annealing of quantum dots at temperatures higher than 500 C shows a very different behavior. Atomic force microscopy images reveal that the quantum dots ripen first and then dissolve after 450 s-600 s annealing. (orig.)

  16. Crystal growth of new charge-transfer salts based on π-conjugated donor molecules

    Energy Technology Data Exchange (ETDEWEB)

    Morherr, Antonia, E-mail: morherr@stud.uni-frankfurt.de [Physikalisches Institut, Goethe-Universität Frankfurt am Main, 60438 Frankfurt am Main (Germany); Witt, Sebastian [Physikalisches Institut, Goethe-Universität Frankfurt am Main, 60438 Frankfurt am Main (Germany); Chernenkaya, Alisa [Graduate School Materials Science in Mainz, 55128 Mainz (Germany); Institut für Physik, Johannes Gutenberg-Universität, 55099 Mainz (Germany); Bäcker, Jan-Peter [Physikalisches Institut, Goethe-Universität Frankfurt am Main, 60438 Frankfurt am Main (Germany); Schönhense, Gerd [Institut für Physik, Johannes Gutenberg-Universität, 55099 Mainz (Germany); Bolte, Michael [Institut für anorganische Chemie, Goethe-Universität Frankfurt am Main, 60438 Frankfurt am Main (Germany); Krellner, Cornelius [Physikalisches Institut, Goethe-Universität Frankfurt am Main, 60438 Frankfurt am Main (Germany)

    2016-09-01

    New charge transfer crystals of π-conjugated, aromatic molecules (phenanthrene and picene) as donors were obtained by physical vapor transport. The melting behavior, optimization of crystal growth and the crystal structure are reported for charge transfer salts with (fluorinated) tetracyanoquinodimethane (TCNQ-F{sub x}, x=0, 2, 4), which was used as acceptor material. The crystal structures were determined by single-crystal X-ray diffraction. Growth conditions for different vapor pressures in closed ampules were applied and the effect of these starting conditions for crystal size and quality is reported. The process of charge transfer was investigated by geometrical analysis of the crystal structure and by infrared spectroscopy on single crystals. With these three different acceptor strengths and the two sets of donor materials, it is possible to investigate the distribution of the charge transfer systematically. This helps to understand the charge transfer process in this class of materials with π-conjugated donor molecules.

  17. A Proposed Model for Protein Crystal Nucleation and Growth

    Science.gov (United States)

    Pusey, Marc; Curreri, Peter A. (Technical Monitor)

    2002-01-01

    How does one take a molecule, strongly asymmetric in both shape and charge distribution, and assemble it into a crystal? We propose a model for the nucleation and crystal growth process for tetragonal lysozyme, based upon fluorescence, light, neutron, and X-ray scattering data, size exclusion chromatography experiments, dialysis kinetics, AFM, and modeling of growth rate data, from this and other laboratories. The first species formed is postulated to be a 'head to side' dimer. Through repeating associations involving the same intermolecular interactions this grows to a 4(sub 3) helix structure, that in turn serves as the basic unit for nucleation and subsequent crystal growth. High salt attenuates surface charges while promoting hydrophobic interactions. Symmetry facilitates subsequent helix-helix self-association. Assembly stability is enhanced when a four helix structure is obtained, with each bound to two neighbors. Only two unique interactions are required. The first are those for helix formation, where the dominant interaction is the intermolecular bridging anion. The second is the anti-parallel side-by-side helix-helix interaction, guided by alternating pairs of symmetry related salt bridges along each side. At this stage all eight unique positions of the P4(sub3)2(sub 1),2(sub 1) unit cell are filled. The process is one of a) attenuating the most strongly interacting groups, such that b) the molecules begin to self-associate in defined patterns, so that c) symmetry is obtained, which d) propagates as a growing crystal. Simple and conceptually obvious in hindsight, this tells much about what we are empirically doing when we crystallize macromolecules. By adjusting the growth parameters we are empirically balancing the intermolecular interactions, preferentially attenuating the dominant strong (for lysozyme the charged groups) while strengthening the lesser strong (hydrophobic) interactions. In the general case for proteins the lack of a singularly defined

  18. Control of crystal growth in water purification by directional freeze crystallization

    Science.gov (United States)

    Conlon, William M. (Inventor)

    1996-01-01

    A Directional Freeze Crystallization system employs an indirect contact heat exchanger to freeze a fraction of liquid to be purified. The unfrozen fraction is drained away and the purified frozen fraction is melted. The heat exchanger must be designed in accordance with a Growth Habit Index to achieve efficient separation of contaminants. If gases are dissolved in the liquid, the system must be pressurized.

  19. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    Science.gov (United States)

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  20. Subnanosecond linear GaAs photoconductive switching, revision 1

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.; Hofer, W. W.

    Research was conducted in photoconductive switching for the purpose of generating subnanosecond pulses in the 25 to 50kV range. The very fast recombination rates of Gallium Arsenide (GaAs) was exploited to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is (approx. 10(-14) sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. Switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm was observed. The illumination source was a Nd:YAG laser operating at 1.06 microns.

  1. Type I band alignment in GaAs{sub 81}Sb{sub 19}/GaAs core-shell nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Xu, T. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Key Laboratory of Advanced Display and System Application, Shanghai University, 149 Yanchang Road, Shanghai 200072 (China); Wei, M. J. [Key Laboratory of Advanced Display and System Application, Shanghai University, 149 Yanchang Road, Shanghai 200072 (China); Capiod, P.; Díaz Álvarez, A.; Han, X. L.; Troadec, D.; Nys, J. P.; Berthe, M.; Lefebvre, I.; Grandidier, B., E-mail: bruno.grandidier@isen.iemn.univ-lille1.fr [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Patriarche, G. [CNRS-Laboratoire de Photonique et de Nanostructures (LPN), Route de Nozay, 91460 Marcoussis (France); Plissard, S. R. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); CNRS-Laboratoire d' Analyse et d' Architecture des Systèmes (LAAS), Univ. de Toulouse, 7 Avenue du Colonel Roche, F-31400 Toulouse (France); Caroff, P. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, Australian Capital Territory 0200 (Australia); and others

    2015-09-14

    The composition and band gap of the shell that formed during the growth of axial GaAs/GaAs{sub 81}Sb{sub 19}/ GaAs heterostructure nanowires have been investigated by transmission electron microscopy combined with energy dispersion spectroscopy, scanning tunneling spectroscopy, and density functional theory calculations. On the GaAs{sub 81}Sb{sub 19} intermediate segment, the shell is found to be free of Sb (pure GaAs shell) and transparent to the tunneling electrons, despite the (110) biaxial strain that affects its band gap. As a result, a direct measurement of the core band gap allows the quantitative determination of the band offset between the GaAs{sub 81}Sb{sub 19} core and the GaAs shell and identifies it as a type I band alignment.

  2. Protein Crystal Growth

    Science.gov (United States)

    2003-01-01

    In order to rapidly and efficiently grow crystals, tools were needed to automatically identify and analyze the growing process of protein crystals. To meet this need, Diversified Scientific, Inc. (DSI), with the support of a Small Business Innovation Research (SBIR) contract from NASA s Marshall Space Flight Center, developed CrystalScore(trademark), the first automated image acquisition, analysis, and archiving system designed specifically for the macromolecular crystal growing community. It offers automated hardware control, image and data archiving, image processing, a searchable database, and surface plotting of experimental data. CrystalScore is currently being used by numerous pharmaceutical companies and academic and nonprofit research centers. DSI, located in Birmingham, Alabama, was awarded the patent Method for acquiring, storing, and analyzing crystal images on March 4, 2003. Another DSI product made possible by Marshall SBIR funding is VaporPro(trademark), a unique, comprehensive system that allows for the automated control of vapor diffusion for crystallization experiments.

  3. Twins and strain relaxation in zinc-blende GaAs nanowires grown on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Piñero, J.C., E-mail: josecarlos.pinero@uca.es [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Araújo, D.; Pastore, C.E.; Gutierrez, M. [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Frigeri, C. [Istituto CNR-IMEM Parco Area delle Scienze 37/A, Fontanini, 43010, Parma (Italy); Benali, A.; Lelièvre, J.F.; Gendry, M. [INL-Institut des Nanotechnologies de Lyon, UMR 5270 Ecole Centrale de Lyon 36, Avenue Guy de Collongue, 69134, Ecully Cedex (France)

    2017-02-15

    Highlights: • A TEM-HREM study of GaAs nanowires, growth over Si, is presented. • Misfit dislocations are detected in the Si/GaAs magma interface. • The study demonstrates strain relaxation through twin formation in some nanowires. - Abstract: To integrate materials with large lattice mismatch as GaAs on silicon (Si) substrate, one possible approach, to improve the GaAs crystalline quality, is to use nanowires (NWs) technology. In the present contribution, NWs are grown on <111> oriented Si substrates by molecular beam epitaxy (MBE) using vapor-liquid-solid (VLS) method. Transmission electron microscopy (TEM) analyses show that NWs are mainly grown alternating wurtzite and zinc blend (ZB) phases, and only few are purely ZB. On the latter, High Resolution Electron Microscopy (HREM) evidences the presence of twins near the surface of the NW showing limited concordance with the calculations of Yuan (2013) [1], where {111} twin planes in a <111>-oriented GaAs NW attain attractive interactions mediated by surface strain. In addition, such twins allow slight strain relaxation and are probably induced by the local huge elastic strain observed by HREM in the lattice between the twin and the surface. The latter is attributed to some slight bending of the NW as shown by the inversion of the strain from one side to the other side of the NW.

  4. Analysis of Study Trend of Growth and Characterization of CdZnTe Single Crystal

    International Nuclear Information System (INIS)

    Lee, Kyu Hong; Ha, Jang Ho; Kim, Han Soo

    2011-05-01

    CdZnTe (CZT) alloys are very important semiconducting compounds due to their use in several strategic applications in medical, space, and security devices, especially, radiation detector. Specific problems of the bulk crystal growth are still to be solved. However, since industries require excellent bulk CZT crystals, a strong effort is being organized worldwide to optimize the growth process and obtain better material. This report presents the study trend of the bulk CZT crystal growth and characteristics. After the first section where the problems connected to the complicated phase diagram of CZT are presented, the second section describes the various general physical and chemical properties, together with the compensation problems of the CZT material. In the third section, various growth methods are described, paying attention to the defects generated in the different cases. Further, the annealing process which is an essential step for improving the crystal quality is described. In the last section, the general material characterization methods are presented, as a scientific approach for assessing the quality of the bulk crystal

  5. Hp Ge: Purification, crystal growth, and annealing properties

    International Nuclear Information System (INIS)

    Hall, R.N.

    1984-01-01

    The prospects for growing HP Ge crystals of increased size and purity are examined. One interesting approach is to grow dislocation-free crystals, which must then be annealed to reduce the concentration of V 2 H traps. The phenomena which occur during annealing are discussed and compared with experiment. Hydrogen, present in atomic form at the growth temperature, forms H 2 molecules during cooling, causing the effective diffusion coefficient to decrease rapidly. Models representing the reactions between H and the V 2 H, A(H, Si), and D(H,O) complexes are presented and analyzed

  6. X-ray characterization of Au-free grown GaAs nanowires on Si

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2011-07-01

    Semiconductor nanowires (NW) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. However, many details of the growth mechanism are not well understood. In this contribution we present a x-ray diffraction study of the early stage of Au-free GaAs nanowire growth on Si(111)-substrates with native oxide using the nano-focus setup available at the ID1 beamline of ESRF. The GaAs NWs were grown by molecular beam epitaxy (MBE), and their formation was induced by Ga droplets. Using a nanometer-sized x-ray beam, size and lattice parameters of individual wires were measured separately. Using asymmetric x-ray diffraction on particular zinc-blende (ZB) and wurtzite (W) sensitive reflections, we show that under the used conditions the NW growth starts with predominantly WZ phases and continues mainly in ZB phase. In addition we can show that the WZ segments of the NWs exhibit a different vertical lattice parameter compared to the zinc-blende segments. A combination of x-ray diffraction from single wires and grazing incidence diffraction shows that the base of the NW is compressively strained along the inplane direction. This strain is released within 20 nm from the substrate-interface.

  7. EFFECT OF SODIUM DODECYLBENZENESULFONIC ACID (SDBS ON THE GROWTH RATE AND MORPHOLOGY OF BORAX CRYSTAL

    Directory of Open Access Journals (Sweden)

    Suharso Suharso

    2010-06-01

    Full Text Available An investigation of the effect of sodium dodecylbenzenesulfonic acid (SDBS on both growth rate and morphology of borax crystal has been carried out.  This experiment was carried out at temperature of 25 °C and relative supersaturation of 0.21 and 0.74 under in situ cell optical microscopy method.  The result shows that SDBS inhibits the growth rate and changes the morphology of borax crystal.   Keywords: Borax; growth rate; crystallization, SDBS

  8. Crystal plasticity modeling of irradiation growth in Zircaloy-2

    Science.gov (United States)

    Patra, Anirban; Tomé, Carlos N.; Golubov, Stanislav I.

    2017-08-01

    A physically based reaction-diffusion model is implemented in the visco-plastic self-consistent (VPSC) crystal plasticity framework to simulate irradiation growth in hcp Zr and its alloys. The reaction-diffusion model accounts for the defects produced by the cascade of displaced atoms, their diffusion to lattice sinks and the contribution to crystallographic strain at the level of single crystals. The VPSC framework accounts for intergranular interactions and irradiation creep, and calculates the strain in the polycrystalline ensemble. A novel scheme is proposed to model the simultaneous evolution of both, number density and radius, of irradiation-induced dislocation loops directly from experimental data of dislocation density evolution during irradiation. This framework is used to predict the irradiation growth behaviour of cold-worked Zircaloy-2 and trends compared to available experimental data. The role of internal stresses in inducing irradiation creep is discussed. Effects of grain size, texture and external stress on the coupled irradiation growth and creep behaviour are also studied and compared with available experimental data.

  9. Controlled Growth of Rubrene Nanowires by Eutectic Melt Crystallization

    Science.gov (United States)

    Chung, Jeyon; Hyon, Jinho; Park, Kyung-Sun; Cho, Boram; Baek, Jangmi; Kim, Jueun; Lee, Sang Uck; Sung, Myung Mo; Kang, Youngjong

    2016-03-01

    Organic semiconductors including rubrene, Alq3, copper phthalocyanine and pentacene are crystallized by the eutectic melt crystallization. Those organic semiconductors form good eutectic systems with the various volatile crystallizable additives such as benzoic acid, salicylic acid, naphthalene and 1,3,5-trichlorobenzene. Due to the formation of the eutectic system, organic semiconductors having originally high melting point (Tm > 300 °C) are melted and crystallized at low temperature (Te = 40.8-133 °C). The volatile crystallizable additives are easily removed by sublimation. For a model system using rubrene, single crystalline rubrene nanowires are prepared by the eutectic melt crystallization and the eutectic-melt-assisted nanoimpinting (EMAN) technique. It is demonstrated that crystal structure and the growth direction of rubrene can be controlled by using different volatile crystallizable additives. The field effect mobility of rubrene nanowires prepared using several different crystallizable additives are measured and compared.

  10. Growth of Bulk Wide Bandgap Semiconductor Crystals and Their Potential Applications

    Science.gov (United States)

    Chen, Kuo-Tong; Shi, Detang; Morgan, S. H.; Collins, W. Eugene; Burger, Arnold

    1997-01-01

    Developments in bulk crystal growth research for electro-optical devices in the Center for Photonic Materials and Devices since its establishment have been reviewed. Purification processes and single crystal growth systems employing physical vapor transport and Bridgman methods were assembled and used to produce high purity and superior quality wide bandgap materials such as heavy metal halides and II-VI compound semiconductors. Comprehensive material characterization techniques have been employed to reveal the optical, electrical and thermodynamic properties of crystals, and the results were used to establish improved material processing procedures. Postgrowth treatments such as passivation, oxidation, chemical etching and metal contacting during the X-ray and gamma-ray device fabrication process have also been investigated and low noise threshold with improved energy resolution has been achieved.

  11. Growth of rare-earth doped single crystal yttrium aluminum garnet fibers

    Science.gov (United States)

    Bera, Subhabrata; Nie, Craig D.; Harrington, James A.; Cheng, Long; Rand, Stephen C.; Li, Yuan; Johnson, Eric G.

    2018-02-01

    Rare-earth doped single crystal (SC) yttrium aluminum garnet (YAG) fibers have great potential as high-power laser gain media. SC fibers combine the superior material properties of crystals with the advantages of a fiber geometry. Improving processing techniques, growth of low-loss YAG SC fibers have been reported. A low-cost technique that allows for the growth of optical quality Ho:YAG single crystal (SC) fibers with different dopant concentrations have been developed and discussed. This technique is a low-cost sol-gel based method which offers greater flexibility in terms of dopant concentration. Self-segregation of Nd ions in YAG SC fibers have been observed. Such a phenomenon can be utilized to fabricate monolithic SC fibers with graded index.

  12. Growth of cadmium oxide whiskers on cadmium sulphide single crystals with copper as growth activator

    Energy Technology Data Exchange (ETDEWEB)

    Koparanova, N.; Simov, S. (Bylgarska Akademiya na Naukite, Sofia. Inst. po Fizika na Tvyrdoto Tyalo); Genchev, D. (Bylgarska Akademiya na Naukite, Sofia. Inst. za Yadrena Izsledvaniya i Yadrena Energetika); Metchenov, G. (Research Inst. of Criminalistics and Criminology, Sofia (Bulgaria))

    1985-02-01

    Some results on the growth and morphology of cadmium oxide whiskers, obtained on cadmium sulphide single crystals with copper as a growth activator, are presented in this work. Cadmium oxide whiskers have been obtained on brace 112-bar0 brace faces of cadmium sulphide plates with a copper layer deposited in advance. The whiskers grew during the annealing of the plates in a weak stream of technically pure argon at temperatures 670 to 730 deg C for 15 min to 3.5 h. Details about the procedure have been given elsewhere. The composition and morphology of the whiskers have been studied by an X-ray microanalyser JEOL 35 DDS and a scanning electron microscope JEOL, JSM 35. The optical microscopic observations have shown that after annealing, a gray-black granular layer is formed on the cadmium sulphide single crystals and this layer can easily be separated from the crystal substrate. Under the granular layer the crystal is heavily damaged. The whiskers grow on the granular layer and they are coloured yellow-brown or red-brown. The maximum whisker length attains several hundreds of micrometres and in some cases up to 1 mm or more.

  13. Growth of cadmium oxide whiskers on cadmium sulphide single crystals with copper as growth activator

    International Nuclear Information System (INIS)

    Koparanova, N.; Simov, S.

    1985-01-01

    Some results on the growth and morphology of cadmium oxide whiskers, obtained on cadmium sulphide single crystals with copper as a growth activator, are presented in this work. Cadmium oxide whiskers have been obtained on brace 112-bar0 brace faces of cadmium sulphide plates with a copper layer deposited in advance. The whiskers grew during the annealing of the plates in a weak stream of technically pure argon at temperatures 670 to 730 deg C for 15 min to 3.5 h. Details about the procedure have been given elsewhere. The composition and morphology of the whiskers have been studied by an X-ray microanalyser JEOL 35 DDS and a scanning electron microscope JEOL, JSM 35. The optical microscopic observations have shown that after annealing, a gray-black granular layer is formed on the cadmium sulphide single crystals and this layer can easily be separated from the crystal substrate. Under the granular layer the crystal is heavily damaged. The whiskers grow on the granular layer and they are coloured yellow-brown or red-brown. The maximum whisker length attains several hundreds of micrometres and in some cases up to 1 mm or more. (author)

  14. Solubility and peculiarities of the yttrium-aluminium borate crystal growth

    International Nuclear Information System (INIS)

    Azizov, A.V.; Leonyuk, N.I.; Rezvyj, V.R.; Timchenko, T.I.; Belov, N.V.

    1982-01-01

    The nature of crystallization media and crystallization peculiarities of IAl 3 [BO 3 ] 4 yttrium-alluminium borate (YAB) were investigated. The investigation of YAB solubility was conducted in the melts of two different compositions: 88.1K 2 Mo 2 O 10 -3.5V 2 O 3 -8.4B 2 O 3 (1) and 89.5K 2 Mo 3 O 10 - - 10.5B 2 O 3 (2) at 1060-900 deg C. The YAB crystals obtained from different crystallization media had different habit and morphology. The revealed peculiarities are significant for the choice of the YAB crystal growing conditions on orientated seedings: more rapid growth can be exercised along the [0001] face from the solution in the melt (2)

  15. X-ray in-situ study of copper electrodeposition on UHV prepared GaAs(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Gruender, Yvonne

    2008-06-02

    For this work a unique setup for in-situ electrochemical studies was employed and improved. This setup permits UHV preparation of the GaAs(001) surface with a defined surface termination (arsenic-rich or gallium-rich) and its characterization by SXRD in UHV, under ambient pressure in inert gas and in electrolyte under potential control without passing through air. The GaAs(001) surfaces were capped by amorphous arsenic. This permitted to ship them through ambient air. Afterwards smooth well defined GaAs(001) surfaces could be recovered by thermal annealing in UHV. A first investigation of the arsenic capped sample was done by atomic force microscopy (AFM) and Surface X-Ray Diffraction (SXRD). The non bulk like termination of the arsenic buried GaAs(001) surface was revealed. For the electrochemical metal deposition, arsenic terminated (2 x 4) reconstructed and gallium terminated (4 x 2) reconstructed GaAs(001) surfaces were employed. These surfaces were characterized by STM, LEED and a first time by SXRD. The surfaces are smooth, however, a higher degree of disorder than for MBE prepared reconstructed GaAs(001) is found. After exposure of the sample to nitrogen, the surfaces were then again studied by SXRD. These two steps characterizing the bare GaAs(001) surfaces permitted us to get a better knowledge of the starting surface and its influence on the later electrodeposited copper. At ambient pressure both reconstructions are lifted, but the surface is not bulk-like terminated as can be deduced from the crystal truncation rods. Epitaxial copper clusters grow upon electrodeposition on the UHV prepared GaAs(001) surface. The copper lattice is rotated and inclined with respect to the GaAs substrate lattice, leading to eight symmetry equivalent domains. The influence of the surface termination as well as the nucleation potential on the structure of the electrodeposited copper were investigated. The tilt and rotation angles do not depend on the deposition potential but

  16. Energetics and Dynamics of GaAs Epitaxial Growth via Quantum Wave Packet Studies

    Science.gov (United States)

    Dzegilenko, Fedor N.; Saini, Subhash (Technical Monitor)

    1998-01-01

    The dynamics of As(sub 2) molecule incorporation into the flat Ga-terminated GaAs(100) surface is studied computationally. The time-dependent Schrodinger equation is solved on a two-dimensional potential energy surface obtained using density functional theory calculations. The probabilities of trapping and subsequent dissociation of the molecular As(sub 2) bond are calculated as a function of beam translational energy and vibrational quantum number of As(sub 2).

  17. Mechanisms of heterogeneous crystal growth in atomic systems: insights from computer simulations.

    Science.gov (United States)

    Gulam Razul, M S; Hendry, J G; Kusalik, P G

    2005-11-22

    In this paper we analyze the atomic-level structure of solid/liquid interfaces of Lennard-Jones fcc systems. The 001, 011, and 111 faces are examined during steady-state growth and melting of these crystals. The mechanisms of crystallization and melting are explored using averaged configurations generated during these steady-state runs, where subsequent tagging and labeling of particles at the interface provide many insights into the detailed atomic behavior at the freezing and melting interfaces. The interfaces are generally found to be rough and we observe the structure of freezing and melting interfaces to be very similar. Large structural fluctuations with solidlike and liquidlike characteristics are apparent in both the freezing and melting interfaces. The behavior at the interface observed under either growth or melting conditions reflects a competition between ordering and disordering processes. In addition, we observe atom hopping that imparts liquidlike characteristics to the solid side of the interfaces for all three crystal faces. Solid order is observed to extend as rough, three-dimensional protuberances through the interface, particularly for the 001 and 011 faces. We are also able to reconcile our different measures for the interfacial width and address the onset of asymmetry in the growth rates at high rates of crystal growth/melting.

  18. Surface photovoltage spectroscopy of real n-type GaAs(110) surfaces

    International Nuclear Information System (INIS)

    El-Dessouki, M.S.; El-Guiziri, S.B.; Gobrial, F.Z.

    1989-10-01

    N-type GaAs single crystals cut parallel to the (110) plane and doped with phosphorus by ion beam implantation were used in the present study. Temperature dependence of the bulk electrical conductivity showed two distinct activated regions with activation energies Et 1 =0.75±0.04eV, and Et 2 =0.12±0.04eV. The first activation energy is probably that of deep phosphorous impurities, while the second was related to long range disorder in the sample near room temperature. Surface photovoltage studies at room temperature were carried out at atmospheric pressure and in vacuum for etched and unetched samples. For n-type GaAs etched surface, the experimentally observed surface states were not found to change their positions by changing the pressure. But in the case of etched samples the surface states showed some redistribution under vacuum. The time constants for the initial rise and fall of CPD by illumination and after switching it off, τ 1 and τ 2 , respectively, were found to depend on the illumination intensity and photon energy. Their values range between 4 and 15 s. (author). 31 refs, 6 figs, 1 tab

  19. Iron single crystal growth from a lithium-rich melt

    Science.gov (United States)

    Fix, M.; Schumann, H.; Jantz, S. G.; Breitner, F. A.; Leineweber, A.; Jesche, A.

    2018-03-01

    α -Fe single crystals of rhombic dodecahedral habit were grown from a Li84N12Fe∼3 melt. Crystals of several millimeter along a side form at temperatures around T ≈ 800 ° C. Upon further cooling the growth competes with the formation of Fe-doped Li3N. The b.c.c. structure and good sample quality of α -Fe single crystals were confirmed by X-ray and electron diffraction as well as magnetization measurements and chemical analysis. A nitrogen concentration of 90 ppm was detected by means of carrier gas hot extraction. Scanning electron microscopy did not reveal any sign of iron nitride precipitates.

  20. Growth of GaN single crystals by a Ca- and Ba-added Na flux method

    Science.gov (United States)

    Ukegawa, H.; Konishi, Y.; Fujimori, T.; Miyoshi, N.; Imade, M.; Yoshimura, M.; Kitaoka, Y.; Sasaki, T.; Mori, Y.

    2011-02-01

    GaN substrates are desirable for fabricating ultra-violet LEDs and LDs, and high-power and high-frequency transistors. High-quality GaN single crystals can be obtained by using Na flux method, but the growth habit of bulk crystals must be controlled. In this study, we investigated the effects of additives (Ca, Ba) on the growth habit and impurity concentration in the crystals. The aspect ratio (c/a) of the crystals was increased by increasing the amount of additives, showing that the growth habit could be changed from the pyramidal shape to the prism shape. Ba concentration was below the detection limit (1x1015 atoms/cm3).

  1. Subnanosecond linear GaAs photoconductive switching: Revision 1

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.; Hofer, W.W.

    1989-01-01

    We are conducting research in photoconductive switching for the purpose of generating subnanosecond pulses in the 25--50kV range. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is /approximately/10/sup /minus/14/ sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. We have observed switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm. The illumination source was a Nd:YAG laser operating at 1.06 /mu/m. 4 refs., 11 figs.

  2. Growth morphology of zinc tris(thiourea) sulphate crystals

    Indian Academy of Sciences (India)

    The growth morphology of crystals of zinc tris(thiourea) sulphate (ZTS) is investigated experimentally, and computed using the Hartman–Perdok approach. Attachment energies of the observed habit faces are calculated for determining their relative morphological importance. A computer code is developed for carrying out ...

  3. Growth of high-temperature superconductor crystals from flux

    International Nuclear Information System (INIS)

    Demianets, L.N.; Bykov, A.B.; Melnikov, O.K.; Stishov, S.M.

    1991-01-01

    Crystallization of high-temperature superconductors was studied in La-Sr-Cu-O, Y-Ba-Cu-O and Bi-Sr-Ca-Cu-O systems. Platelet crystals YBa 2 Cu 3 Osub(6.5+x) were obtained by spontaneous crystallization from homogeneous nonstoichiometric melts enriched in barium and copper oxides. Lasub(2-x)Sr x CuO 4 was prepared by slow cooling of melts enriched in copper oxide. Bi 2 (Sr, Ca)sub(n+1)Cu n O y , (n=1;2) was obtained by melting zone travelling. The crystals show transition to superconducting state at T=93K, ΔT 0.2-0.5 K (Y, Ba cuprate), T=87K, ΔT 2K (Bi, Sr, Ca-cuprate). La, Sr-cuprate single crystals obtained by Czochralski method did not show transition to superconducting state. For flux-grown crystals T c was 5-26 K depending on the composition, growth and heat treatment. The short characterization of some accessory phases (Ba 3 Y 2 Cu 3 PtO 10 , Casub(1.75)Srsub(1.5)Cusub(0.75)PtO 6 , BaCuO 2 , Ba 41 Cu 44 O 84 Cl 2 ) is reported. (author). 15 ref s., 8 figs

  4. Determination of the rate of crystal growth from the gas phase under conditions of turbulent free convection

    Science.gov (United States)

    Alad'Ev, S. I.

    1987-04-01

    Crystal growth in vertical and horizontal cylindrical vials, with the substrate and the source serving as the vial ends, is investigated analytically, assuming that the medium consists of a binary mixture of an active and an inert gas. The active gas is made up of the gaseous products of reactions taking place at the substrate and at the source. It is shown that turbulent free convection leads to an increase in crystal growth rate. All other conditions being equal, crystal growth in vertical vials is greater than that in horizontal ones; in both cases crystal growth rate increases with the vial radius, temperature gradient in the gas phase, and gas phase density. The results are compared with experimental data on the growth of Ge crystals in the Ge-GeI4 system.

  5. Growth and study of barium oxalate single crystals in agar gel

    Indian Academy of Sciences (India)

    Barium oxalate was grown in agar gel at ambient temperature. The effect of various parameters like gel concentration, gel setting time and concentration of the reactants on the growth of these crystals was studied. Prismatic platy shaped spherulites and dendrites were obtained. The grown crystals were characterized by ...

  6. A study on the growth of compound semiconductor single crystal by TOM technique

    International Nuclear Information System (INIS)

    Kim, H.C.; Kwon, S.I.; Chung, M.K.; Chang, J.S.

    1981-01-01

    This paper describes the merit of the HgI 2 single crystals obtained by solution growth, 2- and 3-region temperature growth, and temperature oscillation growth for soft γ-ray detectors which can be operated at room temperature. Special efforts are put on the design, construction, and operation of the TOM (Temperature Oscillation Method) single crystal growing furnace. Experimental results show that HgI 2 detectors fabricated by vapour phase growth method usually exhibit sufficient enough detector characteristics for soft γ-ray spectrometry. However, further investigation should be carried out to eliminate detector deterioration due to polarization effect. (author)

  7. GaAs Coupled Micro Resonators with Enhanced Sensitive Mass Detection

    Directory of Open Access Journals (Sweden)

    Tony Chopard

    2014-12-01

    Full Text Available This work demonstrates the improvement of mass detection sensitivity and time response using a simple sensor structure. Indeed, complicated technological processes leading to very brittle sensing structures are often required to reach high sensitivity when we want to detect specific molecules in biological fields. These developments constitute an obstacle to the early diagnosis of diseases. An alternative is the design of coupled structures. In this study, the device is based on the piezoelectric excitation and detection of two GaAs microstructures vibrating in antisymmetric modes. GaAs is a crystal which has the advantage to be micromachined easily using typical clean room processes. Moreover, we showed its high potential in direct biofunctionalisation for use in the biological field. A specific design of the device was performed to improve the detection at low mass and an original detection method has been developed. The principle is to exploit the variation in amplitude at the initial resonance frequency which has in the vicinity of weak added mass the greatest slope. Therefore, we get a very good resolution for an infinitely weak mass: relative voltage variation of 8%/1 fg. The analysis is based on results obtained by finite element simulation.

  8. Defects in silicon effect on device performance and relationship to crystal growth conditions

    Science.gov (United States)

    Jastrzebski, L.

    1985-01-01

    A relationship between material defects in silicon and the performance of electronic devices will be described. A role which oxygen and carbon in silicon play during the defects generation process will be discussed. The electronic properties of silicon are a strong function of the oxygen state in the silicon. This state controls mechanical properties of silicon efficiency for internal gettering and formation of defects in the device's active area. In addition, to temperature, time, ambience, and the cooling/heating rates of high temperature treatments, the oxygen state is a function of the crystal growth process. The incorporation of carbon and oxygen into silicon crystal is controlled by geometry and rotation rates applied to crystal and crucible during crystal growths. Also, formation of nucleation centers for oxygen precipitation is influenced by the growth process, although there is still a controversy which parameters play a major role. All these factors will be reviewed with special emphasis on areas which are still ambiguous and controversial.

  9. Structural characterization of ZnTe grown by atomic-layer-deposition regime on GaAs and GaSb (100) oriented substrates

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, Roberto Saúl [Universidad Politécnica de Pachuca (Mexico); Díaz-Reyes, Joel; Peralta-Clara, María de la Cruz; Veloz-Rendón, Julieta Salomé, E-mail: joel_diaz_reyes@hotmail.com [Centro de Investigación en Biotecnología Aplicada, Instituto Politécnico Nacional, Tlaxcala, (Mexico); Galván-Arellano, Miguel [Centro de Investigación y de Estudios Avanzados, Instituto Politécnico Nacional (Mexico); Anda-Salazar, Francisco de [Instituto de Investigación en Comunicación Óptica, Universidad Autónoma de San Luis Potosí (Mexico); Contreras-Rascon, Jorge Indalecio [Departamento de Física, Universidad de Sonora (Mexico)

    2017-10-15

    This work presents the characterization of ZnTe nano layers grown on GaAs and GaSb (100) substrates by the Atomic Layer Deposition (ALD) regime. Under certain conditions, the alternating exposition of a substrate surface to the element vapours makes possible the growth of atomic layers in a reactor where the atmosphere is high-purity hydrogen. ZnTe was grown simultaneously on GaAs and GaSb at the same run, allowing, a comparison between the effects produced by the superficial processes due to the different used substrates, thereby eliminating possible unintended changes of growth parameters. Nano layers on GaSb maintained their shiny appearance even at temperatures near 420°C. It was found that for exposure times below 2.5 s there was not growth on GaAs, while for GaSb the shortest time was 1.5 s at 385°C. By HRXRD the peak corresponding to (004) diffraction plane of ZnTe was identified and investigated, the FWHM resulted very wide (600-800 arcsec) indicating a highly distorted lattice mainly due to mosaicity. Raman scattering shows the peak corresponding to LO-ZnTe, which is weak and slightly shifted in comparison with the reported for the bulk ZnTe at 210 cm{sup -1}. Additionally, the measurements suggest that the crystalline quality have a dependence with the growth temperature. (author)

  10. Electromagnetic induction heating for single crystal graphene growth: morphology control by rapid heating and quenching

    Science.gov (United States)

    Wu, Chaoxing; Li, Fushan; Chen, Wei; Veeramalai, Chandrasekar Perumal; Ooi, Poh Choon; Guo, Tailiang

    2015-03-01

    The direct observation of single crystal graphene growth and its shape evolution is of fundamental importance to the understanding of graphene growth physicochemical mechanisms and the achievement of wafer-scale single crystalline graphene. Here we demonstrate the controlled formation of single crystal graphene with varying shapes, and directly observe the shape evolution of single crystal graphene by developing a localized-heating and rapid-quenching chemical vapor deposition (CVD) system based on electromagnetic induction heating. Importantly, rational control of circular, hexagonal, and dendritic single crystalline graphene domains can be readily obtained for the first time by changing the growth condition. Systematic studies suggest that the graphene nucleation only occurs during the initial stage, while the domain density is independent of the growth temperatures due to the surface-limiting effect. In addition, the direct observation of graphene domain shape evolution is employed for the identification of competing growth mechanisms including diffusion-limited, attachment-limited, and detachment-limited processes. Our study not only provides a novel method for morphology-controlled graphene synthesis, but also offers fundamental insights into the kinetics of single crystal graphene growth.

  11. Development of a model for on-line control of crystal growth by the AHP method

    Science.gov (United States)

    Gonik, M. A.; Lomokhova, A. V.; Gonik, M. M.; Kuliev, A. T.; Smirnov, A. D.

    2007-05-01

    The possibility to apply a simplified 2D model for heat transfer calculations in crystal growth by the axial heat close to phase interface (AHP) method is discussed in this paper. A comparison with global heat transfer calculations with the CGSim software was performed to confirm the accuracy of this model. The simplified model was shown to provide adequate results for the shape of the melt-crystal interface and temperature field in an opaque (Ge) and a transparent crystal (CsI:Tl). The model proposed is used for identification of the growth setup as a control object, for synthesis of a digital controller (PID controller at the present stage) and, finally, in on-line simulations of crystal growth control.

  12. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    Science.gov (United States)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  13. Growth and microtopographic study of CuInSe{sub 2} single crystals

    Energy Technology Data Exchange (ETDEWEB)

    Chauhan, Sanjaysinh M.; Chaki, Sunil, E-mail: sunilchaki@yahoo.co.in; Deshpande, M. P. [Department of Physics, Sardar Patel University, Vallabh Vidyanagar, Gujarat - 388120 (India); Tailor, J. P. [Applied Physics Department, S.V.N.I.T., Surat, Gujarat - 395007 (India)

    2016-05-23

    The CuInSe{sub 2} single crystals were grown by chemical vapour transport (CVT) technique using iodine as transporting agent. The elemental composition of the as-grown CuInSe{sub 2} single crystals was determined by energy dispersive analysis of X-ray (EDAX). The unit cell crystal structure and lattice parameters were determined by X-ray diffraction (XRD) technique. The surface microtopographic study of the as-grown CuInSe{sub 2} single crystals surfaces were done to study the defects, growth mechanism, etc. of the CVT grown crystals.

  14. Growth of large aluminum nitride single crystals with thermal-gradient control

    Science.gov (United States)

    Bondokov, Robert T; Rao, Shailaja P; Gibb, Shawn Robert; Schowalter, Leo J

    2015-05-12

    In various embodiments, non-zero thermal gradients are formed within a growth chamber both substantially parallel and substantially perpendicular to the growth direction during formation of semiconductor crystals, where the ratio of the two thermal gradients (parallel to perpendicular) is less than 10, by, e.g., arrangement of thermal shields outside of the growth chamber.

  15. Crystal growth and scintillation properties of selected fluoride crystals for VUV scintillators

    Czech Academy of Sciences Publication Activity Database

    Pejchal, Jan; Fukuda, K.; Yamaji, A.; Yokota, Y.; Kurosawa, S.; Král, Robert; Nikl, Martin; Yoshikawa, A.

    2014-01-01

    Roč. 401, Sep (2014), s. 833-838 ISSN 0022-0248. [International Conference on Crystal Growth and Epitaxy /17./. Warsaw, 11.08.2013-16..08.2013] R&D Projects: GA MŠk LH12150 Institutional support: RVO:68378271 Keywords : vacuum-ultra-violet emission * micro-pulling-down method * barium -lutetium fluoride * erbium fluoride Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.698, year: 2014

  16. Polarized electrons from GaAs for parity nonconservation studies and Moeller scattering at 250 MeV

    International Nuclear Information System (INIS)

    Cates, G.D. Jr.

    1987-01-01

    A description is given of a polarized electron source based on photoemission from GaAs with circularly polarized light, which was developed for use in the study of parity nonconservation (PNC) in e- 12 C scattering at 250 MeV at the MIT Bates Linear Accelerator Center. A multi-chamber vacuum system houses up to four GaAs crystals simultaneously, and is contained in a Faraday cage to provide 365 KeV in electrostatic acceleration. Stable operation is achieved through the use of a modulated cw laser. The PNC experiment is discussed, particularly with regards to its requirements on the source. The peak current from the source is 20 mA, resulting in a current in excess of 6 mA at high energy. The electron beam polarization has been measured to be 0.36 ± 0.004 using Moeller scattering at 250 MeV

  17. Epitaxial ferromagnetic Fe3Si on GaAs(111)A with atomically smooth surface and interface

    International Nuclear Information System (INIS)

    Liu, Y. C.; Hung, H. Y.; Kwo, J.; Chen, Y. W.; Lin, Y. H.; Cheng, C. K.; Hong, M.; Tseng, S. C.; Hsu, C. H.; Chang, M. T.; Lo, S. C.

    2015-01-01

    Single crystal ferromagnetic Fe 3 Si(111) films were grown epitaxially on GaAs(111)A by molecular beam epitaxy. These hetero-structures possess extremely low surface roughness of 1.3 Å and interfacial roughness of 1.9 Å, measured by in-situ scanning tunneling microscope and X-ray reflectivity analyses, respectively, showing superior film quality, comparing to those attained on GaAs(001) in previous publications. The atomically smooth interface was revealed by the atomic-resolution Z (atomic number)-contrast scanning transmission electron microscopy (STEM) images using the correction of spherical aberration (Cs)-corrected electron probe. Excellent crystallinity and perfect lattice match were both confirmed by high resolution x-ray diffraction. Measurements of magnetic property for the Fe 3 Si/GaAs(111) yielded a saturation moment of 990 emu/cm 3 with a small coercive field ≤1 Oe at room temperature

  18. Resolved nuclear hyperfine structure of muonium centres in CuCl and GaAs by means of the avoided-level-crossing technique

    International Nuclear Information System (INIS)

    Schneider, J.W.; Keller, H.; Schmid, B.; Boesiger, K.; Kuendig, W.; Odermatt, W.; Patterson, B.D.; Puempin, B.; Simmler, H.; Savic, I.M.; Heming, M.; Reid, I.D.; Roduner, E.; Louwrier, P.W.F.

    1988-01-01

    Avoided-level-crossing resonances from isotropic muonium centres interacting with neighbouring nuclear spins in powdered CuCl are reported. The prominent resonances have a complex multiline structure and are strongly temperature-dependent. In addition, previously unobserved resonances in single-crystal GaAs from anomalous muonium interacting with a 71 Ga neighbour are presented. (orig.)

  19. Solution-mediated growth of NBA-ZSM-5 crystals retarded by gel entrapment

    Science.gov (United States)

    Aguilar-Mamani, Wilson; Akhtar, Farid; Hedlund, Jonas; Mouzon, Johanne

    2018-04-01

    The synthesis of flat tablet-shaped ZSM-5 crystals from a gel using metakaolin as aluminosilicate source and n-butyl amine as structure directing agent was investigated. The evolution inside the solid phase was characterized by X-ray diffraction, scanning electron microscopy, energy dispersive spectroscopy, thermogravimetry and mass spectrometry. A kinetic study indicated that the nucleation of the majority crystals occurred concurrently with the formation of the gel upon heating the starting liquid suspension. Microstructural evidences undeniably showed that the gel precipitated on ZSM-5 crystals and mineral impurities originating from kaolin. As a result, crystal growth was retarded by gel entrapment, as indicated by the configuration and morphology of the embedded crystals. The results presented herein are harmonized with a solution-mediated nucleation and growth mechanism. Our observations differ from the autocatalytic model that suggests that the nuclei rest inside the gel until released when the gel is consumed. Our results show instead that it is crystals that formed in an early stage before entrapment inside the gel that rest inside the gel until exposed at the gel surface. These results illustrate the limitation of the classical method used in the field to determine nucleation profiles when the crystals become trapped inside the gel.

  20. Fourier transform imaging of impurities in the unit cells of crystals: Mn in GaAs

    Science.gov (United States)

    Lee, T.-L.; Bihler, C.; Schoch, W.; Limmer, W.; Daeubler, J.; Thieß, S.; Brandt, M. S.; Zegenhagen, J.

    2010-06-01

    The lattice sites of Mn in ferromagnetic (Ga,Mn)As thin films were imaged using the x-ray standing wave technique. The model-free images, obtained straightforwardly by Fourier inversion, disclose immediately that the Mn mostly substitutes the Ga with a small fraction residing on minority sites. The images further reveal variations in the Mn concentrations of the different sites upon post-growth treatments. Subsequent model refinement based on the directly reconstructed images resolves with high precision the complete Mn site distributions. It is found that post-growth annealing increases the fraction of substitutional Mn at the expense of interstitial Mn whereas hydrogenation has little influence on the Mn site distribution. Our study offers an element-specific high-resolution imaging approach for accurately determining the detailed site distributions of dilute concentrations of atoms in crystals.

  1. Growth of crystalline semiconductor materials on crystal surfaces

    CERN Document Server

    Aleksandrov, L

    2013-01-01

    Written for physicists, chemists, and engineers specialising in crystal and film growth, semiconductor electronics, and various applications of thin films, this book reviews promising scientific and engineering trends in thin films and thin-films materials science. The first part discusses the physical characteristics of the processes occurring during the deposition and growth of films, the principal methods of obtaining semiconductor films and of reparing substrate surfaces on which crystalline films are grown, and the main applications of films. The second part contains data on epitaxial i

  2. Structural evolution of self-assisted GaAs nanowires grown on Si(111)

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [University of Siegen, Solid State Physics Group, Walter-Flex-Str. 3, 57072 Siegen (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2011-04-15

    GaAs nanowires are grown on Si(111) by self-assisted molecular beam epitaxy, and the ratio between wurtzite and zinc-blende phases is determined as function of nanowire length using asymmetric X-ray diffraction. We show that under the applied growth conditions, nanowires grow in both phases during the initial stage of growth, whereas the zinc-blende content increases with growth time and dominates in long nanowires. Compared to the zinc-blende units, the vertical lattice parameter of the wurtzite segments is 0.7% larger, as measured by the positions of respective diffraction peaks. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Modeling Conformal Growth in Photonic Crystals and Comparing to Experiment

    Science.gov (United States)

    Brzezinski, Andrew; Chen, Ying-Chieh; Wiltzius, Pierre; Braun, Paul

    2008-03-01

    Conformal growth, e.g. atomic layer deposition (ALD), of materials such as silicon and TiO2 on three dimensional (3D) templates is important for making photonic crystals. However, reliable calculations of optical properties as a function of the conformal growth, such as the optical band structure, are hampered by difficultly in accurately assessing a deposited material's spatial distribution. A widely used approximation ignores ``pinch off'' of precursor gas and assumes complete template infilling. Another approximation results in non-uniform growth velocity by employing iso-intensity surfaces of the 3D interference pattern used to create the template. We have developed an accurate model of conformal growth in arbitrary 3D periodic structures, allowing for arbitrary surface orientation. Results are compared with the above approximations and with experimentally fabricated photonic crystals. We use an SU8 polymer template created by 4-beam interference lithography, onto which various amounts of TiO2 are grown by ALD. Characterization is performed by analysis of cross-sectional scanning electron micrographs and by solid angle resolved optical spectroscopy.

  4. Introducing uncertainty analysis of nucleation and crystal growth models in Process Analytical Technology (PAT) system design of crystallization processes.

    Science.gov (United States)

    Samad, Noor Asma Fazli Abdul; Sin, Gürkan; Gernaey, Krist V; Gani, Rafiqul

    2013-11-01

    This paper presents the application of uncertainty and sensitivity analysis as part of a systematic model-based process monitoring and control (PAT) system design framework for crystallization processes. For the uncertainty analysis, the Monte Carlo procedure is used to propagate input uncertainty, while for sensitivity analysis, global methods including the standardized regression coefficients (SRC) and Morris screening are used to identify the most significant parameters. The potassium dihydrogen phosphate (KDP) crystallization process is used as a case study, both in open-loop and closed-loop operation. In the uncertainty analysis, the impact on the predicted output of uncertain parameters related to the nucleation and the crystal growth model has been investigated for both a one- and two-dimensional crystal size distribution (CSD). The open-loop results show that the input uncertainties lead to significant uncertainties on the CSD, with appearance of a secondary peak due to secondary nucleation for both cases. The sensitivity analysis indicated that the most important parameters affecting the CSDs are nucleation order and growth order constants. In the proposed PAT system design (closed-loop), the target CSD variability was successfully reduced compared to the open-loop case, also when considering uncertainty in nucleation and crystal growth model parameters. The latter forms a strong indication of the robustness of the proposed PAT system design in achieving the target CSD and encourages its transfer to full-scale implementation. Copyright © 2013 Elsevier B.V. All rights reserved.

  5. Precipitation of thin-film organic single crystals by a novel crystal growth method using electrospray and ionic liquid film

    Science.gov (United States)

    Ueda, Hiroyuki; Takeuchi, Keita; Kikuchi, Akihiko

    2018-04-01

    We report an organic single crystal growth technique, which uses a nonvolatile liquid thin film as a crystal growth field and supplies fine droplets containing solute from the surface of the liquid thin film uniformly and continuously by electrospray deposition. Here, we investigated the relationships between the solute concentration of the supplied solution and the morphology and size of precipitated crystals for four types of fluorescent organic low molecule material [tris(8-hydroxyquinoline)aluminum (Alq3), 2-(4-biphenylyl)-5-(4-tert-butylphenyl)-1,3,4-oxadiazole (PBD), N,N‧-bis(3-methylphenyl)-N,N‧-diphenylbenzidine (TPD), and N,N-bis(naphthalene-1-yl)-N,N-diphenyl-benzidine (NPB)] using an ionic liquid as the nonvolatile liquid. As the concentration of the supplied solution decreased, the morphology of precipitated crystals changed from dendritic or leaf shape to platelike one. At the solution concentration of 0.1 mg/ml, relatively large platelike single crystals with a diagonal length of over 100 µm were obtained for all types of material. In the experiment using ionic liquid and dioctyl sebacate as nonvolatile liquids, it was confirmed that there is a clear positive correlation between the maximum volume of the precipitated single crystal and the solubility of solute under the same solution supply conditions.

  6. A comparative study of Co thin film deposited on GaAs (1 0 0) and glass substrates

    International Nuclear Information System (INIS)

    Sharma, A.; Brajpuriya, R.; Tripathi, S.; Jain, D.; Dubey, R.; Shripathi, T.; Chaudhari, S.M.

    2006-01-01

    The structural, magnetic and transport properties of Co/GaAs (1 0 0) and Co/glass thin films have been investigated. The structural measurements reveal the crystalline nature of Co thin film grown on GaAs, while microcrystalline nature in case of glass substrate. The film grown on GaAs shows higher coercivity (49.0 G), lower saturation magnetization (3.65 x 10 -4 ) and resistivity (8 μΩ cm) values as compared to that on glass substrate (22 G, 4.77 x 10 -4 and 18 μΩ cm). The grazing incidence X-ray reflectivity and photoemission spectroscopy results show the interaction between Co and GaAs at the interface, while the Co layer grown on glass remains unaffected. These observed results are discussed and interpreted in terms of different growth morphologies and structures of as grown Co thin film on both substrates

  7. Crystal growth of emerald by flux method

    International Nuclear Information System (INIS)

    Inoue, Mikio; Narita, Eiichi; Okabe, Taijiro; Morishita, Toshihiko.

    1979-01-01

    Emerald crystals have been formed in two binary fluxes of Li 2 O-MoO 2 and Li 2 O-V 2 O 5 using the slow cooling method and the temperature gradient method under various conditions. In the flux of Li 2 O-MoO 3 carried out in the range of 2 -- 5 of molar ratios (MoO 3 /Li 2 O), emerald was crystallized in the temperature range from 750 to 950 0 C, and the suitable crystallization conditions were found to be the molar ratio of 3 -- 4 and the temperature about 900 0 C. In the flux of Li 2 O-V 2 O 5 carried out in the range of 1.7 -- 5 of molar ratios (V 2 O 5 /Li 2 O), emerald was crystallized in the temperature range from 900 to 1150 0 . The suitable crystals were obtained at the molar ratio of 3 and the temperature range of 1000 -- 1100 0 C. The crystallization temperature rised with an increase in the molar ratio of the both fluxes. The emeralds grown in two binary fluxes were transparent green, having the density of 2.68, the refractive index of 1.56, and the two distinct bands in the visible spectrum at 430 and 600nm. The emerald grown in Li 2 O-V 2 O 5 flux was more bluish green than that grown in Li 2 O-MoO 3 flux. The size of the spontaneously nucleated emerald grown in the former flux was larger than the latter, when crystallized by the slow cooling method. As for the solubility of beryl in the two fluxes, Li 2 O-V 2 O 5 flux was superior to Li 2 O-MoO 3 flux whose small solubility of SiO 2 caused an experimental problem to the temperature gradient method. The suitability of the two fluxes for the crystal growth of emerald by the flux method was discussed from the view point of various properties of above-mentioned two fluxes. (author)

  8. Crystallization mechanisms of acicular crystals

    Science.gov (United States)

    Puel, François; Verdurand, Elodie; Taulelle, Pascal; Bebon, Christine; Colson, Didier; Klein, Jean-Paul; Veesler, Stéphane

    2008-01-01

    In this contribution, we present an experimental investigation of the growth of four different organic molecules produced at industrial scale with a view to understand the crystallization mechanism of acicular or needle-like crystals. For all organic crystals studied in this article, layer-by-layer growth of the lateral faces is very slow and clear, as soon as the supersaturation is high enough, there is competition between growth and surface-activated secondary nucleation. This gives rise to pseudo-twinned crystals composed of several needle individuals aligned along a crystallographic axis; this is explained by regular over- and inter-growths as in the case of twinning. And when supersaturation is even higher, nucleation is fast and random. In an industrial continuous crystallization, the rapid growth of needle-like crystals is to be avoided as it leads to fragile crystals or needles, which can be partly broken or totally detached from the parent crystals especially along structural anisotropic axis corresponding to weaker chemical bonds, thus leading to slower growing faces. When an activated mechanism is involved such as a secondary surface nucleation, it is no longer possible to obtain a steady state. Therefore, the crystal number, size and habit vary significantly with time, leading to troubles in the downstream processing operations and to modifications of the final solid-specific properties. These results provide valuable information on the unique crystallization mechanisms of acicular crystals, and show that it is important to know these threshold and critical values when running a crystallizer in order to obtain easy-to-handle crystals.

  9. Direction-specific interactions control crystal growth by oriented attachment

    DEFF Research Database (Denmark)

    Li, Dongsheng; Nielsen, Michael H; Lee, Jonathan R.I.

    2012-01-01

    The oriented attachment of molecular clusters and nanoparticles in solution is now recognized as an important mechanism of crystal growth in many materials, yet the alignment process and attachment mechanism have not been established. We performed high-resolution transmission electron microscopy ...

  10. Electrode pattern design for GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyang; Yin Jianhua; Li Darang

    2011-01-01

    The sensitivities of betavoltaic batteries and photovoltaic batteries to series and parallel resistance are studied. Based on the study, an electrode pattern design principle of GaAs betavoltaic batteries is proposed. GaAs PIN junctions with and without the proposed electrode pattern are fabricated and measured under the illumination of 63 Ni. Results show that the proposed electrode can reduce the backscattering and shadowing for the beta particles from 63 Ni to increase the GaAs betavoltaic battery short circuit currents effectively but has little impact on the fill factors and ideal factors.

  11. Ab initio-based approach to structural change of compound semiconductor surfaces during MBE growth

    Science.gov (United States)

    Ito, Tomonori; Akiyama, Toru; Nakamura, Kohji

    2009-01-01

    Phase diagrams of GaAs and GaN surfaces are systematically investigated by using our ab initio-based approach in conjunction with molecular beam epitaxy (MBE). The phase diagrams are obtained as a function of growth parameters such as temperature and beam equivalent pressure (BEP). The versatility of our approach is exemplified by the phase diagram calculations for GaAs(0 0 1) surfaces, where the stable phases and those phase boundaries are successfully determined as functions of temperature and As 2 and As 4 BEPs. The initial growth processes are clarified by the phase diagram calculations for GaAs(1 1 1)B-(2×2). The calculated results demonstrate that the As-trimer desorption on the GaAs(1 1 1)B-(2×2) with Ga adatoms occurs beyond 500-700 K while the desorption without Ga adatoms does beyond 800-1000 K. This self-surfactant effect induced by Ga adsorption crucially affects the initial growth of GaAs on the GaAs(1 1 1)B-(2×2). Furthermore, the phase diagram calculations for GaN(0 0 0 1) suggests that Ga adsorption or desorption during GaN MBE growth can easily change the pseudo-(1×1) to the (2×2)-Ga via newly found (1×1) and vice versa. On the basis of this finding, the possibility of ghost island formation during MBE growth is discussed.

  12. Distributions of crystals and gas bubbles in reservoir ice during growth period

    Directory of Open Access Journals (Sweden)

    Zhi-jun Li

    2011-06-01

    Full Text Available In order to understand the dominant factors of the physical properties of ice in ice thermodynamics and mechanics, in-situ observations of ice growth and decay processes were carried out. Two samplings were conducted in the fast and steady ice growth stages. Ice pieces were used to observe ice crystals and gas bubbles in ice, and to measure the ice density. Vertical profiles of the type and size of ice crystals, shape and size of gas bubbles, and gas bubble content, as well as the ice density, were obtained. The results show that the upper layer of the ice pieces is granular ice and the lower layer is columnar ice; the average crystal size increases with the ice depth and remains steady in the fast and steady ice growth stages; the shape of gas bubbles in the upper layer of ice pieces is spherical with higher total content, and the shape in the middle and lower layers is cylinder with lower total content; the gas bubble size and content vary with the ice growth stage; and the ice density decreases with the increase of the gas bubble content.

  13. Modifications of micro-pulling-down method for the growth of selected Li-containing crystals for neutron scintillator and VUV scintillation crystals

    Science.gov (United States)

    Pejchal, J.; Fujimoto, Y.; Chani, V.; Yanagida, T.; Yokota, Y.; Yoshikawa, A.; Nikl, M.; Beitlerova, A.

    2012-12-01

    To develop new and efficient neutron scintillator, Ti-doped LiAlO2 single crystal was grown by micro-pulling-down method. The X-ray excited radioluminescence spectra and neutron light yield were measured. Positive effect of Mg codoping on the overall scintillation efficiency was found. The BaLu2F8 single crystal was grown by micro-pulling-down method using low temperature gradient at growth interface and applying quenching immediately after growth process.

  14. InSb semiconductors and (In,Mn)Sb diluted magnetic semiconductors. Growth and properties

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Lien

    2011-04-13

    This dissertation describes investigations of the growth by molecular beam epitaxy and the characterization of the semiconductor InSb as well as the diluted magnetic semiconductor (DMS) In{sub 1-x}Mn{sub x}Sb. The InSb films were grown on GaAs (001) substrate and Si (001) offcut by 4 toward (110) substrate up to a thickness of about 2 {mu}m, in spite of a large lattice mismatch between the epi-layer and substrate (14.6% between InSb and GaAs, and 19.3% between InSb and Si). After optimizing the growth conditions, the best InSb films grown directly on GaAs without any special technique results in a high crystal quality, low noise, and an electron mobility of 41100 cm{sup 2}/V s Vs with associated electron concentration of 2.9.10{sup 6} cm{sup -3} at 300 K. Such structures could be used, for example, for infrared detector structures. The growth of InSb on Si, however, is a challenge. In order to successfully grow InSb on Si, tilted substrates and the insertion of buffer layers were used, which helps to reduce the lattice mismatch as well as the formation of defects, and hence to improve the crystal quality. An electron mobility of 24000 cm{sup 2}/V s measured at 300 K, with an associated carrier concentration of 2.6.10{sup 1}6 cm{sup -3} is found for the best sample that was grown at 340 C with a 0.06 {mu}m-thick GaSb/AlSb superlattice buffer layer. The smaller value of electron mobility (compared to the best GaAsbased sample) is related to a higher density of microtwins and stacking faults as well as threading dislocations in the near-interface region as shown by transmission electron microscopy. Deep level noise spectra indicate the existence of deep levels in both GaAs and Si-based samples. The samples grown on Si exhibit the lowest Hooge factor at 300 K, lower than the samples grown on GaAs. Taking the optimized growth conditions of InSb/GaAs, the diluted magnetic semiconductor In{sub 1-x}Mn{sub x}Sb/GaAs (001) is prepared by adding a few percent of Mn into the

  15. Studying Crystal Growth With the Peltier Effect

    Science.gov (United States)

    Larsen, David J., Jr.; Dressler, B.; Silberstein, R. P.; Poit, W. J.

    1986-01-01

    Peltier interface demarcation (PID) shown useful as aid in studying heat and mass transfer during growth of crystals from molten material. In PID, two dissimilar "metals" solid and liquid phases of same material. Current pulse passed through unidirectionally solidifying sample to create rapid Peltier thermal disturbance at liquid/solid interface. Disturbance, measured by thermocouple stationed along path of solidification at or near interface, provides information about position and shape of interface.

  16. Method for single crystal growth of photovoltaic perovskite material and devices

    Science.gov (United States)

    Huang, Jinsong; Dong, Qingfeng

    2017-11-07

    Systems and methods for perovskite single crystal growth include using a low temperature solution process that employs a temperature gradient in a perovskite solution in a container, also including at least one small perovskite single crystal, and a substrate in the solution upon which substrate a perovskite crystal nucleates and grows, in part due to the temperature gradient in the solution and in part due to a temperature gradient in the substrate. For example, a top portion of the substrate external to the solution may be cooled.

  17. Amelogenin as a promoter of nucleation and crystal growth of apatite

    Science.gov (United States)

    Uskoković, Vuk; Li, Wu; Habelitz, Stefan

    2011-02-01

    Human dental enamel forms over a period of 2-4 years by substituting the enamel matrix, a protein gel mostly composed of a single protein, amelogenin with fibrous apatite nanocrystals. Self-assembly of amelogenin and the products of its selective proteolytic digestion are presumed to direct the growth of apatite fibers and their organization into bundles that eventually comprise the mature enamel, the hardest tissue in the mammalian body. This work aimed to establish the physicochemical and biochemical conditions for the growth of apatite crystals under the control of a recombinant amelogenin matrix (rH174) in combination with a programmable titration system. The growth of apatite substrates was initiated in the presence of self-assembling amelogenin particles. A series of constant titration rate experiments was performed that allowed for a gradual increase of the calcium and/or phosphate concentrations in the protein suspensions. We observed a significant amount of apatite crystals formed on the substrates following the titration of rH174 sols that comprised the initial supersaturation ratio equal to zero. The protein layers adsorbed onto the substrate apatite crystals were shown to act as promoters of nucleation and growth of calcium phosphates subsequently formed on the substrate surface. Nucleation lag time experiments have showed that rH174 tends to accelerate precipitation from metastable calcium phosphate solutions in proportion to its concentration. Despite their mainly hydrophobic nature, amelogenin nanospheres, the size and surface charge properties of which were analyzed using dynamic light scattering, acted as a nucleating agent for the crystallization of apatite. The biomimetic experimental setting applied in this study proves as convenient for gaining insight into the fundamental nature of the process of amelogenesis.

  18. Growth and characterization of 2-Methylimidazolium D-tartrate single crystal

    Science.gov (United States)

    Srinivasan, T. P.; Anandhi, S.; Gopalakrishnan, R.

    2011-03-01

    Single crystal of 2-Methylimidazolium D-tartrate (2MImdT; C8N2O6H12) has been grown by slow evaporation solution growth technique at room temperature using mixed solvents of ethanol and deionized water. Single crystal X-ray diffraction study confirms that 2-Methylimidazolium D-tartrate belongs to monoclinic crystal system with non-centrosymmetric space group P21. The Fourier transform infrared spectrum of 2-Methylimidazolium D-tartrate reveals the presence of methyl and carboxyl functional groups in the compound. The mechanical properties of 2MImdT crystal were studied. The theoretical factor group analysis predicts 168 optical modes in the title compound. The dielectric behavior of 2MImdT crystals was studied at different frequencies and temperatures. Decomposition and melting point of 2MImdT were found using thermal measurements. SHG behavior of the title compound was demonstrated using Q-switched Nd:YAG laser.

  19. Growth and characterization of hexaaquacobalt(II) dipotassium tetrahydrogen tetra-o-phthalate tetrahydrate crystals

    Energy Technology Data Exchange (ETDEWEB)

    Muthu, K. [Department of Chemistry, Annamalai University, Annamalainagar 608 002 (India); Bhagavannarayana, G. [National Physical Laboratory (CSIR), New Delhi 110 012 (India); Mahadevan, C.K. [Physics Research Centre, S.T. Hindu College, Nagercoil 629002 (India); Meenakshisundaram, S.P., E-mail: aumats2009@gmail.com [Department of Chemistry, Annamalai University, Annamalainagar 608 002 (India)

    2013-05-15

    Single crystals of hexaaquacobalt(II) dipotassium tetrahydrogen tetra-o-phthalate tetrahydrate K{sub 2}[Co(H{sub 2}O){sub 6}](C{sub 8}H{sub 5}O{sub 4}){sub 4}·4H{sub 2}O (PCHP), a semiorganic light-rose colored crystal of size ∼38 × 16 × 4 mm{sup 3} have been grown by slow evaporation solution growth technique. The lattice parameters of the as-grown crystals were obtained by single crystal X-ray diffraction analysis. The functional groups of the phthalate complex were confirmed by Fourier transform infrared spectroscopy analysis. The UV–Vis optical absorption spectrum of PCHP shows the lower optical cut-off at ∼300 nm and the crystal was transparent in the visible region. The structural perfection of the grown crystal has been analyzed by high-resolution X-ray diffraction (HRXRD) rocking curves (DC). The DC contains multi-peaks showing the structural grain boundaries. The dielectric, thermal and mechanical behaviors of the specimen were also studied. - Highlights: ► Bulk growth of large size phthalate complex crystals. ► Crystalline perfection of the grown crystal has been determined. ► HRXRD curves compared with pure material and the reasons are analyzed.

  20. Semiconductor GaAs: electronic paramagnetic resonance new data; GaAs semi-isolant: nouvelles donnees de resonance paramagnetique electronique

    Energy Technology Data Exchange (ETDEWEB)

    Benchiguer, T.

    1994-04-01

    The topic of this study was to put to the fore, thanks to our electron spin resonance experiments, one charge transfer process, which was optically induced between the deep donor As{sup +}{sub G}a and the different acceptors, which were present in the material. We described these processes through a theoretical model, which we named charge transfer model. With this latter, we were able to trace a graph network, representing the As{sup +}{sub G}a concentration kinetics. Then we verified the compatibility of our model with one transport experiment. One experimental verification of our model were delivered, thanks to neutronic transmutation doping. The following stage was the study of defects, induced by thermal strains, to which the crystal was submitted during the cooling phase. At last we wanted to get round the non solved super hyperfine structure problem for GaAs by studying another III-V material for which she was resolved, namely gallium phosphide. (MML). 150 refs., 72 figs., 16 tabs., 3 annexes.

  1. Epitaxial ferromagnetic Fe{sub 3}Si on GaAs(111)A with atomically smooth surface and interface

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Y. C.; Hung, H. Y.; Kwo, J., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [Department of Physics, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Chen, Y. W.; Lin, Y. H.; Cheng, C. K.; Hong, M., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [Graduate Institute of Applied Physics and Department of Physics, National Taiwan University, Taipei 10617, Taiwan (China); Tseng, S. C.; Hsu, C. H., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China); Chang, M. T.; Lo, S. C. [Material and Chemical Research Laboratories, Industrial Technology Research Institute, Hsinchu 31040, Taiwan (China)

    2015-09-21

    Single crystal ferromagnetic Fe{sub 3}Si(111) films were grown epitaxially on GaAs(111)A by molecular beam epitaxy. These hetero-structures possess extremely low surface roughness of 1.3 Å and interfacial roughness of 1.9 Å, measured by in-situ scanning tunneling microscope and X-ray reflectivity analyses, respectively, showing superior film quality, comparing to those attained on GaAs(001) in previous publications. The atomically smooth interface was revealed by the atomic-resolution Z (atomic number)-contrast scanning transmission electron microscopy (STEM) images using the correction of spherical aberration (Cs)-corrected electron probe. Excellent crystallinity and perfect lattice match were both confirmed by high resolution x-ray diffraction. Measurements of magnetic property for the Fe{sub 3}Si/GaAs(111) yielded a saturation moment of 990 emu/cm{sup 3} with a small coercive field ≤1 Oe at room temperature.

  2. Development of low-cost silicon crystal growth techniques for terrestrial photovoltaic solar energy conversion

    Science.gov (United States)

    Zoutendyk, J. A.

    1976-01-01

    Because of the growing need for new sources of electrical energy, photovoltaic solar energy conversion is being developed. Photovoltaic devices are now being produced mainly from silicon wafers obtained from the slicing and polishing of cylindrically shaped single crystal ingots. Inherently high-cost processes now being used must either be eliminated or modified to provide low-cost crystalline silicon. Basic to this pursuit is the development of new or modified methods of crystal growth and, if necessary, crystal cutting. If silicon could be grown in a form requiring no cutting, a significant cost saving would potentially be realized. Therefore, several techniques for growth in the form of ribbons or sheets are being explored. In addition, novel techniques for low-cost ingot growth and cutting are under investigation.

  3. High-aspect ratio microstructures in p-type GaAs and InP created by proton beam writing

    International Nuclear Information System (INIS)

    Menzel, F.; Spemann, D.; Butz, T.

    2011-01-01

    With proton beam writing (PBW) and subsequent electrochemical etching in HF-solution the creation of high-aspect ratio microstructures in p-type InP was performed for the first time. Microstructures with high surface quality as well as high-aspect ratio possessing lateral dimensions down to 1 μm were produced. Furthermore, free-standing microstructures were created in this material by a combined irradiation with 2.25 MeV protons and 1.125 MeV H 2 + molecules, were the smallest structure dimension of 0.6 μm was achieved for a horizontal needle. The creation of nearly perfect circular microstructures indicates that the crystal structure has little effect on the structuring process by PBW in this material. Moreover, the effect of reduced etching inside of closed irradiation patterns, already known from Si and GaAs, was observed also in InP. In further PBW experiments and subsequent electrochemical etching with KOH-solution p-type GaAs microstructures were produced. By using a 4-fold higher etch current density of 45 mA/cm 2 compared to former PBW experiments on this material the quality of the microstructures could be improved significantly leading to high aspect-ratio structures with minimum lateral sizes of ∼1 μm, nearly vertical side walls as well as circular microstructures. This shows the reduced influence of the crystal structure on the shape of the microstructures compared to experiments with lower etch current density where only flat microstructures with inclined side walls determined by the crystal structure could be created.

  4. Effect of amino acid doping on the growth and ferroelectric properties of triglycine sulphate single crystals

    International Nuclear Information System (INIS)

    Raghavan, C.M.; Sankar, R.; Mohan Kumar, R.; Jayavel, R.

    2008-01-01

    Effect of amino acids (L-leucine and isoleucine) doping on the growth aspects and ferroelectric properties of triglycine sulphate crystals has been studied. Pure and doped crystals were grown from aqueous solution by low temperature solution growth technique. The cell parameter values were found to significantly vary for doped crystals. Fourier transform infrared analysis confirmed the presence of functional groups in the grown crystal. Morphology study reveals that amino acid doping induces faster growth rate along b-direction leading to a wide b-plane and hence suitable for pyroelectric detector applications. Ferroelectric domain structure has been studied by atomic force microscopy and hysteresis measurements reveal an increase of coercive field due to the formation of single domain pattern

  5. Metal Halide Perovskite Single Crystals: From Growth Process to Application

    Directory of Open Access Journals (Sweden)

    Shuigen Li

    2018-05-01

    Full Text Available As a strong competitor in the field of optoelectronic applications, organic-inorganic metal hybrid perovskites have been paid much attention because of their superior characteristics, which include broad absorption from visible to near-infrared region, tunable optical and electronic properties, high charge mobility, long exciton diffusion length and carrier recombination lifetime, etc. It is noted that perovskite single crystals show remarkably low trap-state densities and long carrier diffusion lengths, which are even comparable with the best photovoltaic-quality silicon, and thus are expected to provide better optoelectronic performance. This paper reviews the recent development of crystal growth in single-, mixed-organic-cation and fully inorganic halide perovskite single crystals, in particular the solution approach. Furthermore, the application of metal hybrid perovskite single crystals and future perspectives are also highlighted.

  6. Morphology of growth of Bi2Sr2CaCu2O8 single crystals

    Science.gov (United States)

    Indenbom, M. V.; van der Beek, C. J.; Berseth, V.; Wolf, Th.; Berger, H.; Benoit, W.

    1996-12-01

    A good correlation of twins on the basal surface of flux-grown Bi2Sr2CaCu2Ox (BSCCO) single crystals with surface. growth steps is observed, the b-axis being perpendicular to the steps and, thus, parallel to the growth direction. It is found that mono-twin BSCCO single crystals produced by the travelling solvent floating zone method also grow preferentially along b, i.e. nearly perpendicularly to the boule axis, contrary to the common belief. This new understanding of the morphology of growth explains the nature of major defects in these crystals, which considerably change their measured superconducting properties, in a different way.

  7. Fast and slow crystal growth kinetics in glass-forming melts

    Energy Technology Data Exchange (ETDEWEB)

    Orava, J.; Greer, A. L., E-mail: alg13@cam.ac.uk [WPI-Advanced Institute for Materials Research (WPI-AIMR), Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577, Japan and Department of Materials Science and Metallurgy, 27 Charles Babbage Road, University of Cambridge, Cambridge CB3 0FS (United Kingdom)

    2014-06-07

    Published values of crystal growth rates are compared for supercooled glass-forming liquids undergoing congruent freezing at a planar crystal-liquid interface. For the purposes of comparison pure metals are considered to be glass-forming systems, using data from molecular-dynamics simulations. For each system, the growth rate has a maximum value U{sub max} at a temperature T{sub max} that lies between the glass-transition temperature T{sub g} and the melting temperature T{sub m}. A classification is suggested, based on the lability (specifically, the propensity for fast crystallization), of the liquid. High-lability systems show “fast” growth characterized by a high U{sub max}, a low T{sub max} / T{sub m}, and a very broad peak in U vs. T / T{sub m}. In contrast, systems showing “slow” growth have a low U{sub max}, a high T{sub max} / T{sub m}, and a sharp peak in U vs. T / T{sub m}. Despite the difference of more than 11 orders of magnitude in U{sub max} seen in pure metals and in silica, the range of glass-forming systems surveyed fit into a common pattern in which the lability increases with lower reduced glass-transition temperature (T{sub g} / T{sub m}) and higher fragility of the liquid. A single parameter, a linear combination of T{sub g} / T{sub m} and fragility, can show a good correlation with U{sub max}. For all the systems, growth at U{sub max} is coupled to the atomic/molecular mobility in the liquid. It is found that, across the diversity of glass-forming systems, T{sub max} / T{sub g} = 1.48 ± 0.15.

  8. Large potassium dihydrogen phosphate crystal growth using a three-vessel system for fusion lasers

    International Nuclear Information System (INIS)

    Sasaki, T.; Yokotani, A.; Yamanaka, T.; Nakai, S.; Yamanaka, C.

    1989-01-01

    Large scale laser fusion experiments are being performed in the Institute of Laser Engineering, Osaka University, using the glass laser system Gekko-XII. For this laser, very large nonlinear crystals of potassium dihydrogen phosphate (KDP) with a cross section over 40 X 40 cm is needed as a frequency converter to obtain a short wavelength laser. Generally the temperature falling method (TFM) is used to grow such a huge crystal, but the volume of the growing vessel becomes tremendously large. The three-vessel system (TVS), which is a constant temperature and concentration method, allows better control of supersaturation than does the TFM, and the volume of the main growth vessel can be smaller than that in the case of the TFM. The authors have constructed a TVS. The KDP crystal grew in the growth tank that was kept at a constant temperature of 20 +- 0.01 0 C. The authors show the growth history of the KDP crystal of a 40- X 40-cm cross section. This system is now being operated to obtain the KDP of 100-cm height, and a theoretical estimate of the growth rate is under consideration. These results are presented

  9. Semiconducting icosahedral boron arsenide crystal growth for neutron detection

    Science.gov (United States)

    Whiteley, C. E.; Zhang, Y.; Gong, Y.; Bakalova, S.; Mayo, A.; Edgar, J. H.; Kuball, M.

    2011-03-01

    Semiconducting icosahedral boron arsenide, B12As2, is an excellent candidate for neutron detectors, thermoelectric converters, and radioisotope batteries, for which high quality single crystals are required. Thus, the present study was undertaken to grow B12As2 crystals by precipitation from metal solutions (nickel) saturated with elemental boron (or B12As2 powder) and arsenic in a sealed quartz ampoule. B12As2 crystals of 10-15 mm were produced when a homogeneous mixture of the three elements was held at 1150 °C for 48-72 h and slowly cooled (3.5 °C/h). The crystals varied in color and transparency from black and opaque to clear and transparent. X-ray topography (XRT), and elemental analysis by energy dispersive X-ray spectroscopy (EDS) confirmed that the crystals had the expected rhombohedral structure and chemical stoichiometry. The concentrations of residual impurities (nickel, carbon, etc.) were low, as measured by Raman spectroscopy and secondary ion mass spectrometry (SIMS). Additionally, low etch-pit densities (4.4×107 cm-2) were observed after etching in molten KOH at 500 °C. Thus, the flux growth method is viable for growing large, high-quality B12As2 crystals.

  10. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in ``avalanche`` mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into ``avalanche`` mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  11. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  12. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1990-01-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential of GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into an avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large are (1 sq cm) and small area (<1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs., 11 figs.

  13. Subnanosecond photoconductive switching in GaAs

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in 'avalanche' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into 'avalanche' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (less than 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300-1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on, and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation.

  14. Panel fabrication utilizing GaAs solar cells

    Science.gov (United States)

    Mardesich, N.

    1984-01-01

    The development of the GaAs solar cells for space applications is described. The activities in the fabrication of GaAs solar panels are outlined. Panels were fabricated while introducing improved quality control, soldering laydown and testing procedures. These panels include LIPS II, San Marco Satellite, and a low concentration panel for Rockwells' evaluation. The panels and their present status are discussed.

  15. Crystal growth and characterization of REFeAsO (RE = La, Nd) and LaFePO

    Energy Technology Data Exchange (ETDEWEB)

    Adamski, Agnes; Abdel-Hafiez, Mahmoud; Krellner, Cornelius [Physikalisches Institut, Goethe Universitaet, D-60438 Frankfurt am Main (Germany)

    2016-07-01

    Since the discovery of iron-based superconductors, much effort was put on the crystal growth of the various systems and their characterization. Although, the initial flurry of activities was mainly performed on the 1111 systems, the focus has been rapidly shifted towards other materials, were large high-quality crystals are available. In contrast, the growth of sizeable high-quality single crystals of 1111 compounds is extremely challenging, slowing down the scientific progress in this type of compounds. Here we report on the crystal growth of 1111-type materials under ambient pressure conditions and by using the flux technique. The influence of the material to flux ratio was systematically studied. Subsequently, the obtained samples were analyzed with powder diffractometry, electron microscope, energy dispersive X-ray analysis, Laue diffractometry and magnetic measurements to analyze the structural and magnetic properties.

  16. GROWTH RATE DISTRIBUTION OF BORAX SINGLE CRYSTALS ON THE (001 FACE UNDER VARIOUS FLOW RATES

    Directory of Open Access Journals (Sweden)

    Suharso Suharso

    2010-06-01

    Full Text Available The growth rates of borax single crystals from aqueous solutions at various flow rates in the (001 direction were measured using in situ cell method. From the growth rate data obtained, the growth rate distribution of borax crystals was investigated using Minitab Software and SPSS Software at relative supersaturation of 0807 and temperature of 25 °C. The result shows that normal, gamma, and log-normal distribution give a reasonably good fit to GRD. However, there is no correlation between growth rate distribution and flow rate of solution.   Keywords: growth rate dispersion (GRD, borax, flow rate

  17. The growth rates of KDP crystals in solutions with potassium permanganate additives

    Science.gov (United States)

    Egorova, A. E.; Vorontsov, D. A.; Nezhdanov, A. V.; Noskova, A. N.; Portnov, V. N.

    2017-01-01

    We have found that growth of the {101} faces of a KDP (KH2PO4) crystal is suppressed, and the growth rate of the {100} faces passes through the maximum with increasing addition of KMnO4 to a solution with pH=4.7. We have concluded that the [MnH2PO4]2+ complex and MnO2 particles affect the growth kinetics. The X-ray and electronic paramagnetic resonance data show that manganese is incorporated into the crystal in the form of Mn3+ and Mn4+. The local excess of a positive charge in the area with incorporated [MnH2PO4]2+ complex can be compensated by the shift of the hydrogen atoms in the KDP structure.

  18. Superconductivity and its pressure variation in GaAs

    International Nuclear Information System (INIS)

    Nirmala Louis, C.; Jayam, Sr. Gerardin; Amalraj, A.

    2005-01-01

    The electronic band structure, metallization, phase transition and superconducting transition of gallium arsenide under pressure are studied using TB-LMTO method. Metallization occurs via indirect closing of band gap between Γ and X points. GaAs becomes superconductor under high pressure but before that it undergoes structural phase transition from ZnS phase to NaCl phase. The ground state properties are analyzed by fitting the calculated total energies to the Birch-Murnaghan's equation of state. The superconducting transition temperatures (T c ) obtained as a function of pressure for both the ZnS and NaCl structures and GaAs comes under the class of pressure induced superconductor. When pressure is increased T c increases in both the normal and high pressure structures. The dependence of T c on electron-phonon mass enhancement factor λ shows that GaAs is an electron-phonon-mediated superconductor. Also it is found that GaAs retained in their normal structure under high pressure give appreciably high T c . (author)

  19. Thermal-capillary analysis of Czochralski and liquid encapsulated Czochralski crystal growth. II - Processing strategies

    Science.gov (United States)

    Derby, J. J.; Brown, R. A.

    1986-01-01

    The pseudosteady-state heat transfer model developed in a previous paper is augmented with constraints for constant crystal radius and melt/solid interface deflection. Combinations of growth rate, and crucible and bottom-heater temperatures are tested as processing parameters for satisfying the constrained thermal-capillary problem over a range of melt volumes corresponding to the sequence occuring during the batchwise Czochralski growth of a small-diameter silicon crystal. The applicability of each processing strategy is judged by the range of existence of the solution, in terms of melt volume and the values of the axial and radial temperature gradients in the crystal.

  20. Crystal growth of hexaferrite architecture for magnetoelectrically tunable microwave semiconductor integrated devices

    Science.gov (United States)

    Hu, Bolin

    Hexaferrites (i.e., hexagonal ferrites), discovered in 1950s, exist as any one of six crystallographic structural variants (i.e., M-, X-, Y-, W-, U-, and Z-type). Over the past six decades, the hexaferrites have received much attention owing to their important properties that lend use as permanent magnets, magnetic data storage materials, as well as components in electrical devices, particularly those operating at RF frequencies. Moreover, there has been increasing interest in hexaferrites for new fundamental and emerging applications. Among those, electronic components for mobile and wireless communications especially incorporated with semiconductor integrated circuits at microwave frequencies, electromagnetic wave absorbers for electromagnetic compatibility, random-access memory (RAM) and low observable technology, and as composite materials having low dimensions. However, of particular interest is the magnetoelectric (ME) effect discovered recently in the hexaferrites such as SrScxFe12-xO19 (SrScM), Ba2--xSrxZn 2Fe12O22 (Zn2Y), Sr4Co2Fe 36O60 (Co2U) and Sr3Co2Fe 24O41 (Co2Z), demonstrating ferroelectricity induced by the complex internal alignment of magnetic moments. Further, both Co 2Z and Co2U have revealed observable magnetoelectric effects at room temperature, representing a step toward practical applications using the ME effect. These materials hold great potential for applications, since strong magnetoelectric coupling allows switching of the FE polarization with a magnetic field (H) and vice versa. These features could lead to a new type of storage devices, such as an electric field-controlled magnetic memory. A nanoscale-driven crystal growth of magnetic hexaferrites was successfully demonstrated at low growth temperatures (25--40% lower than the temperatures required often for crystal growth). This outcome exhibits thermodynamic processes of crystal growth, allowing ease in fabrication of advanced multifunctional materials. Most importantly, the

  1. Formation, atomic structure, and electronic properties of GaSb quantum dots in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Timm, R.

    2007-12-14

    In this work, cross-sectional scanning tunneling microscopy and spectroscopy are used for the first time to study the shape, size, strain, chemical composition, and electronic properties of capped GaSb/GaAs QDs at the atomic scale. By evaluating such structural results on a variety of nanostructures built using different epitaxy methods and growth conditions, details on the underlying QD formation processes can be revealed. A cross-over from flat quantum wells (QWs) to optically active QDs can be observed in samples grown by metalorganic chemical vapor deposition (MOCVD) with increasing amount of GaSb, including self-assembled Sb accumulations within a still two-dimensional layer and tiny three-dimensional GaSb islands probably acting as precursor structures. The QWs consist of significantly intermixed material with stoichiometries of maximally 50% GaSb, additionally exhibiting small gaps filled with GaAs. A higher GaSb content up to nearly pure material is found in the QDs, being characterized by small sizes of up to 8 nm baselength and about 2 nm height. In spite of the intermixing, all nanostructures have rather abrupt interfaces, and no significant Sb segregation in growth direction is observed. This changes completely when molecular beam epitaxy (MBE) is used as growth method, in which case individual Sb atoms are found to be distributed over several nm above the nanostructures. Massive group-V atomic exchange processes are causing this strong inter-mixing and Sb segregation during GaAs overgrowth. In combination with the large strain inherent to GaSb/GaAs QDs, this segregation upon overgrowth is assumed to be the reason for a unique structural phenomenon: All MBE-grown QDs, independent of the amount of deposited GaSb, exhibit a ring structure, consisting of a ring body of high GaSb content and a more or less extended central gap filled with GaAs. These rings have formed in a self-assembled way even when the initial GaSb layer was overgrown considerably fast

  2. Structural and optical properties of vapor-etched porous GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Smida, A.; Laatar, F. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Hassen, M., E-mail: mhdhassen@yahoo.fr [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Applied Science and Technology of Sousse, City Taffala (Ibn Khaldun), 4003 Sousse (Tunisia); Ezzaouia, H. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2016-08-15

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO{sub 3} as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  3. Structural and optical properties of vapor-etched porous GaAs

    International Nuclear Information System (INIS)

    Smida, A.; Laatar, F.; Hassen, M.; Ezzaouia, H.

    2016-01-01

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO 3 as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  4. In Situ Determination of Thermal Profiles during Czochralski Silicon Crystal Growth by an Eddy Current Technique.

    Science.gov (United States)

    Choe, Kwang Su.

    An eddy current testing method was developed to continuously monitor crystal growth process and determine thermal profiles in situ during Czochralski silicon crystal growth. The work was motivated by the need to improve the quality of the crystal by controlling thermal gradients and annealing history over the growth cycle. The experimental concept is to monitor intrinsic electrical conductivities of the growing crystal and deduce temperature values from them. The experiments were performed in a resistance-heated Czochralski puller with a 203 mm (8 inch) diameter crucible containing 6.5 kg melt. The silicon crystals being grown were about 80 mm in diameter and monitored by an encircling sensor operating at three different test frequencies (86, 53 and 19 kHz). A one-dimensional analytical solution was employed to translate the detected signals into electrical conductivities. In terms of experiments, the effects of changes in growth condition, which is defined by crystal and crucible rotation rates, crucible position, pull rate, and hot-zone configuration, were investigated. Under a given steady-state condition, the thermal profile was usually stable over the entire length of crystal growth. The profile shifted significantly, however, when the crucible rotation rate was kept too high. As a direct evidence to the effects of melt flow on heat transfer process, a thermal gradient minimum was observed about the crystal/crucible rotation combination of 20/-10 rpm cw. The thermal gradient reduction was still most pronounced when the pull rate or the radiant heat loss to the environment was decreased: a nearly flat axial thermal gradient was achieved when either the pull rate was halved or the height of the exposed crucible wall was effectively doubled. Under these conditions, the average axial thermal gradient along the surface of the crystal was about 4-5 ^{rm o}C/mm. Regardless of growth condition, the three-frequency data revealed radial thermal gradients much larger

  5. Growth and optical microscopy observation of the lysozyme crystals

    OpenAIRE

    R.Vlokh; L.Marsel; I.Teslyuk; O.G.Vlokh

    2001-01-01

    The little single lysozyme crystals in the capillary after 15 days of growth process with average size 0.1´0.1´0.16mm3 were obtained. It was shown that lysozyme crystals are optically anisotropical and birefringence along a axis is Dn=(2.2±0.5)´10-3 in visible spectrum region. From the measurements of crystallographic angles follows that on the {001} faces angles equal a=81o, b=99o. On the sexangle faces angles equal e=100o, f=140o and g=120o. On the base of obtained results the lysozyme crys...

  6. Numerical modeling of Czochralski growth of Li2MoO4 crystals for heat-scintillation cryogenic bolometers

    Science.gov (United States)

    Stelian, Carmen; Velázquez, Matias; Veber, Philippe; Ahmine, Abdelmounaim; Sand, Jean-Baptiste; Buşe, Gabriel; Cabane, Hugues; Duffar, Thierry

    2018-06-01

    Lithium molybdate Li2MoO4 (LMO) crystals of mass ranging between 350 and 500 g are excellent candidates to build heat-scintillation cryogenic bolometers likely to be used for the detection of rare events in astroparticle physics. In this work, numerical modeling is applied in order to investigate the Czochralski growth of Li2MoO4 crystals in an inductive furnace. The numerical model was validated by comparing the numerical predictions of the crystal-melt interface shape to experimental visualization of the growth interface. Modeling was performed for two different Czochralski furnaces that use inductive heating. The simulation of the first furnace, which was used to grow Li2MoO4 crystals of 3-4 cm in diameter, reveals non-optimal heat transfer conditions for obtaining good quality crystals. The second furnace, which will be used to grow crystals of 5 cm in diameter, was numerically optimized in order to reduce the temperature gradients in the crystal and to avoid fast crystallization of the bath at the later stages of the growth process.

  7. Comparisons of single event vulnerability of GaAs SRAMS

    Science.gov (United States)

    Weatherford, T. R.; Hauser, J. R.; Diehl, S. E.

    1986-12-01

    A GaAs MESFET/JFET model incorporated into SPICE has been used to accurately describe C-EJFET, E/D MESFET and D MESFET/resistor GaAs memory technologies. These cells have been evaluated for critical charges due to gate-to-drain and drain-to-source charge collection. Low gate-to-drain critical charges limit conventional GaAs SRAM soft error rates to approximately 1E-6 errors/bit-day. SEU hardening approaches including decoupling resistors, diodes, and FETs have been investigated. Results predict GaAs RAM cell critical charges can be increased to over 0.1 pC. Soft error rates in such hardened memories may approach 1E-7 errors/bit-day without significantly reducing memory speed. Tradeoffs between hardening level, performance and fabrication complexity are discussed.

  8. Growth of ZrSiO4 single crystal by flux method

    International Nuclear Information System (INIS)

    Ushio, Masumi; Nishitani, Yoshinari

    1982-01-01

    ZrSiO 4 single crystals were grown from the Na 2 O.3 V 2 O 5 fluxed melt at constant soaking temperatures of 900 0 C and 1000 0 C for periods up to 1710h, using the natural zircon seeds. Temperature difference between the seed and nutrient was 0.5 -- 5 0 C. A solubility curve of the natural zircon in Na 2 O.3 V 2 O 5 was determined. It was found that about 5.7g of the natural crystal dissolved in Na 2 O.3 V 2 O 5 in 48h at 1000 0 C and about 7.5g at 1200 0 C. .the maximum growth weight was about 0.35 2 g under conditions of the temperature difference of 2 0 C and the soaking for 1205h at 1000 0 C. The grown crystal was initially bounded with the c-, m-, p-, a-planes and very small planes consisting of the u- and x-, and finally bounded with the m- and p-planes. The observed linear growth rates of planes of ZrSiO 4 single crystals were in the following order:a(100) > c(001) > p(111) > m(110). The growth rates of a- and m-planes were about 5 -- 7 x 10 -4 mm/h and 0.9 -- 1.0 x 10 -4 mm/h, respectively. The growth hillocks of rounded triangular shape and elliptic shape extended to the direction of c-axis, were observed on the p- and m-planes, respectively. The observed step distence of the spiral and the step height were about 0.97 5 μm and 0.17 2 μm, respectively. The observed linear rate of advance of the step on the m-plane was about 0.4 0 -- 1.2 x 10 -8 cm/s. A linear growth rate of the m-plane was then calculated to be 0.2 5 -- 0.7 1 x 10 -4 mm/h by the BCF theory, which agreed in order of magnitude with the observes growth rate of the m-plabe. (author)

  9. Applications of synchrotron microradiography in materials science-in situ visualization of the growth of metallic alloy crystals

    International Nuclear Information System (INIS)

    Wang Tongmin; Zhu Jing; Cao Fei; Wang Kun; Bao Yongming; Xie Honglan; Huang Wanxia

    2012-01-01

    Metals and their alloys are an important type of structural and functional material and have been widely used in the aerospace, automobile, shipbuilding and other industries. The macro-properties of metallic alloys actually depend on their microstructures. The evolution of their microstructures generally involves a dynamic process of crystal growth on the scale of micrometers. The crystal growth of these alloys is still a puzzle to us due to their opacity. Conventional metallography techniques are limited by the high temperature of the phase changes so it is not possible to perform in situ observation of the evolving crystal morphology. The in situ visualization of the crystal growth has now become possible with the application of synchrotron radiation imaging techniques, which are just the right key to unravel the mystery mentioned above. In this paper, the development and current state-of-the-art of in situ crystal growth visualization are reviewed. Some typical application examples are presented, and promising applications in materials science are further expected. (authors)

  10. Progress in III-V materials technology

    Science.gov (United States)

    Grant, Ian R.

    2004-12-01

    Compound semiconductors, in the form of GaAs and InP have achieved major commercial significance in areas of application such as mobile communications, displays and telecoms and offer a versatility of function beyond the capabilities of Si. III-V compounds, and in particular GaAs, have since their early development been the subject of defence related interest. Support from this sector established the basic materials technologies and nurtured development up until their commercial breakthrough into consumer products. GaAs, for example, now provides essential components for mobile phones and CD / DVD players. An overview is presented of the crystal growth and processing methods used in the manufacture of these materials. Current state of the art characteristics on crystal form and quality are discussed, together with the evolution of single crystal growth techniques. Consideration is given to how these principal compounds together with the minor materials, InSb, GaSb and InAs are employed in diverse applications over a broad spectral range, together with information on markets and future perspectives.

  11. The influence of post-growth annealing on the optical properties of InAs quantum dot chains grown on pre-patterned GaAs(100)

    International Nuclear Information System (INIS)

    Hakkarainen, T V; Polojärvi, V; Schramm, A; Tommila, J; Guina, M

    2012-01-01

    We report on the effect of post-growth thermal annealing of [011]-, [01 1-bar ]-, and [010]-oriented quantum dot chains grown by molecular beam epitaxy on GaAs(100) substrates patterned by UV-nanoimprint lithography. We show that the quantum dot chains experience a blueshift of the photoluminescence energy, spectral narrowing, and a reduction of the intersubband energy separation during annealing. The photoluminescence blueshift is more rapid for the quantum dot chains than for self-assembled quantum dots that were used as a reference. Furthermore, we studied polarization resolved photoluminescence and observed that annealing reduces the intrinsic optical anisotropy of the quantum dot chains and the self-assembled quantum dots. (paper)

  12. Understanding and control of nucleation, growth, habit, dissolution and structure of two- and three-dimensional crystals using 'Tailor-made' auxiliaries

    International Nuclear Information System (INIS)

    Weissbuch, I.; Popovitz-Biro, R.; Lahav, M.; Leiserowitz, L.

    1995-01-01

    Tailor-made auxiliaries for the control of nucleation and growth of molecular crystals may be classified into two broad categories: inhibitors and promoters. Tailor-made inhibitors of crystal growth can be used for a variety of purposes, which include morphological engineering and etching, reduction of crystal symmetry, assignment of absolute structure of chiral molecules and polar crystals, elucidation of the effect of solvent on crystal growth, and crystallization of a desired polymorph. As for crystal growth promoters, monolayers of amphiphilic molecules on water have been used to induce the growth of a variety of three-dimensional crystals at the monolayer-solution interface by means of structural match, molecular complementarity or electrostatic interaction. A particular focus is made on the induced nucleation of ice by monolayers of water-insoluble aliphatic alcohols. The two-dimensional crystalline structures of such monolayers have been studied by grazing incidence X-ray diffraction. It has become possible to monitor, by this method, the growth, dissolution and structure of self-aggregated crystalline monolayers, and indeed multilayers, affected by the interaction of solvent molecules in the aqueous subphase with the amphiphilic headgroups, and by the use of tailor-made amphiphilic additives. (orig.)

  13. Repeated growth and bubbling transfer of graphene with millimetre-size single-crystal grains using platinum.

    Science.gov (United States)

    Gao, Libo; Ren, Wencai; Xu, Huilong; Jin, Li; Wang, Zhenxing; Ma, Teng; Ma, Lai-Peng; Zhang, Zhiyong; Fu, Qiang; Peng, Lian-Mao; Bao, Xinhe; Cheng, Hui-Ming

    2012-02-28

    Large single-crystal graphene is highly desired and important for the applications of graphene in electronics, as grain boundaries between graphene grains markedly degrade its quality and properties. Here we report the growth of millimetre-sized hexagonal single-crystal graphene and graphene films joined from such grains on Pt by ambient-pressure chemical vapour deposition. We report a bubbling method to transfer these single graphene grains and graphene films to arbitrary substrate, which is nondestructive not only to graphene, but also to the Pt substrates. The Pt substrates can be repeatedly used for graphene growth. The graphene shows high crystal quality with the reported lowest wrinkle height of 0.8 nm and a carrier mobility of greater than 7,100 cm(2) V(-1) s(-1) under ambient conditions. The repeatable growth of graphene with large single-crystal grains on Pt and its nondestructive transfer may enable various applications.

  14. Forced and thermocapillary convection in silicon Czochralski crystal growth in semispherical crucible

    International Nuclear Information System (INIS)

    Mokhtari, F; Bouabdallah, A; Zizi, M; Hanchi, S; Alemany, A

    2010-01-01

    In order to understand the influence of a semispherical crucible geometry combined with different convection modes as a thermocapillary convection, natural convection and forced convection, induced by crystal rotation, on melt flow pattern in silicon Czochralski crystal growth process, a set of numerical simulations are conducted using Fluent Software. We solve the system of equations of heat and momentum transfer in classical geometry as cylindrical and modified crystal growth process geometry as cylindro-spherical. In addition, we adopt hypothesis adapted to boundary conditions near the interface and calculations are executed to determine temperature, pressure and velocity fields versus Grashof and Reynolds numbers. The analysis of the obtained results led to conclude that there is advantage to modify geometry in comparison with the traditional one. The absence of the stagnation regions of fluid in the hemispherical crucible corner and the possibility to control the melt flow using the crystal rotation enhances the quality of the process comparatively to the cylindrical one. The pressure field is strongly related to the swirl velocity.

  15. Forced and thermocapillary convection in silicon Czochralski crystal growth in semispherical crucible

    Energy Technology Data Exchange (ETDEWEB)

    Mokhtari, F [Physics Department, Faculty of Science, University of Mouloud Mammeri, Tizi Ouzou (Algeria); Bouabdallah, A; Zizi, M [LTSE Laboratory, University of Science and Technology USTHB. BP 32 Elalia, Babezzouar, Algiers (Algeria); Hanchi, S [UER Mecanique/ E.M.P B.P 17, Bordj El Bahri, Algiers (Algeria); Alemany, A, E-mail: abouab2002@yahoo.f [Laboratoire EPM, CNRS, Grenoble (France)

    2010-03-01

    In order to understand the influence of a semispherical crucible geometry combined with different convection modes as a thermocapillary convection, natural convection and forced convection, induced by crystal rotation, on melt flow pattern in silicon Czochralski crystal growth process, a set of numerical simulations are conducted using Fluent Software. We solve the system of equations of heat and momentum transfer in classical geometry as cylindrical and modified crystal growth process geometry as cylindro-spherical. In addition, we adopt hypothesis adapted to boundary conditions near the interface and calculations are executed to determine temperature, pressure and velocity fields versus Grashof and Reynolds numbers. The analysis of the obtained results led to conclude that there is advantage to modify geometry in comparison with the traditional one. The absence of the stagnation regions of fluid in the hemispherical crucible corner and the possibility to control the melt flow using the crystal rotation enhances the quality of the process comparatively to the cylindrical one. The pressure field is strongly related to the swirl velocity.

  16. An effective neodimium segregation coefficient in neodimium-doped yttrium-aluminum-garnet crystal growth by pulling method

    International Nuclear Information System (INIS)

    Shiroki, Ken-ichi; Kuwano, Yasuhiko

    1978-01-01

    Effective Nd segregation coefficient in the Nd:YAG (Nd-doped Y 3 Al 5 O 12 ) crystal growth by pulling method was determined precisely over 0 -- 1.3 atom% Nd concentration range at a 0.6 mm hr -1 growth rate. Two Nd:YAG crystals (-- 20 g) were grown from a large melt (-- 1 kg). Neodymium concentrations in the crystals and residual melts were estimated by fluorescent X-ray analysis, and a value of 0.21 was obtained as the effective segregation coefficient. Next, the optical absorption coefficient of Nd:YAG crystal at 5889 A absorption peak was measured in order to analyze a small specimen for Nd by optical absorption measurements. The optical absorption coefficient of 0.97 mm -1 .atom% -1 was determined in this way. The Nd concentrations, calculated by the segregation coefficient, agreed well with those obtained by optical absorption measurements at 5889 A for six successively grown Nd:YAG crystals. Therefore, the obtained segregation coefficient, 0.21, was confirmed as a reliable value for the Nd:YAG crystal growth by the pulling method. (auth.)

  17. Single crystalline growth of a soluble organic semiconductor in a parallel aligned liquid crystal solvent using rubbing-treated polyimide films

    Science.gov (United States)

    Matsuzaki, Tomoya; Shibata, Yosei; Takeda, Risa; Ishinabe, Takahiro; Fujikake, Hideo

    2017-01-01

    For directional control of organic single crystals, we propose a crystal growth method using liquid crystal as the solvent. In this study, we examined the formation of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) single crystals using a parallel aligned liquid crystal (LC) cell and rubbing-treated polyimide films in order to clarify the effects of LC alignment on anisotropic C8-BTBT crystal growth. Based on the results, we found that the crystal growth direction of C8-BTBT single crystals was related to the direction of the aligned LC molecules because of rubbing treatment. Moreover, by optical evaluation, we found that the C8-BTBT single crystals have a aligned molecular structure.

  18. New process for high optical quality InAs quantum dots grown on patterned GaAs(001) substrates

    NARCIS (Netherlands)

    Alonso-González, Pablo; González, Luisa; González, Yolanda; Fuster, David; Fernández-Martinez, Ivan; Martin-Sánchez, Javier; Abelmann, Leon

    2007-01-01

    This work presents a selective ultraviolet (UV)-ozone oxidation-chemical etching process that has been used, in combination with laser interference lithography (LIL), for the preparation of GaAs patterned substrates. Further molecular beam epitaxy (MBE) growth of InAs results in ordered InAs/GaAs

  19. In-situ transmission electron microscopy of the solid-phase epitaxial growth of GaAs: sample preparation and artifact characterization

    International Nuclear Information System (INIS)

    Llewellyn, D.J.; Llewellyn, D.J.; Belay, K.B.; Ridgway, M.C.

    1998-01-01

    In-situ transmission electron microscopy (TEM) has been used to characterize the solid phase epitaxial growth of amorphized GaAs at a temperature of 260 deg C. To maximize heat transfer from the heated holder to the sample and minimize electron-irradiation induced artifacts, non-conventional methodologies were utilized for the preparation of cross-sectional samples. GaAs 3x1 mm rectangular wafers were cleaved then glued face-to-face to form a wafer stack size of 3x3 mm while maintaining the TEM region at the center. This stack was subsequently polished on the cross-section to a thickness of ∼ 200 μm. A 3 mm disc was then cut perpendicular to the cross-section using a Gatan ultrasonic cutter. The disc was polished then dimpled on both sides to a thickness of ∼ 15 μm. This was ion-beam milled at liquid nitrogen temperature to an electron-transparent layer. From a comparison of in-situ and ex-situ measurements of the recrystallization rate, the actual sample temperature during in-situ characterization was estimated to deviate by ≤ 20 deg C from that of the heated holder. The influence of electron-irradiation was found to be negligible by comparing the recrystallization rate and microstructure of irradiated and unirradiated regions of comparable thickness. Similarly, the influence of the 'thin-foil effect' was found to be negligible by comparing the recrystallization rate and microstructure of thick and thin regions, the former determined after the removal of the sample from the microscope and further ion-beam milling of tens of microns of material. In conclusion, the potential influence of artifacts during in-situ TEM can be minimized by the appropriate choice of sample preparation procedures. (authors)

  20. Seeded growth of boron arsenide single crystals with high thermal conductivity

    Science.gov (United States)

    Tian, Fei; Song, Bai; Lv, Bing; Sun, Jingying; Huyan, Shuyuan; Wu, Qi; Mao, Jun; Ni, Yizhou; Ding, Zhiwei; Huberman, Samuel; Liu, Te-Huan; Chen, Gang; Chen, Shuo; Chu, Ching-Wu; Ren, Zhifeng

    2018-01-01

    Materials with high thermal conductivities are crucial to effectively cooling high-power-density electronic and optoelectronic devices. Recently, zinc-blende boron arsenide (BAs) has been predicted to have a very high thermal conductivity of over 2000 W m-1 K-1 at room temperature by first-principles calculations, rendering it a close competitor for diamond which holds the highest thermal conductivity among bulk materials. Experimental demonstration, however, has proved extremely challenging, especially in the preparation of large high quality single crystals. Although BAs crystals have been previously grown by chemical vapor transport (CVT), the growth process relies on spontaneous nucleation and results in small crystals with multiple grains and various defects. Here, we report a controllable CVT synthesis of large single BAs crystals (400-600 μm) by using carefully selected tiny BAs single crystals as seeds. We have obtained BAs single crystals with a thermal conductivity of 351 ± 21 W m-1 K-1 at room temperature, which is almost twice as conductive as previously reported BAs crystals. Further improvement along this direction is very likely.

  1. Development of GaAs Detectors for Physics at the LHC

    CERN Multimedia

    Chu, Zhonghua; Krais, R; Rente, C; Syben, O; Tenbusch, F; Toporowsky, M; Xiao, Wenjiang; Cavallini, A; Fiori, F; Edwards, M; Geppert, R; Goppert, R; Haberla, C; Hornung, M F; Irsigler, R; Rogalla, M; Beaumont, S; Raine, C; Skillicorn, I; Margelevicius, J; Meshkinis, S; Smetana, S; Jones, B; Santana, J; Sloan, T; Zdansky, K; Alexiev, D; Donnelly, I J; Canali, C; Chiossi, C; Nava, F; Pavan, P; Kubasta, J; Tomiak, Z; Tchmil, V; Tchountonov, A; Tsioupa, I; Dogru, M; Gray, R; Hou, Yuqian; Manolopoulos, S; Walsh, S; Aizenshtadt, G; Budnitsky, D L; Gossen, A; Khludkov, S; Koretskaya, O B; Okaevitch, L; Potapov, A; Stepanov, V E; Tolbanov, O; Tyagev, A; Matulionis, A; Pozela, J; Kavaliauskiene, G; Kazukauskas, V; Kiliulis, R; Rinkevicius, V; Slenys, S; Storasta, J V

    2002-01-01

    % RD-8 Development of GaAs Detectors for Physics at the LHC \\\\ \\\\The aims of the collaboration are to investigate the available material options, performance and limitations of simple pad, pixel and microstrip GaAs detectors for minimum ionising particles with radiation hardness and speed which are competitive with silicon detectors. This new technology was originally developed within our university laboratories but now benefits from increasing industrial interest and collaboration in detector fabrication. Initial steps have also been taken towards the fabrication of GaAs preamplifiers to match the detectors in radiation hardness. The programme of work aims to construct a demonstration detector module for an LHC forward tracker based on GaAs.

  2. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  3. Crystal growth and comparison of vibrational and thermal properties

    Indian Academy of Sciences (India)

    During the course of a literature survey of metal compounds containing both thiourea and urea ligands, the title paper by Gunasekaran et al [1] reporting on the growth of the so-called urea thiourea mercuric chloride (UTHC) and urea thiourea mercuric sulphate. (UTHS) crystals attracted our attention. For formulating these ...

  4. Virtual Crystallizer

    Energy Technology Data Exchange (ETDEWEB)

    Land, T A; Dylla-Spears, R; Thorsness, C B

    2006-08-29

    Large dihydrogen phosphate (KDP) crystals are grown in large crystallizers to provide raw material for the manufacture of optical components for large laser systems. It is a challenge to grow crystal with sufficient mass and geometric properties to allow large optical plates to be cut from them. In addition, KDP has long been the canonical solution crystal for study of growth processes. To assist in the production of the crystals and the understanding of crystal growth phenomena, analysis of growth habits of large KDP crystals has been studied, small scale kinetic experiments have been performed, mass transfer rates in model systems have been measured, and computational-fluid-mechanics tools have been used to develop an engineering model of the crystal growth process. The model has been tested by looking at its ability to simulate the growth of nine KDP boules that all weighed more than 200 kg.

  5. Synthesis, crystal growth, optical, thermal, and mechanical properties of a nonlinear optical single crystal: ammonium sulfate hydrogen sulphamate (ASHS)

    Science.gov (United States)

    Sudhakar, K.; Nandhini, S.; Muniyappan, S.; Arumanayagam, T.; Vivek, P.; Murugakoothan, P.

    2018-04-01

    Ammonium sulfate hydrogen sulphamate (ASHS), an inorganic nonlinear optical crystal, was grown from the aqueous solution by slow evaporation solution growth technique. The single-crystal XRD confirms that the grown single crystal belongs to the orthorhombic system with the space group of Pna21. Powder XRD confirms the crystalline nature and the diffraction planes were indexed. Crystalline perfection of grown crystal was analysed by high-resolution X-ray diffraction rocking curve technique. UV-Vis-NIR studies revealed that ASHS crystal has optical transparency 65% and lower cut-off wavelength at 218 nm. The violet light emission of the crystal was identified by photoluminescence studies. The particle size-dependent second-harmonic generation efficiency for ASHS crystal was evaluated by Kurtz-Perry powder technique using Nd:YAG laser which established the existence of phase matching. Surface laser damage threshold value was evaluated using Nd:YAG laser. Optical homogeneity of the crystal was evaluated using modified channel spectrum method through birefringence study. Thermal analysis reveals that ASHS crystal is stable up to 213 °C. The mechanical behaviour of the ASHS crystal was analysed using Vickers microhardness study.

  6. Impact of interaction range and curvature on crystal growth of particles confined to spherical surfaces

    NARCIS (Netherlands)

    Paquay, S.; Both, G.-J.; Van Der Schoot, P.P.A.M.

    2017-01-01

    When colloidal particles form a crystal phase on a spherical template, their packing is governed by the effective interaction between them and the elastic strain of bending the growing crystal. For example, if growth commences under appropriate conditions, and the isotropic crystal that forms

  7. Growth and characterization of β-Ga2O3 crystals

    Science.gov (United States)

    Nikolaev, V. I.; Maslov, V.; Stepanov, S. I.; Pechnikov, A. I.; Krymov, V.; Nikitina, I. P.; Guzilova, L. I.; Bougrov, V. E.; Romanov, A. E.

    2017-01-01

    Here we report on the growth and characterization of β-Ga2O3 bulk crystals and polycrystalline layer on different substrates. Bulk β-Ga2O3 crystals were produced by free crystallisation of gallium oxide melt in sapphire crucible. Transparent single crystals measuring up to 8 mm across were obtained. Good structural quality was confirmed by x-ray diffraction rocking curve FWHM values of 46″. Young's modulus, shear modulus and hardness of the β-Ga2O3 crystals were measured by nanoindentation and Vickers microindentation techniques. Polycrystalline β-Ga2O3 films were deposited on silicon and sapphire substrates by sublimation method. It was found that structure and morphology of the films were greatly influenced by the material and orientation of the substrates. The best results were achieved on a-plane sapphire substrates where predominantly (111) oriented films were obtained.

  8. Controllable Growth of Monolayer MoS2 and MoSe2 Crystals Using Three-temperature-zone Furnace

    Science.gov (United States)

    Zheng, Binjie; Chen, Yuanfu

    2017-12-01

    Monolayer molybdenum disulfide (MoS2) and molybdenum diselenide (MoSe2) have attracted a great attention for their exceptional electronic and optoelectronic properties among the two dimensional family. However, controllable synthesis of monolayer crystals with high quality needs to be improved urgently. Here we demonstrate a chemical vapor deposition (CVD) growth of monolayer MoS2 and MoSe2 crystals using three-temperature-zone furnace. Systematical study of the effects of growth pressure, temperature and time on the thickness, morphology and grain size of crystals shows the good controllability. The photoluminescence (PL) characterizations indicate that the as-grown monolayer MoS2 and MoSe2 crystals possess excellent optical qualities with very small full-width-half-maximum (FWHM) of 96 me V and 57 me V, respectively. It is comparable to that of exfoliated monolayers and reveals their high crystal quality. It is promising that our strategy should be applicable for the growth of other transition metal dichalcogenides (TMDs) monolayer crystals.

  9. Single-crystal growth of Group IVB and VB carbides by the floating-zone method

    International Nuclear Information System (INIS)

    Finch, C.B.; Chang, Y.K.; Abraham, M.M.

    1989-02-01

    The floating-zone method for the growth of Group IVB and VB carbides is described and reviewed. We have systematically investigated the technique and confirmed the growth of large single crystals of TiC/sub 0.95/, ZrC/sub 0.93/, ZrC/sub 0.98/, VC/sub 0.80/, NbC/sub 0.95/, TaC/sub 0.89/. Optimal growth conditions were in the 0.5-2.0 cm/h range under 8-12 atm helium. Good crystal growth results were achieved with hot-pressed starting rods of 90-95% density, using a ''double pancake'' induction coil and a 200-kHz/100- kW rf power supply. 36 refs., 5 figs., 3 tabs

  10. Solution growth of single crystal methylammonium lead halide perovskite nanostructures for optoelectronic and photovoltaic applications.

    Science.gov (United States)

    Fu, Yongping; Meng, Fei; Rowley, Matthew B; Thompson, Blaise J; Shearer, Melinda J; Ma, Dewei; Hamers, Robert J; Wright, John C; Jin, Song

    2015-05-06

    Understanding crystal growth and improving material quality is important for improving semiconductors for electronic, optoelectronic, and photovoltaic applications. Amidst the surging interest in solar cells based on hybrid organic-inorganic lead halide perovskites and the exciting progress in device performance, improved understanding and better control of the crystal growth of these perovskites could further boost their optoelectronic and photovoltaic performance. Here, we report new insights on the crystal growth of the perovskite materials, especially crystalline nanostructures. Specifically, single crystal nanowires, nanorods, and nanoplates of methylammonium lead halide perovskites (CH3NH3PbI3 and CH3NH3PbBr3) are successfully grown via a dissolution-recrystallization pathway in a solution synthesis from lead iodide (or lead acetate) films coated on substrates. These single crystal nanostructures display strong room-temperature photoluminescence and long carrier lifetime. We also report that a solid-liquid interfacial conversion reaction can create a highly crystalline, nanostructured MAPbI3 film with micrometer grain size and high surface coverage that enables photovoltaic devices with a power conversion efficiency of 10.6%. These results suggest that single-crystal perovskite nanostructures provide improved photophysical properties that are important for fundamental studies and future applications in nanoscale optoelectronic and photonic devices.

  11. Orientation selection process during the early stage of cubic dendrite growth: A phase-field crystal study

    International Nuclear Information System (INIS)

    Tang Sai; Wang Zhijun; Guo Yaolin; Wang Jincheng; Yu Yanmei; Zhou Yaohe

    2012-01-01

    Using the phase-field crystal model, we investigate the orientation selection of the cubic dendrite growth at the atomic scale. Our simulation results reproduce how a face-centered cubic (fcc) octahedral nucleus and a body-centered cubic (bcc) truncated-rhombic dodecahedral nucleus choose the preferred growth direction and then evolve into the dendrite pattern. The interface energy anisotropy inherent in the fcc crystal structure leads to the fastest growth velocity in the 〈1 0 0〉 directions. New { 1 1 1} atomic layers prefer to nucleate at positions near the tips of the fcc octahedron, which leads to the directed growth of the fcc dendrite tips in the 〈1 0 0〉 directions. A similar orientation selection process is also found during the early stage of bcc dendrite growth. The orientation selection regime obtained by phase-field crystal simulation is helpful for understanding the orientation selection processes of real dendrite growth.

  12. Acquisition of Single Crystal Growth and Characterization Equipment. Final report

    International Nuclear Information System (INIS)

    Maple, M. Brian; Zocco, Diego A.

    2008-01-01

    Final Report for DOE Grant No. DE-FG02-04ER46178 'Acquisition of Single Crystal Growth and Characterization Equipment'. There is growing concern in the condensed matter community that the need for quality crystal growth and materials preparation laboratories is not being met in the United States. It has been suggested that there are too many researchers performing measurements on too few materials. As a result, many user facilities are not being used optimally. The number of proficient crystal growers is too small. In addition, insufficient attention is being paid to the enterprise of finding new and interesting materials, which is the driving force behind much of condensed matter research and, ultimately, technology. While a detailed assessment of this situation is clearly needed, enough evidence of a problem already exists to compel a general consensus that the situation must be addressed promptly. This final report describes the work carried out during the last four years in our group, in which a state-of-the-art single crystal growth and characterization facility was established for the study of novel oxides and intermetallic compounds of rare earth, actinide and transition metal elements. Research emphasis is on the physics of superconducting (SC), magnetic, heavy fermion (HF), non-Fermi liquid (NFL) and other types of strongly correlated electron phenomena in bulk single crystals. Properties of these materials are being studied as a function of concentration of chemical constituents, temperature, pressure, and magnetic field, which provide information about the electronic, lattice, and magnetic excitations at the root of various strongly correlated electron phenomena. Most importantly, the facility makes possible the investigation of material properties that can only be achieved in high quality bulk single crystals, including magnetic and transport phenomena, studies of the effects of disorder, properties in the clean limit, and spectroscopic and scattering

  13. Homogeneous ZnO nanostructure arrays on GaAs substrates by two-step chemical bath synthesis

    International Nuclear Information System (INIS)

    Huang, Chun-Yuan; Wu, Tzung-Han; Cheng, Chiao-Yang; Su, Yan-Kuin

    2012-01-01

    ZnO nanostructures, including nanowires, nanorods, and nanoneedles, have been deposited on GaAs substrates by the two-step chemical bath synthesis. It was demonstrated that the O 2 -plasma treatment of GaAs substrates prior to the sol–gel deposition of seed layers was essential to conformally grow the nanostructures instead of 2D ZnO bunches and grains on the seed layers. Via adjusting the growth time and concentration of precursors, nanostructures with different average diameter (26–225 nm), length (0.98–2.29 μm), and density (1.9–15.3 × 10 9 cm −2 ) can be obtained. To the best of our knowledge, this is the first demonstration of ZnO nanostructure arrays grown on GaAs substrates by the two-step chemical bath synthesis. As an anti-reflection layer on GaAs-based solar cells, the array of ZnO nanoneedles with an average diameter of 125 nm, a moderate length of 2.29 μm, and the distribution density of 9.8 × 10 9 cm −2 has increased the power conversion efficiency from 7.3 to 12.2 %, corresponding to a 67 % improvement.

  14. Growth of tourmaline single crystals containing transition metal elements in hydrothermal solutions

    Science.gov (United States)

    Setkova, Tatiana; Shapovalov, Yury; Balitsky, Vladimir

    2011-03-01

    Interest in the growth of tourmaline single crystals is based on the promising piezoelectric and pyroelectric properties of this material compared to quartz crystals currently in use. Moreover, synthetic tourmaline can be used as a substitute for the natural stone in the jewelry industry similar to other synthetic analogues of gemstones. Single crystals of colored Co-, Ni-, Fe-, (Ni,Cr)-, (Ni,Fe)-, and (Co,Ni,Cr)-containing tourmalines with concentration of transition metal elements up to 16 wt% on a seed have been grown from complex boron-containing hydrothermal solutions at a range of temperatures 400-750 °C and pressures 100 MPa. Experiments were conducted under conditions of a thermal gradient in titanium and chromium-nickel autoclaves. Tourmaline growth on a seed crystal occurs only if separate tourmaline-forming components (monocrystalline corundum and quartz bars) are used as charge. All tourmalines specified above grow in analogous (+) direction of the optical axis with a speed of 0.05 mm/day by faces of the trigonal pyramid, except tourmalines containing chromium. They grow in analogous (+0001) direction with a speed 0.05 mm/day, and in antilogous (-0001) direction with a speed of 0.01 mm/day by faces of the trigonal pyramid and in prism direction with a speed of 0.001 mm/day. Along with the large single crystals, a great amount of finest (30-150 μm in size) tourmaline crystals was formed during the runs by spontaneous nucleation both on the surface of the seed crystals and in the charge.

  15. Direct growth of self-crystallized graphene and graphite nanoballs with Ni vapor-assisted growth: from controllable growth to material characterization.

    Science.gov (United States)

    Yen, Wen-Chun; Chen, Yu-Ze; Yeh, Chao-Hui; He, Jr-Hau; Chiu, Po-Wen; Chueh, Yu-Lun

    2014-05-09

    A directly self-crystallized graphene layer with transfer-free process on arbitrary insulator by Ni vapor-assisted growth at growth temperatures between 950 to 1100 °C via conventional chemical vapor deposition (CVD) system was developed and demonstrated. Domain sizes of graphene were confirmed by Raman spectra from ~12 nm at growth temperature of 1000 °C to ~32 nm at growth temperature of 1100 °C, respectively. Furthermore, the thickness of the graphene is controllable, depending on deposition time and growth temperature. By increasing growth pressure, the growth of graphite nano-balls was preferred rather than graphene growth. The detailed formation mechanisms of graphene and graphite nanoballs were proposed and investigated in detail. Optical and electrical properties of graphene layer were measured. The direct growth of the carbon-based materials with free of the transfer process provides a promising application at nanoelectronics.

  16. 35-kV GaAs subnanosecond photoconductive switches

    Science.gov (United States)

    Pocha, Michael D.; Druce, Robert L.

    1990-12-01

    High-voltage, fast-pulse generation using GaAs photoconductive switches is investigated. It is possible to to generate 35-kV pulses with risetimes as short as 135 ps using 5-mm gap switches, and electric field hold-off of greater than 100 kV/cm is achieved. An approximately 500-ps FWHM on/off electrical pulse is generated with an amplitude of approximately 3 kV using neutron-irradiated GaAs having short carrier lifetimes. Experimental results are described, and fabrication of switches and the diagnostics used to measure these fast signals are discussed. Experience with the nonlinear lock-on and avalanche modes of operation observed in GaAs is also described.

  17. Status of fully integrated GaAs particle detectors

    International Nuclear Information System (INIS)

    Braunschweig, W.; Breibach, J.; Kubicki, Th.; Luebelsmeyer, K.; Maesing, Th.; Rente, C.; Roeper, Ch.; Siemes, A.

    1999-01-01

    GaAs strip detectors are of interest because of their radiation hardness at room temperature and the high absorption coefficient of GaAs for x-rays. The detectors currently under development will be used in the VLQ-experiment at the H1 experiment at the HERA collider. This will be the first high energy physics experiment where GaAs detectors will be used. The detectors have a sensitive area of 5 x 4 cm with a pitch of 62 μ m. Due to the high density of channels the biasing resistors and coupling capacitors are integrated. For the resistors a resistive layer made of Cermet is used. The properties of the first fully integrated strip detector are presented

  18. Charge collection efficiency of GaAs detectors studied with low-energy heavy charged particles

    CERN Document Server

    Bates, R; Linhart, V; O'Shea, V; Pospísil, S; Raine, C; Smith, K; Sinor, M; Wilhelm, I

    1999-01-01

    Epitaxially grown GaAs layers have recently been produced with sufficient thickness and low enough free carrier concentration to permit their use as radiation detectors. Initial tests have shown that the epi-material behaves as a classical semiconductor as the depletion behaviour follows the square root dependency on the applied bias. This article presents the results of measurements of the growth of the active depletion depth with increasing bias using low-energy protons and alpha particles as probes for various depths and their comparison to values extrapolated from capacitance measurements. From the proton and alpha particle spectroscopic measurements, an active depth of detector material that collects 100% of the charge generated inside it was determined. The consistency of these results with independent capacitance measurements supports the idea that the GaAs epi-material behaves as a classical semiconductor. (author)

  19. Crystal growth kinetics in undercooled melts of pure Ge, Si and Ge-Si alloys

    Science.gov (United States)

    Herlach, Dieter M.; Simons, Daniel; Pichon, Pierre-Yves

    2018-01-01

    We report on measurements of crystal growth dynamics in semiconducting pure Ge and pure Si melts and in Ge100-xSix (x = 25, 50, 75) alloy melts as a function of undercooling. Electromagnetic levitation techniques are applied to undercool the samples in a containerless way. The growth velocity is measured by the utilization of a high-speed camera technique over an extended range of undercooling. Solidified samples are examined with respect to their microstructure by scanning electron microscopic investigations. We analyse the experimental results of crystal growth kinetics as a function of undercooling within the sharp interface theory developed by Peter Galenko. Transitions of the atomic attachment kinetics are found at large undercoolings, from faceted growth to dendrite growth. This article is part of the theme issue `From atomistic interfaces to dendritic patterns'.

  20. Atomic hydrogen cleaning of GaAs photocathodes

    International Nuclear Information System (INIS)

    Poelker, M.; Price, J.; Sinclair, C.

    1997-01-01

    It is well known that surface contaminants on semiconductors can be removed when samples are exposed to atomic hydrogen. Atomic H reacts with oxides and carbides on the surface, forming compounds that are liberated and subsequently pumped away. Experiments at Jefferson lab with bulk GaAs in a low-voltage ultra-high vacuum H cleaning chamber have resulted in the production of photocathodes with high photoelectron yield (i.e., quantum efficiency) and long lifetime. A small, portable H cleaning apparatus also has been constructed to successfully clean GaAs samples that are later removed from the vacuum apparatus, transported through air and installed in a high-voltage laser-driven spin-polarized electron source. These results indicate that this method is a versatile and robust alternative to conventional wet chemical etching procedures usually employed to clean bulk GaAs