WorldWideScience

Sample records for gaas atomic layer

  1. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    Science.gov (United States)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  2. Structural characterization of ZnTe grown by atomic-layer-deposition regime on GaAs and GaSb (100) oriented substrates

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, Roberto Saúl [Universidad Politécnica de Pachuca (Mexico); Díaz-Reyes, Joel; Peralta-Clara, María de la Cruz; Veloz-Rendón, Julieta Salomé, E-mail: joel_diaz_reyes@hotmail.com [Centro de Investigación en Biotecnología Aplicada, Instituto Politécnico Nacional, Tlaxcala, (Mexico); Galván-Arellano, Miguel [Centro de Investigación y de Estudios Avanzados, Instituto Politécnico Nacional (Mexico); Anda-Salazar, Francisco de [Instituto de Investigación en Comunicación Óptica, Universidad Autónoma de San Luis Potosí (Mexico); Contreras-Rascon, Jorge Indalecio [Departamento de Física, Universidad de Sonora (Mexico)

    2017-10-15

    This work presents the characterization of ZnTe nano layers grown on GaAs and GaSb (100) substrates by the Atomic Layer Deposition (ALD) regime. Under certain conditions, the alternating exposition of a substrate surface to the element vapours makes possible the growth of atomic layers in a reactor where the atmosphere is high-purity hydrogen. ZnTe was grown simultaneously on GaAs and GaSb at the same run, allowing, a comparison between the effects produced by the superficial processes due to the different used substrates, thereby eliminating possible unintended changes of growth parameters. Nano layers on GaSb maintained their shiny appearance even at temperatures near 420°C. It was found that for exposure times below 2.5 s there was not growth on GaAs, while for GaSb the shortest time was 1.5 s at 385°C. By HRXRD the peak corresponding to (004) diffraction plane of ZnTe was identified and investigated, the FWHM resulted very wide (600-800 arcsec) indicating a highly distorted lattice mainly due to mosaicity. Raman scattering shows the peak corresponding to LO-ZnTe, which is weak and slightly shifted in comparison with the reported for the bulk ZnTe at 210 cm{sup -1}. Additionally, the measurements suggest that the crystalline quality have a dependence with the growth temperature. (author)

  3. Structural and electronic properties of isovalent boron atoms in GaAs

    Science.gov (United States)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  4. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    Science.gov (United States)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  5. Photo-irradiation effects on GaAs atomic layer epitaxial growth. GaAs no genshiso epitaxial seicho ni okeru hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y.; Sasaki, M.; Ishikawa, H. (Toshiba Corp., Kawasaki (Japan). Research and Development Center)

    1990-08-10

    Single atomic layer epitaxy (ALE) aims at controlling a growing film at a precision of single molecular layer. In this article, it is reported that the growth temperature range of ALE was expanded by the vertical irradiation of KrF exima laser (248 nm) onto the substrate for the ALE growth of GaAs using the metalorganic chemical vapor deposition (MOCVD) method. Thanks for the results of the above experiment, it was demonstrated that the irradiation effect was not thermal, but photochemical. In addition, this article studies the possibility of adsorption layer irradiation and surface irradiation as the photo-irradiation mechanism, and points out that coexistence of both irradiation mechanisms can be considered and, in case of exima laser, strong possibility of direct irradiation of the adsorption layer because of its high power density. Hereinafter, by using both optical growth ALE and thermal growth ALE jointly, the degree of freedom of combination of hetero ALE increases and its application to various material systems becomes possible. 16 refs., 6 figs.

  6. Single-Crystal Y2O3 Epitaxially on GaAs(001 and (111 Using Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Y. H. Lin

    2015-10-01

    Full Text Available Single-crystal atomic-layer-deposited (ALD Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films 2 nm thick were epitaxially grown on molecular beam epitaxy (MBE GaAs(001-4 \\(\\times\\ 6 and GaAs(111A-2 \\(\\times\\ 2 reconstructed surfaces. The in-plane epitaxy between the ALD-oxide films and GaAs was observed using \\textit{in-situ} reflection high-energy electron diffraction in our uniquely designed MBE/ALD multi-chamber system. More detailed studies on the crystallography of the hetero-structures were carried out using high-resolution synchrotron radiation X-ray diffraction. When deposited on GaAs(001, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are of a cubic phase and have (110 as the film normal, with the orientation relationship being determined: Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(110\\[\\(001\\][\\(\\overline{1}10\\]//GaAs(\\(001\\[\\(110\\][\\(1\\overline{1}0\\]. On GaAs(\\(111\\A, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are also of a cubic phase with (\\(111\\ as the film normal, having the orientation relationship of Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(111\\[\\(2\\overline{1}\\overline{1}\\] [\\(01\\overline{1}\\]//GaAs (\\(111\\ [\\(\\overline{2}11\\][\\(0\\overline{1}1\\]. The relevant orientation for the present/future integrated circuit platform is (\\(001\\. The ALD-Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\/GaAs(\\(001\\-4 \\(\\times\\ 6 has shown excellent electrical properties. These include small frequency dispersion in the capacitance-voltage CV curves at accumulation of ~7% and ~14% for the respective p- and n-type samples with the measured frequencies of 1 MHz to 100 Hz. The interfacial trap density (Dit is low of ~10\\(^{12}\\ cm\\(^{−2}\\eV\\(^{−1}\\ as extracted from measured quasi-static CVs. The frequency dispersion at accumulation and the D\\(_{it}\\ are the lowest ever achieved among all the ALD-oxides on GaAs(\\(001\\.

  7. Influence of a Thiolate Chemical Layer on GaAs (100 Biofunctionalization: An Original Approach Coupling Atomic Force Microscopy and Mass Spectrometry Methods

    Directory of Open Access Journals (Sweden)

    Alex Bienaime

    2013-10-01

    Full Text Available Widely used in microelectronics and optoelectronics; Gallium Arsenide (GaAs is a III-V crystal with several interesting properties for microsystem and biosensor applications. Among these; its piezoelectric properties and the ability to directly biofunctionalize the bare surface, offer an opportunity to combine a highly sensitive transducer with a specific bio-interface; which are the two essential parts of a biosensor. To optimize the biorecognition part; it is necessary to control protein coverage and the binding affinity of the protein layer on the GaAs surface. In this paper; we investigate the potential of a specific chemical interface composed of thiolate molecules with different chain lengths; possessing hydroxyl (MUDO; for 11-mercapto-1-undecanol (HS(CH211OH or carboxyl (MHDA; for mercaptohexadecanoic acid (HS(CH215CO2H end groups; to reconstitute a dense and homogeneous albumin (Rat Serum Albumin; RSA protein layer on the GaAs (100 surface. The protein monolayer formation and the covalent binding existing between RSA proteins and carboxyl end groups were characterized by atomic force microscopy (AFM analysis. Characterization in terms of topography; protein layer thickness and stability lead us to propose the 10% MHDA/MUDO interface as the optimal chemical layer to efficiently graft proteins. This analysis was coupled with in situ MALDI-TOF mass spectrometry measurements; which proved the presence of a dense and uniform grafted protein layer on the 10% MHDA/MUDO interface. We show in this study that a critical number of carboxylic docking sites (10% is required to obtain homogeneous and dense protein coverage on GaAs. Such a protein bio-interface is of fundamental importance to ensure a highly specific and sensitive biosensor.

  8. Interface analysis of Ge ultra thin layers intercalated between GaAs substrates and oxide stacks

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Lamagna, Luca; Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (MI) (Italy); Dipartimento di Scienza dei Materiali, Universita di Milano Bicocca, Milano (Italy); Brammertz, Guy; Meuris, Marc [IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium)

    2010-01-01

    Capping III-V compound surfaces with Ge ultra-thin layer might be a viable pathway to passivate the electrically active interface traps which usually jeopardize the integration of III-V materials in metal-oxide-semiconductor devices. As the physical nature of such traps is intrinsically related to the chemical details of the interface composition, the structural and compositional features of the Ge/GaAs interface were thoroughly investigated in two different configurations, the atomic layer deposition of La-doped ZrO{sub 2} films on Ge-capped GaAs and the ultra-high vacuum based molecular beam deposition of GeO{sub 2}/Ge double stack on in situ prepared GaAs. In the former case, the intercalation of a Ge interface layer is shown to suppress the concentration of interface Ga-O, As-O and elemental As bonding which were significantly detected in case of the direct oxide deposition on GaAs. In the latter case, the incidence of two different in situ surface preparations, the Ar sputtering and the atomic H cleaning, on the interface composition is elucidated and the beneficial role played by the atomic H exposure in reducing the semiconductor-oxygen bonds at the interface level is demonstrated.

  9. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  10. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al2O3 gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    Directory of Open Access Journals (Sweden)

    Takeshi Aoki

    2015-08-01

    Full Text Available This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS structures comprising a Al2O3 gate oxide, deposited via atomic layer deposition (ALD, with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD. The established protocol afforded self-limiting growth of Al2O3 in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al2O3 layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resulting MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (Dit near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce Dit to below 2 × 1012 cm−2 eV−1. Using a (111A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.

  11. Atomic hydrogen cleaning of GaAs photocathodes

    International Nuclear Information System (INIS)

    Poelker, M.; Price, J.; Sinclair, C.

    1997-01-01

    It is well known that surface contaminants on semiconductors can be removed when samples are exposed to atomic hydrogen. Atomic H reacts with oxides and carbides on the surface, forming compounds that are liberated and subsequently pumped away. Experiments at Jefferson lab with bulk GaAs in a low-voltage ultra-high vacuum H cleaning chamber have resulted in the production of photocathodes with high photoelectron yield (i.e., quantum efficiency) and long lifetime. A small, portable H cleaning apparatus also has been constructed to successfully clean GaAs samples that are later removed from the vacuum apparatus, transported through air and installed in a high-voltage laser-driven spin-polarized electron source. These results indicate that this method is a versatile and robust alternative to conventional wet chemical etching procedures usually employed to clean bulk GaAs

  12. MBE growth and characterization of GaAs1-x Sb x epitaxial layers on Si (0 0 1) substrates

    International Nuclear Information System (INIS)

    Toda, T.; Nishino, F.; Kato, A.; Kambayashi, T.; Jinbo, Y.; Uchitomi, N.

    2006-01-01

    We investigated the growth of GaAs 1- x Sb x (x=1.0, 0.82, 0.69, 0.44, 0.0) layers on Si (0 0 1) substrates using AlSb as a buffer layer. Epilayers were grown as a function of As beam equivalent pressure (BEP) under a constant Sb BEP, and they were then characterized by atomic force microscopy (AFM), X-ray diffraction (XRD), and micro-Raman scattering analysis. We confirmed that GaAs 1- x Sb x layers have been successfully grown on Si substrates by introducing AlSb layers

  13. Atomic-scale structure of self-assembled In(Ga)As quantum rings in GaAs

    NARCIS (Netherlands)

    Offermans, P.; Koenraad, P.M.; Wolter, J.H.; Granados, D.; Garcia, J.M.; Fomin, V.; Gladilin, V.N.; Devreese, J.T.

    2005-01-01

    We present an atomic-scale analysis of the indium distribution of self-assembled In(Ga)As quantum rings (QRs) which are formed from InAs quantum dots by capping with a thin layer of GaAs and subsequent annealing. We find that the size and shape of QRs as observed by cross-sectional scanning

  14. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  15. Influence of implantation conditions of He+ ions on the structure of a damaged layer in GaAs(001)

    International Nuclear Information System (INIS)

    Shcherbachev, Kirill; Bailey, Melanie J.

    2011-01-01

    An investigation into the influence of implantation conditions (dose, energy, and target temperature) of He + ions on the damage structure of GaAs (100) substrates was performed by HRXRD, scanning electron microscopy, and Nomarski microscopy. Blistering is shown to become apparent as characteristic features of isolines in RSMs. We propose that the formation of the defects yielding a characteristic XRDS is defined by the behavior of implanted atoms in the GaAs matrix, depending on two competing processes: (1) formation of the gas-filled bubbles; (2) diffusion of the He atoms from the bubbles toward the surface and deep into the GaAs substrate. We conclude that the gas-filled bubbles change the structure of the irradiated layer, resulting in the formation of strained crystalline areas of the GaAs matrix. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Creation of oxygen-enriched layers at the surface of GaAs single crystal

    International Nuclear Information System (INIS)

    Kulik, M.; Maczka, D.; Kobzev, A.P.

    1999-01-01

    The optical properties and the element depth profiles at the (100) plane high resistant and noncomposite GaAs single crystals implanted with In ions were investigated. The results have been compared with those obtained for virgin samples. The optic properties for all of the samples (implanted and not implanted, annealed and not annealed) have been measured using the ellipsometric method. The element depth profiles for the same samples have been obtained by the RBS and NRA techniques. It has been shown that the post-implantation annealing at a temperature more than 600 deg C leads to a ten time increase in contents of oxygen atoms in the implanted layer with respect to the not annealed sample. The thickness of the transparence layer at the surface of GaAs single crystal increases also after implantation with In ions and subsequent annealing

  17. High Ms Fe16N2 thin film with Ag under layer on GaAs substrate

    Energy Technology Data Exchange (ETDEWEB)

    Allard Jr, Lawrence Frederick [ORNL

    2016-01-01

    (001) textured Fe16N2 thin film with Ag under layer is successfully grown on GaAs substrate using a facing target sputtering (FTS) system. After post annealing, chemically ordered Fe16N2 phase is formed and detected by X-ray diffraction (XRD). High saturation magnetization (Ms) is measured by a vibrating sample magnetometer (VSM). In comparison with Fe16N2 with Ag under layer on MgO substrate and Fe16N2 with Fe under layer on GaAs substrate, the current layer structure shows a higher Ms value, with a magnetically softer feature in contrast to the above cases. In addition, X-ray photoelectron spectroscopy (XPS) is performed to characterize the binding energy of N atoms. To verify the role of strain that the FeN layer experiences in the above three structures, Grazing Incidence X-ray Diffraction (GIXRD) is conducted to reveal a large in-plane lattice constant due to the in-plane biaxial tensile strain. INTRODUCTION

  18. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  19. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  20. Structure and homoepitaxial growth of GaAs(6 3 1)

    International Nuclear Information System (INIS)

    Mendez-Garcia, V.H.; Ramirez-Arenas, F.J.; Lastras-Martinez, A.; Cruz-Hernandez, E.; Pulzara-Mora, A.; Rojas-Ramirez, J.S.; Lopez-Lopez, M.

    2006-01-01

    We have studied the surface atomic structure of GaAs(6 3 1), and the GaAs growth by molecular beam epitaxy (MBE) on this plane. After the oxide desorption process at 585 deg. Creflection high-energy electron diffraction (RHEED) showed along the [-1 2 0] direction a 2x surface reconstruction for GaAs(6 3 1)A, and a 1x pattern was observed for GaAs(6 3 1)B. By annealing the substrates for 60 min, we observed that on the A surface appeared small hilly-like features, while on GaAs(6 3 1)B surface pits were formed. For GaAs(6 3 1)A, 500 nm-thick GaAs layers were grown at 585 deg. C. The atomic force microscopy (AFM) images at the end of growth showed the self-formation of nanoscale structures with a pyramidal shape enlarged along the [5-9-3] direction. Transversal views of the bulk-truncated GaAs(6 3 1) surface model showed arrays of atomic grooves along this direction, which could influence the formation of the pyramidal structures

  1. Microhardness of epitaxial layers of GaAs doped with rare earths

    International Nuclear Information System (INIS)

    Kulish, U.M.; Gamidov, Z.S.; Kuznetsova, I.Yu.; Petkeeva, L.N.; Borlikova, G.V.

    1989-01-01

    Results of the study of microhardness of GaAS layer doped by certain rare earths - Gd, Tb, Dy - are presented. The assumption is made that the higher is the value of the first potential of rare earth impurity ionization (i.e. the higher is the filling of 4f-shell), the lower is the effect of the element on electric and mechanical properties of GaAs epitaxial layers

  2. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  3. Structural and optical properties of vapor-etched porous GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Smida, A.; Laatar, F. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Hassen, M., E-mail: mhdhassen@yahoo.fr [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Applied Science and Technology of Sousse, City Taffala (Ibn Khaldun), 4003 Sousse (Tunisia); Ezzaouia, H. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2016-08-15

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO{sub 3} as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  4. Structural and optical properties of vapor-etched porous GaAs

    International Nuclear Information System (INIS)

    Smida, A.; Laatar, F.; Hassen, M.; Ezzaouia, H.

    2016-01-01

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO 3 as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  5. Superconducting NbN single-photon detectors on GaAs with an AlN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Ekkehart; Merker, Michael; Ilin, Konstantin; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie, Hertzstrasse 16, 76187 Karlsruhe (Germany)

    2015-07-01

    GaAs is the material of choice for photonic integrated circuits. It allows the monolithic integration of single-photon sources like quantum dots, waveguide based optical circuits and detectors like superconducting nanowire single-photon detectors (SNSPDs) onto one chip. The growth of high quality NbN films on GaAs is challenging, due to natural occurring surface oxides and the large lattice mismatch of about 27%. In this work, we try to overcome these problems by the introduction of a 10 nm AlN buffer layer. Due to the buffer layer, the critical temperature of 6 nm thick NbN films was increased by about 1.5 K. Furthermore, the critical current density at 4.2 K of NbN flim deposited onto GaAs with AlN buffer is 50% higher than of NbN film deposited directly onto GaAs substrate. We successfully fabricated NbN SNSPDs on GaAs with a AlN buffer layer. SNSPDs were patterned using electron-beam lithography and reactive-ion etching techniques. Results on the study of detection efficiency and jitter of a NbN SNSPD on GaAs, with and without AlN buffer layer will be presented and discussed.

  6. Manipulation and analysis of a single dopant atom in GaAs

    NARCIS (Netherlands)

    Wijnheijmer, A.P.

    2011-01-01

    This thesis focuses on the manipulation and analysis of single dopant atoms in GaAs by scanning tunneling microscopy (STM) and spectroscopy (STS) at low temperatures. The observation of ionization rings is one of the key results, showing that we can control the charge state of a single dopant atom

  7. Study on the GaAs(110) surface using emitted atom spectrometry

    International Nuclear Information System (INIS)

    Gayone, J.E.; Sanchez, E.A.; Grizzi, O.; Universidad Nacional de Cuyo, Mendoza

    1998-01-01

    The facilities implemented at Bariloche for the ion scattering spectrometry is described, and recent examples of the technique application to determine the atomic structure and the composition of metallic and semiconductor surfaces, pure and with different adsorbates. The surface analysis technique using emitted atom spectrometry is discussed. The sensitivity to the GaAs(110) surface atomic relaxation is presented, and the kinetic of hydrogen adsorption by the mentioned surface is studied

  8. Atomic structures of a monolayer of AlAs, GaAs, and InAs on Si(111)

    International Nuclear Information System (INIS)

    Lee, Geunjung; Yoon, Younggui

    2010-01-01

    We study atomic structures of a monolayer of AlAs, GaAs, and InAs on a Si(111) substrate from first-principles. The surface with the stacking sequence of ...SiSiMAsSiAs is energetically more stable than the surface with the stacking sequence of ...SiSiSiAsMAs, where M is Al, Ga, or In. The atomic structure of the three top layers of the low-energy surfaces are quite robust, irrespective of M, and the atomic structure of the AlAsSiAs terminated surface and that of the GaAsSiAs terminated surface are very similar. For the high-energy AsMAs terminated surfaces, the broken local tetrahedral symmetry plays an important role in the atomic structures. The calculated atomic structures of InAs on the Si(111) substrate depart most from the structure of crystalline Si.

  9. Lattice location of diffused Zn atoms in GaAs and InP single crystals

    International Nuclear Information System (INIS)

    Chan, L.Y.; Yu, K.M.; Ben-Tzur, M.; Haller, E.E.; Jaklevic, J.M.; Walukiewicz, W.; Hanson, C.M.

    1991-01-01

    We have investigated the saturation phenomenon of the free carrier concentration in p-type GaAs and InP single crystals doped by zinc diffusion. The free hole saturation occurs at 10 20 cm -3 for GaAs, but the maximum concentration for InP appears at mid 10 18 cm -3 . The difference in the saturation hole concentrations for these materials is investigated by studying the incorporation and the lattice location of the impurity zinc, an acceptor when located on a group III atom site. Zinc is diffused into the III-V wafers in a sealed quartz ampoule. Particle-induced x-ray emission with ion-channeling techniques are employed to determine the exact lattice location of the zinc atoms. We have found that over 90% of all zinc atoms occupy Ga sites in the diffused GaAs samples, while for the InP case, the zinc substitutionality is dependent on the cooling rate of the sample after high-temperature diffusion. For the slowly cooled sample, a large fraction (∼90%) of the zinc atoms form random precipitates of Zn 3 P 2 and elemental Zn. However, when rapidly cooled only 60% of the zinc forms such precipitates while the rest occupies specific sites in the InP. We analyze our results in terms of the amphoteric native defect model. We show that the difference in the electrical activity of the Zn atoms in GaAs and InP is a consequence of the different location of the Fermi level stabilization energy in these two materials

  10. Dynamic grazing incidence fast atom diffraction during molecular beam epitaxial growth of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Atkinson, P., E-mail: atkinson@insp.jussieu.fr; Eddrief, M. [Sorbonne Universités, UPMC Univ. Paris 06, UMR 7588, INSP, F-75005 Paris (France); CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); Etgens, V. H. [CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); VeDeCom-Université Versailles Saint-Quentin en Yvelines, Versailles (France); Khemliche, H., E-mail: hocine.khemliche@u-psud.fr; Debiossac, M.; Mulier, M.; Lalmi, B.; Roncin, P. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Momeni, A. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Univ. Cergy Pontoise, F-95031 Cergy (France)

    2014-07-14

    A Grazing Incidence Fast Atom Diffraction (GIFAD) system has been mounted on a commercial molecular beam epitaxy chamber and used to monitor GaAs growth in real-time. In contrast to the conventionally used Reflection High Energy Electron Diffraction, all the GIFAD diffraction orders oscillate in phase, with the change in intensity related to diffuse scattering at step edges. We show that the scattered intensity integrated over the Laue circle is a robust method to monitor the periodic change in surface roughness during layer-by-layer growth, with oscillation phase and amplitude independent of incidence angle and crystal orientation. When there is a change in surface reconstruction at the start of growth, GIFAD intensity oscillations show that there is a corresponding delay in the onset of layer-by-layer growth. In addition, changes in the relative intensity of different diffraction orders have been observed during growth showing that GIFAD has the potential to provide insight into the preferential adatom attachment sites on the surface reconstruction during growth.

  11. Attractive interaction between Mn atoms on the GaAs(110) surface observed by scanning tunneling microscopy.

    Science.gov (United States)

    Taninaka, Atsushi; Yoshida, Shoji; Kanazawa, Ken; Hayaki, Eiko; Takeuchi, Osamu; Shigekawa, Hidemi

    2016-06-16

    Scanning tunneling microscopy/spectroscopy (STM/STS) was carried out to investigate the structures of Mn atoms deposited on a GaAs(110) surface at room temperature to directly observe the characteristics of interactions between Mn atoms in GaAs. Mn atoms were paired with a probability higher than the random distribution, indicating an attractive interaction between them. In fact, re-pairing of unpaired Mn atoms was observed during STS measurement. The pair initially had a new structure, which was transformed during STS measurement into one of those formed by atom manipulation at 4 K. Mn atoms in pairs and trimers were aligned in the direction, which is theoretically predicted to produce a high Curie temperature.

  12. Formation, atomic structure, and electronic properties of GaSb quantum dots in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Timm, R.

    2007-12-14

    In this work, cross-sectional scanning tunneling microscopy and spectroscopy are used for the first time to study the shape, size, strain, chemical composition, and electronic properties of capped GaSb/GaAs QDs at the atomic scale. By evaluating such structural results on a variety of nanostructures built using different epitaxy methods and growth conditions, details on the underlying QD formation processes can be revealed. A cross-over from flat quantum wells (QWs) to optically active QDs can be observed in samples grown by metalorganic chemical vapor deposition (MOCVD) with increasing amount of GaSb, including self-assembled Sb accumulations within a still two-dimensional layer and tiny three-dimensional GaSb islands probably acting as precursor structures. The QWs consist of significantly intermixed material with stoichiometries of maximally 50% GaSb, additionally exhibiting small gaps filled with GaAs. A higher GaSb content up to nearly pure material is found in the QDs, being characterized by small sizes of up to 8 nm baselength and about 2 nm height. In spite of the intermixing, all nanostructures have rather abrupt interfaces, and no significant Sb segregation in growth direction is observed. This changes completely when molecular beam epitaxy (MBE) is used as growth method, in which case individual Sb atoms are found to be distributed over several nm above the nanostructures. Massive group-V atomic exchange processes are causing this strong inter-mixing and Sb segregation during GaAs overgrowth. In combination with the large strain inherent to GaSb/GaAs QDs, this segregation upon overgrowth is assumed to be the reason for a unique structural phenomenon: All MBE-grown QDs, independent of the amount of deposited GaSb, exhibit a ring structure, consisting of a ring body of high GaSb content and a more or less extended central gap filled with GaAs. These rings have formed in a self-assembled way even when the initial GaSb layer was overgrown considerably fast

  13. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko; Haggren, Tuomas; Lipsanen, Harri [Department of Micro- and Nanosciences, Micronova, Aalto University, P.O. Box 13500, FI-00076 (Finland); Naureen, Shagufta; Shahid, Naeem [Research School of Physics & Engineering, Department of Electronic Materials Engineering, Australian National University, Canberra ACT 2601 (Australia); Jiang, Hua; Kauppinen, Esko [Department of Applied Physics and Nanomicroscopy Center, Aalto University, P.O. Box 15100, FI-00076 (Finland); Srinivasan, Anand [School of Information and Communication Technology, KTH Royal Institute of Technology, Electrum 229, S-164 40 Kista (Sweden)

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  14. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Veer Dhaka

    2016-01-01

    Full Text Available Low temperature (∼200 °C grown atomic layer deposition (ALD films of AlN, TiN, Al2O3, GaN, and TiO2 were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP nanowires (NWs, and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL at low temperatures (15K, and the best passivation was achieved with a few monolayer thick (2Å film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL was achieved with a capping of 2nm thick Al2O3. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al2O3 layer increased the carrier decay time from 251 ps (as-etched nanopillars to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al2O3 provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  15. Characterization of epitaxial GaAs MOS capacitors using atomic layer-deposited TiO2/Al2O3 gate stack: study of Ge auto-doping and p-type Zn doping.

    Science.gov (United States)

    Dalapati, Goutam Kumar; Shun Wong, Terence Kin; Li, Yang; Chia, Ching Kean; Das, Anindita; Mahata, Chandreswar; Gao, Han; Chattopadhyay, Sanatan; Kumar, Manippady Krishna; Seng, Hwee Leng; Maiti, Chinmay Kumar; Chi, Dong Zhi

    2012-02-02

    Electrical and physical properties of a metal-oxide-semiconductor [MOS] structure using atomic layer-deposited high-k dielectrics (TiO2/Al2O3) and epitaxial GaAs [epi-GaAs] grown on Ge(100) substrates have been investigated. The epi-GaAs, either undoped or Zn-doped, was grown using metal-organic chemical vapor deposition method at 620°C to 650°C. The diffusion of Ge atoms into epi-GaAs resulted in auto-doping, and therefore, an n-MOS behavior was observed for undoped and Zn-doped epi-GaAs with the doping concentration up to approximately 1017 cm-3. This is attributed to the diffusion of a significant amount of Ge atoms from the Ge substrate as confirmed by the simulation using SILVACO software and also from the secondary ion mass spectrometry analyses. The Zn-doped epi-GaAs with a doping concentration of approximately 1018 cm-3 converts the epi-GaAs layer into p-type since the Zn doping is relatively higher than the out-diffused Ge concentration. The capacitance-voltage characteristics show similar frequency dispersion and leakage current for n-type and p-type epi-GaAs layers with very low hysteresis voltage (approximately 10 mV).PACS: 81.15.Gh.

  16. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    Science.gov (United States)

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  17. Atomic-scale structure of irradiated GaN compared to amorphised GaP and GaAs

    International Nuclear Information System (INIS)

    Ridgway, M.C.; Everett, S.E.; Glover, C.J.; Kluth, S.M.; Kluth, P.; Johannessen, B.; Hussain, Z.S.; Llewellyn, D.J.; Foran, G.J.; Azevedo, G. de M.

    2006-01-01

    We have compared the atomic-scale structure of ion irradiated GaN to that of amorphised GaP and GaAs. While continuous and homogenous amorphised layers were easily achieved in GaP and GaAs, ion irradiation of GaN yielded both structural and chemical inhomogeneities. Transmission electron microscopy revealed GaN crystallites and N 2 bubbles were interspersed within an amorphous GaN matrix. The crystallite orientation was random relative to the unirradiated epitaxial structure, suggesting their formation was irradiation-induced, while the crystallite fraction was approximately constant for all ion fluences beyond the amorphisation threshold, consistent with a balance between amorphisation and recrystallisation processes. Extended X-ray absorption fine structure measurements at the Ga K-edge showed short-range order was retained in the amorphous phase for all three binary compounds. For ion irradiated GaN, the stoichiometric imbalance due to N 2 bubble formation was not accommodated by Ga-Ga bonding in the amorphous phase or precipitation of metallic Ga but instead by a greater reduction in Ga coordination number

  18. GaAs droplet quantum dots with nanometer-thin capping layer for plasmonic applications

    Science.gov (United States)

    In Park, Suk; Trojak, Oliver Joe; Lee, Eunhye; Song, Jin Dong; Kyhm, Jihoon; Han, Ilki; Kim, Jongsu; Yi, Gyu-Chul; Sapienza, Luca

    2018-05-01

    We report on the growth and optical characterization of droplet GaAs quantum dots (QDs) with extremely-thin (11 nm) capping layers. To achieve such result, an internal thermal heating step is introduced during the growth and its role in the morphological properties of the QDs obtained is investigated via scanning electron and atomic force microscopy. Photoluminescence measurements at cryogenic temperatures show optically stable, sharp and bright emission from single QDs, at visible wavelengths. Given the quality of their optical properties and the proximity to the surface, such emitters are good candidates for the investigation of near field effects, like the coupling to plasmonic modes, in order to strongly control the directionality of the emission and/or the spontaneous emission rate, crucial parameters for quantum photonic applications.

  19. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    International Nuclear Information System (INIS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-01-01

    Molecular-Beam Epitaxy growth of multiple In 0.4 Ga 0.6 As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4 Ga 0.6 As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4 Ga 0.6 As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  20. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  1. Direct Growth of High-Quality InP Layers on GaAs Substrates by MOCVD

    Directory of Open Access Journals (Sweden)

    K. F. Yarn

    2003-01-01

    group V partial pressure, growth rate and V/III ratios. A mirror-like, uniform surface and high crystal quality of the metamorphic buffer layer directly grown on a GaAs substrate can be achieved. Finally, to investigate the performance of the metamorphic microwave devices, we also fabricate the InAlAs/InGaAs metamorphic HEMT on GaAs substrates.

  2. Laser-excited photoluminescence of three-layer GaAs double-heterostructure laser material

    International Nuclear Information System (INIS)

    Nash, F.R.; Dixon, R.W.; Barnes, P.A.; Schumaker, N.E.

    1975-01-01

    The successful fabrication of high-quality DH GaAs lasers from a simplified three-layer structure is reported. A major asset of this structure is the transparency of its final layer to recombination radiation occurring in the active layer, thus permitting the use of nondestructive photoluminescent techniques for material evaluation prior to device fabrication. In the course of photoluminescence investigations on this material the additional important observation has been made that indirect excitation (in which photocarriers are generated in the top ternary layer) has significant advantages over direct excitation (in which photocarriers are generated directly in the active layer). These include (i) the direct measurement of Al concentrations in both upper layers, (ii) the measurements of the minority-carrier diffusion length in the upper layer, (iii) an easily obtained indication of taper in the thickness of the upper layer, and (iv) surprisingly effective excitation of the active layer. By combining direct and indirect excitation it is shown that a clearer understanding of the location and detrimental influences of defects in the GaAs laser structure may be obtained. For example, the width of the region of reduced luminescence associated with many defects is found to be very excitation dependent and is confirmed to arise fr []m reduced active region luminescence. The photoluminescent excitation techniques described should be useful in the study of other heterostructure devices and material systems

  3. Modeling of altered layer formation during reactive ion etching of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Mutzke, A. [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Rai, A., E-mail: Abha.Rai@ipp.mpg.de [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Schneider, R.; Angelin, E.J.; Hippler, R. [Institute of Physics, Ernst-Moritz-Arndt-University Greifswald, Felix-Hausdorff-Str.6, D-17489 Greifswald (Germany)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Experimental result showing the preferential sputtering of GaAs (150 keV Ar{sup +} and thermal O on GaAs) during reactive ion beam etching (RIBE) has been reported. Black-Right-Pointing-Pointer A model based on binary collisions (SDTrimSP) is presented to simulate RIBE. Black-Right-Pointing-Pointer The model is used to explain the reported experimental data and also the results by Grigonis and co-workers [1]. - Abstract: The binary collision based SDTrimSP model has been used to simulate the reactive ion beam etching (RIBE) of GaAs in the presence of energetic Ar ions and thermal O atoms. It includes the collisional effects, diffusive processes and chemical reactions taking place in the system. The model parameters are fitted using the experimental observations of Grigonis and co-workers [1] and validated with the experimental results obtained during the GaAs ion etching presented in this paper. A detailed analysis is presented to understand the effect of the diffusive processes and the role of O during RIBE of GaAs. It is shown how the presence of damage caused by the energetic Ar coupled with the presence of thermal O opens up chemical reaction channels which eventually leads to the preferential sputtering of Ga observed at the ion etching facility at University of Greifswald.

  4. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    Science.gov (United States)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  5. Nano-scale patterning on sulfur terminated GaAs (0 0 1) surface by scanning tunneling microscope

    International Nuclear Information System (INIS)

    Yagishita, Yuki; Toda, Yusuke; Hirai, Masakazu; Fujishiro, Hiroki Inomata

    2004-01-01

    We perform nano-scale patterning on a sulfur (S) terminated GaAs (0 0 1) surface by a scanning tunneling microscope (STM) in ultra-high vacuum (UHV). A multi-layer of S deposited by using (NH 4 ) 2 S x solution is changed to a mono-layer after annealing at 560 deg. C for 15 h, which terminates the GaAs (0 0 1) surface. Groove structures with about 0.23 nm in depth and about 5 nm in width are patterned successfully on the S-terminated surface. We investigate dependences of both depth and width of the patterned groove on the tunneling current and the scanning speed of tip. It is observed that topmost S atoms are extracted together with first-layer Ga atoms, because of the larger binding energy of S-Ga bond

  6. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  7. Self-assisted GaAs nanowires with selectable number density on Silicon without oxide layer

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Esposito, L; Sanguinetti, S; Frigeri, C; Fedorov, A; Geelhaar, L

    2014-01-01

    We present the growth of self-assisted GaAs nanowires (NWs) with selectable number density on bare Si(1 1 1), not covered by the silicon oxide. We determine the number density of the NWs by initially self-assembling GaAs islands on whose top a single NW is nucleated. The number density of the initial GaAs base islands can be tuned by droplet epitaxy and the same degree of control is then transferred to the NWs. This procedure is completely performed during a single growth in an ultra-high vacuum environment and requires neither an oxide layer covering the substrate, nor any pre-patterning technique. (paper)

  8. Growth Interruption Effect on the Fabrication of GaAs Concentric Multiple Rings by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Fedorov A

    2010-01-01

    Full Text Available Abstract We present the molecular beam epitaxy fabrication and optical properties of complex GaAs nanostructures by droplet epitaxy: concentric triple quantum rings. A significant difference was found between the volumes of the original droplets and the final GaAs structures. By means of atomic force microscopy and photoluminescence spectroscopy, we found that a thin GaAs quantum well-like layer is developed all over the substrate during the growth interruption times, caused by the migration of Ga in a low As background.

  9. Determination of the thickness of chemically removed thin layers on GaAs VPE structures

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K.; Nemeth-Sallay, M.; Nemcsics, A. (Research Inst. for Technical Physics, Hungarian Academy of Sciences, Budapest (Hungary))

    1991-01-01

    Thinning of epitaxial GaAs layers was studied during the surface etching, with a special attention to submicron epitaxial structures, like MESFET or varactor-type structures. Each chemical treatment influences the crystal surface during the device preparation processes, though the possible thinning of the active layer is small. Therefore a method allowing determination of thicknesses as small as at about 20 nm of the layer removed by chemical etching from GaAs VPE structures was applied. Using special multilayered structures and a continuous electrochemical carrier concentration depth profiling, the influence of the layer thickness inhomogeneity and of some measurement errors can be minimized. Some frequently used etchants and the influence of different - so called - non-etching processes were compared in different combinations. It was shown that besides the direct etching a change of the surface conditions occurs, which influences the etch rate in the succeeding etching procedure. (orig.).

  10. Formation of columnar (In,Ga)As quantum dots on GaAs(100)

    International Nuclear Information System (INIS)

    He, J.; Noetzel, R.; Offermans, P.; Koenraad, P.M.; Gong, Q.; Hamhuis, G.J.; Eijkemans, T.J.; Wolter, J.H.

    2004-01-01

    Columnar (In,Ga)As quantum dots (QDs) with homogeneous composition and shape in the growth direction are realized by molecular-beam epitaxy on GaAs(100) substrates. The columnar (In,Ga)As QDs are formed on InAs seed QDs by alternating deposition of thin GaAs intermediate layers and monolayers of InAs with extended growth interruptions after each layer. The height of the columnar (In,Ga)As QDs is controlled by varying the number of stacked GaAs/InAs layers. The structural and optical properties are studied by cross-sectional scanning tunneling microscopy, atomic force microscopy, and photoluminescence spectroscopy. With increase of the aspect ratio of the columnar QDs, the emission wavelength is redshifted and the linewidth is reduced

  11. Epitaxial ferromagnetic Fe3Si on GaAs(111)A with atomically smooth surface and interface

    International Nuclear Information System (INIS)

    Liu, Y. C.; Hung, H. Y.; Kwo, J.; Chen, Y. W.; Lin, Y. H.; Cheng, C. K.; Hong, M.; Tseng, S. C.; Hsu, C. H.; Chang, M. T.; Lo, S. C.

    2015-01-01

    Single crystal ferromagnetic Fe 3 Si(111) films were grown epitaxially on GaAs(111)A by molecular beam epitaxy. These hetero-structures possess extremely low surface roughness of 1.3 Å and interfacial roughness of 1.9 Å, measured by in-situ scanning tunneling microscope and X-ray reflectivity analyses, respectively, showing superior film quality, comparing to those attained on GaAs(001) in previous publications. The atomically smooth interface was revealed by the atomic-resolution Z (atomic number)-contrast scanning transmission electron microscopy (STEM) images using the correction of spherical aberration (Cs)-corrected electron probe. Excellent crystallinity and perfect lattice match were both confirmed by high resolution x-ray diffraction. Measurements of magnetic property for the Fe 3 Si/GaAs(111) yielded a saturation moment of 990 emu/cm 3 with a small coercive field ≤1 Oe at room temperature

  12. Shear deformation and relaxed lattice constant of (Ga,Mn)As layers on GaAs(113)A

    Energy Technology Data Exchange (ETDEWEB)

    Dreher, Lukas; Daeubler, Joachim; Glunk, Michael; Schoch, Wladimir; Limmer, Wolfgang; Sauer, Rolf [Institut fuer Halbleiterphysik, Universitaet Ulm, D-89069 Ulm (Germany)

    2008-07-01

    The shear deformation and the relaxed lattice constant of compressively strained (Ga,Mn)As layers with Mn concentrations of up to 5%, pseudomorphically grown on GaAs(113)A and GaAs(001) substrates by low-temperature molecular-beam epitaxy, have been studied by high resolution X-ray diffraction (HRXRD) measurements. Rocking curves reveal a triclinic distortion of the (113)A layers with a shear direction towards the [001] crystallographic axis, whereas the (001) layers are tetragonally distorted along [001]. The relaxed lattice constants were derived from {omega}-2{theta} scans for the symmetric (113) and (004) Bragg reflections, taking the elastic anisotropy of the cubic system into account. The increase of the lattice constant with Mn content has been found to be smaller for the (113)A layers than for the (001) layers, presumably due to the enhanced amount of excess As in the (113)A layers.

  13. Effect of thermal annealing on optical properties of implanted GaAs

    NARCIS (Netherlands)

    Kulik, M; Komarov, FF; Maczka, D

    GaAs samples doped with indium atoms by ion implantation and thermal annealed were studied using a channelling method, Rutherford backscattering, and an ellipsometry. From these measurements it was observed that the layer implanted with 3 x 10(16) cm(-2) indium dose was totally damaged and its

  14. Photoacoustic study of the effect of doping concentration on the transport properties of GaAs epitaxial layers

    NARCIS (Netherlands)

    George, S.D.; Dilna, S.; Prasanth, R.; Radhakrishnan, P.; Vallabhan, C.P.G.; Nampoori, V.P.N.

    2003-01-01

    We report a photoacoustic (PA) study of the thermal and transport properties of a GaAs epitaxial layer doped with Si at varying doping concentration, grown on GaAs substrate by molecular beam epitaxy. The data are analyzed on the basis of Rosencwaig and Gersho's theory of the PA effect. The

  15. Diffusion of Ni, Ga, and As in the surface layer of GaAs and characteristics of the Ni/GaAs contact

    International Nuclear Information System (INIS)

    Uskov, V.A.; Fedotov, A.B.; Erofeeva, E.A.; Rodionov, A.I.; Dzhumakulov, D.T.

    1987-01-01

    The authors investigate the low-temperature codiffusion of Ni, Ga, and As in the surface layer of gallium arsenide and study its effect on the current-voltage characteristics of a Ni/GaAs rectifier contact. The concentration distribution of atoms in the function layer of a Ni-GaAs system was investigated by the methods of layerwise radiometric and neutron-activation analyses. It was found that interdiffusion of components takes place in the Ni-GaAs system in an elastic stress field, generated by the differences in the lattice parameters and thermal-expansion coefficients of Ni, GaAs, and the intermetallic compound which form. The form and parameters of the current-voltage characteristics of a Ni/GaAs contact are determined by the phase composition and the structure of the junction layer

  16. Modified energetics and growth kinetics on H-terminated GaAs (110)

    International Nuclear Information System (INIS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Tejedor, P.; Lorbek, S.; Hlawacek, G.; Teichert, C.

    2013-01-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As 4 , has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å 2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As 4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed

  17. Modified energetics and growth kinetics on H-terminated GaAs (110)

    Energy Technology Data Exchange (ETDEWEB)

    Galiana, B. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Departamento de Física, Universidad Carlos III de Madrid, Avenida de la Universidad 30, 28911 Madrid (Spain); Benedicto, M.; Díez-Merino, L.; Tejedor, P. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Lorbek, S.; Hlawacek, G.; Teichert, C. [Institut für Physik, Montanuniversität Leoben, Franz Josef St., 18A-8700 Leoben (Austria)

    2013-10-28

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As{sub 4}, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å{sup 2} measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As{sub 4} molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  18. Epitaxial ferromagnetic Fe{sub 3}Si on GaAs(111)A with atomically smooth surface and interface

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Y. C.; Hung, H. Y.; Kwo, J., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [Department of Physics, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Chen, Y. W.; Lin, Y. H.; Cheng, C. K.; Hong, M., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [Graduate Institute of Applied Physics and Department of Physics, National Taiwan University, Taipei 10617, Taiwan (China); Tseng, S. C.; Hsu, C. H., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China); Chang, M. T.; Lo, S. C. [Material and Chemical Research Laboratories, Industrial Technology Research Institute, Hsinchu 31040, Taiwan (China)

    2015-09-21

    Single crystal ferromagnetic Fe{sub 3}Si(111) films were grown epitaxially on GaAs(111)A by molecular beam epitaxy. These hetero-structures possess extremely low surface roughness of 1.3 Å and interfacial roughness of 1.9 Å, measured by in-situ scanning tunneling microscope and X-ray reflectivity analyses, respectively, showing superior film quality, comparing to those attained on GaAs(001) in previous publications. The atomically smooth interface was revealed by the atomic-resolution Z (atomic number)-contrast scanning transmission electron microscopy (STEM) images using the correction of spherical aberration (Cs)-corrected electron probe. Excellent crystallinity and perfect lattice match were both confirmed by high resolution x-ray diffraction. Measurements of magnetic property for the Fe{sub 3}Si/GaAs(111) yielded a saturation moment of 990 emu/cm{sup 3} with a small coercive field ≤1 Oe at room temperature.

  19. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  20. Optical characterization of MOVPE grown δ-InAs layers in GaAs

    Czech Academy of Sciences Publication Activity Database

    Hazdra, P.; Voves, J.; Hulicius, Eduard; Pangrác, Jiří

    2005-01-01

    Roč. 2, č. 4 (2005), s. 1319-1324 ISSN 1610-1634 R&D Projects: GA AV ČR(CZ) IAA1010318; GA MŠk(CZ) LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : δ-layer * MOVPE * GaAs * photoluminescence * photocurrent * photoreflectance Subject RIV: BM - Solid Matter Physics ; Magnetism

  1. STM studies of an atomic-scale gate electrode formed by a single charged vacancy in GaAs

    Science.gov (United States)

    Lee, Donghun; Daughton, David; Gupta, Jay

    2009-03-01

    Electric-field control of spin-spin interactions at the atomic level is desirable for the realization of spintronics and spin-based quantum computation. Here we demonstrate the realization of an atomic-scale gate electrode formed by a single charged vacancy on the GaAs(110) surface[1]. We can position these vacancies with atomic precision using the tip of a home-built, low temperature STM. Tunneling spectroscopy of single Mn acceptors is used to quantify the electrostatic field as a function of distance from the vacancy. Single Mn acceptors are formed by substituting Mn adatoms for Ga atoms in the first layer of the p-GaAs(110) surface[2]. Depending on the distance, the in-gap resonance of single Mn acceptors can shift as much as 200meV. Our data indicate that the electrostatic field decays according to a screened Coulomb potential. The charge state of the vacancy can be switched to neutral, as evidenced by the Mn resonance returning to its unperturbed position. Reversible control of the local electric field as well as charged states of defects in semiconductors can open new insights such as realizing an atomic-scale gate control and studying spin-spin interactions in semiconductors. http://www.physics.ohio-state.edu/sim jgupta [1] D. Lee and J.A. Gupta (in preparation) [2] D. Kitchen et al., Nature 442, 436-439 (2006)

  2. Atomic-scale luminescence measurement and theoretical analysis unveiling electron energy dissipation at a p-type GaAs(110) surface

    International Nuclear Information System (INIS)

    Imada, Hiroshi; Miwa, Kuniyuki; Jung, Jaehoon; Shimizu, Tomoko K; Kim, Yousoo; Yamamoto, Naoki

    2015-01-01

    Luminescence of p-type GaAs was induced by electron injection from the tip of a scanning tunnelling microscope into a GaAs(110) surface. Atomically-resolved photon maps revealed a significant reduction in luminescence intensity at surface electronic states localized near Ga atoms. Theoretical analysis based on first principles calculations and a rate equation approach was performed to describe the perspective of electron energy dissipation at the surface. Our study reveals that non-radiative recombination through the surface states (SS) is a dominant process for the electron energy dissipation at the surface, which is suggestive of the fast scattering of injected electrons into the SS. (paper)

  3. Intense electron beams from GaAs photocathodes as a tool for molecular and atomic physics

    International Nuclear Information System (INIS)

    Krantz, Claude

    2009-01-01

    We present cesium-coated GaAs photocathodes as reliable sources of intense, quasi-monoenergetic electron beams in atomic and molecular physics experiments. In long-time operation of the Electron Target of the ion storage ring TSR in Heidelberg, cold electron beams could be realised at steadily improving intensity and reliability. Minimisation of processes degrading the quantum efficiency allowed to increase the extractable current to more than 1mA at usable cathode lifetimes of 24 h or more. The benefits of the cold electron beam with respect to its application to electron cooling and electron-ion recombination experiments are discussed. Benchmark experiments demonstrate the superior cooling force and energy resolution of the photoelectron beam compared to its thermionic counterparts. The long period of operation allowed to study the long-time behaviour of the GaAs samples during multiple usage cycles at the Electron Target and repeated in-vacuum surface cleaning by atomic hydrogen exposure. An electron emission spectroscopy setup has been implemented at the photocathode preparation chamber of the Electron Target. Among others, this new facility opened the way to a novel application of GaAs (Cs) photocathodes as robust, ultraviolet-driven electron emitters. Based on this principle, a prototype of an electron gun, designed for implementation at the HITRAP setup at GSI, has been built and taken into operation successfully. (orig.)

  4. Intense electron beams from GaAs photocathodes as a tool for molecular and atomic physics

    Energy Technology Data Exchange (ETDEWEB)

    Krantz, Claude

    2009-10-28

    We present cesium-coated GaAs photocathodes as reliable sources of intense, quasi-monoenergetic electron beams in atomic and molecular physics experiments. In long-time operation of the Electron Target of the ion storage ring TSR in Heidelberg, cold electron beams could be realised at steadily improving intensity and reliability. Minimisation of processes degrading the quantum efficiency allowed to increase the extractable current to more than 1mA at usable cathode lifetimes of 24 h or more. The benefits of the cold electron beam with respect to its application to electron cooling and electron-ion recombination experiments are discussed. Benchmark experiments demonstrate the superior cooling force and energy resolution of the photoelectron beam compared to its thermionic counterparts. The long period of operation allowed to study the long-time behaviour of the GaAs samples during multiple usage cycles at the Electron Target and repeated in-vacuum surface cleaning by atomic hydrogen exposure. An electron emission spectroscopy setup has been implemented at the photocathode preparation chamber of the Electron Target. Among others, this new facility opened the way to a novel application of GaAs (Cs) photocathodes as robust, ultraviolet-driven electron emitters. Based on this principle, a prototype of an electron gun, designed for implementation at the HITRAP setup at GSI, has been built and taken into operation successfully. (orig.)

  5. Visible-light electroluminescence in Mn-doped GaAs light-emitting diodes

    International Nuclear Information System (INIS)

    Nam Hai, Pham; Maruo, Daiki; Tanaka, Masaaki

    2014-01-01

    We observed visible-light electroluminescence (EL) due to d-d transitions in light-emitting diodes with Mn-doped GaAs layers (here, referred to as GaAs:Mn). Besides the band-gap emission of GaAs, the EL spectra show two peaks at 1.89 eV and 2.16 eV, which are exactly the same as 4 A 2 ( 4 F) → 4 T 1 ( 4 G) and 4 T 1 ( 4 G) → 6 A 1 ( 6 S) transitions of Mn atoms doped in ZnS. The temperature dependence and the current-density dependence are consistent with the characteristics of d-d transitions. We explain the observed EL spectra by the p-d hybridized orbitals of the Mn d electrons in GaAs

  6. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  7. Design optimization of GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyanag; Jiang Lan; Chen Xuyuan

    2011-01-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm -2 63 Ni, the open circuit voltage of the optimized batteries is about ∼0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P + PN + junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm -2 , which indicates a carrier diffusion length of less than 1 μm. The overall results show that multi-layer P + PN + junctions are the preferred structures for GaAs betavoltaic battery design.

  8. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  9. Self-assembly of alkanethiolates directs sulfur bonding with GaAs(100)

    Energy Technology Data Exchange (ETDEWEB)

    Mancheno-Posso, Pablo; Muscat, Anthony J., E-mail: muscat@email.arizona.edu

    2017-03-01

    Highlights: • Alkanethiolate monolayers were formed on GaAs(100) using a 20 min liquid immersion. • The longest chain containing 20 CH{sub 2} groups protected the surface for 30 min from reoxidation. • A reaction-diffusion model shows that oxygen diffusion through the carbon chains is fast. • Alkanethiolates protect the surface by reducing the reaction rate of oxygen with the surface. • Assembly of the alkane chains directs sulfur atoms to bond to the surface. - Abstract: Molecules that contain linear alkane chains self-assemble on a variety of surfaces changing the degree of wetting, lubricity, and reactivity. We report on the reoxidation of GaAs(100) in air after adsorbing five alkanethiols (C{sub n}H{sub 2n+1}-SH where n = 3, 6, 12, 18, 20) and one alkanedithiol (HS-(CH{sub 2}){sub 8}-SH) deposited from the liquid phase. The alignment of the alkane chains forms a self-assembled layer, however, air diffuses readily through the carbon layer and reaches the surface. The impact of alignment is to improve the bonding of sulfur with the surface atoms which reduces the oxidation rate based on fitting the data to a reaction-diffusion model. The layer thickness and molecular density scale linearly with the number of carbon atoms in the alkane chain. The thickness of the alkanethiolate (RS{sup −}) layer grows by 0.87 ± 0.06 Å for each C atom in the chain and the surface density by 0.13 ± 0.03 molecule per nm{sup 2} per C atom up to a coverage of 5.0 molecules/nm{sup 2} for n = 20 or 0.8 monolayer. The surface coverage increases with length because interactions between methylene (CH{sub 2}) groups in neighboring chains reduce the tilt angle of the molecules with the surface normal. The tight packing yields areas per alkanethiolate as low as 20 Å{sup 2} for n = 20. The amount of C in the layer divided by the chain length is approximately constant up to n = 12 but increases sharply by a factor of 2–4× for n = 18 and 20 based on the C 1s X

  10. Biexciton emission from single isoelectronic traps formed by nitrogen-nitrogen pairs in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Takamiya, Kengo; Fukushima, Toshiyuki; Yagi, Shuhei; Hijikata, Yasuto; Yaguchi, Hiroyuki [Graduate School of Science and Engineering, Saitama University, 255 Shimo-Okubo, Sakura-ku , Saitama 338-8570 (Japan); Mochizuki, Toshimitsu; Yoshita, Masahiro; Akiyama, Hidefumi [Institute for Solid State Physics, The University of Tokyo, 5-1-5 Kashiwanoha, Kashiwa, Chiba 277-8581 (Japan); Kuboya, Shigeyuki; Onabe, Kentaro [Department of Advanced Materials Science, The University of Tokyo, 5-1-5 Kashiwanoha, Kashiwa, Chiba 277-8581 (Japan); Katayama, Ryuji [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan)

    2013-12-04

    We have studied photoluminescence (PL) from individual isoelectronic traps formed by nitrogen-nitrogen (NN) pairs in GaAs. Sharp emission lines due to exciton and biexciton were observed from individual isoelectronic traps in nitrogen atomic-layer doped (ALD) GaAs. The binding energy of biexciton bound to individual isoelectronic traps was approximately 8 meV. Both the exciton and biexciton luminescence lines show completely random polarization and no fine-structure splitting. These results are desirable to the application to the quantum cryptography used in the field of quantum information technology.

  11. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    International Nuclear Information System (INIS)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-01-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  12. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-04-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  13. Crystal structure of LT GaAs layers before and after annealing

    International Nuclear Information System (INIS)

    Litiental-Weber, Z.

    1992-01-01

    In this paper the structural quality of GaAs layers grown at low temperatures by solid-source and gas-source MBE at different growth conditions is described. Dependence on the growth temperature and concentration of As [expressed at As/Ga beam equivalent pressure (BEP)] used for the growth is discussed. A higher growth temperature is required top obtain the same monocrystalling layer thickness with increased BEP. The annealing of these layers is associated with the formation of As precipitates. Semicoherent precipitates with lowest formation energies are formed in the monocrystalline parts of the layers grown with the lowest BEP. Precipitates with higher formation energies are formed when higher BEP is applied; they are also formed in the vicinity of structural defects. Formation of As precipitates releases strain in the layers. Arsenic precipitates are not formed in annealed ternary (InAlAs) layers despite their semi-insulating properties. The role of As precipitates in semi-insulating properties and the short lifetime of minority carriers in these layers is discussed

  14. Characterization of InSb layers on GaAs substrates using infrared reflectance and a modified oscillator formula

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A., E-mail: Japie.Engelbrecht@nmmu.ac.z [Physics Department, Nelson Mandela Metropolitan University, Box 77000, Port Elizabeth 6031 (South Africa); Wagener, M.C. [Physics Department, Nelson Mandela Metropolitan University, Box 77000, Port Elizabeth 6031 (South Africa)

    2009-12-01

    InSb epilayers on GaAs substrates are analyzed using infrared reflectance spectroscopy, but employing a modified Drude oscillator formula. The modified formula enables the determination of 13 parameters: six dielectric parameters for both layer and substrate separately, as well as the thickness of the layer. The formula is tested against previously published data, and to characterize layers grown in this laboratory.

  15. Characterization of InSb layers on GaAs substrates using infrared reflectance and a modified oscillator formula

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Wagener, M.C.

    2009-01-01

    InSb epilayers on GaAs substrates are analyzed using infrared reflectance spectroscopy, but employing a modified Drude oscillator formula. The modified formula enables the determination of 13 parameters: six dielectric parameters for both layer and substrate separately, as well as the thickness of the layer. The formula is tested against previously published data, and to characterize layers grown in this laboratory.

  16. Charge-collection efficiency of GaAs field effect transistors fabricated with a low temperature grown buffer layer: dependence on charge deposition profile

    International Nuclear Information System (INIS)

    McMorrow, D.; Knudson, A.R.; Melinger, J.S.; Buchner, S.

    1999-01-01

    The results presented here reveal a surprising dependence of the charge-collection efficiency of LT GaAs FETs (field effect transistors) on the depth profile of the deposited charge. Investigation of the temporal dependence of the signal amplitude, carrier density contours, and potential contours reveals different mechanisms for charge collection arising from carriers deposited above and below the LT GaAs buffer layer, respectively. In particular, carriers deposited below the LT GaAs buffer layer dissipate slowly and give rise to a persistent charge collection that is associated with a bipolar-like gain process. These results may be of significance in understanding the occurrence of single-event upsets from protons, neutrons, and large-angle, glancing heavy-ion strikes. (authors)

  17. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2008-12-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. It has been found that etching parameters can be controlled to produce a considerably low optical reflectivity porous GaAs layer, attractive for use in solar cells. In addition, it has been observed that the deposition of plasma polymerized HMDSO thin film on porous GaAs surface can be utilized to produce a surface with novel optical properties interesting for solar cells and optoelectronic devices. (author)

  18. Infrared reflection spectra of multilayer epitaxial heterostructures with embedded InAs and GaAs layers

    International Nuclear Information System (INIS)

    Seredin, P. V.; Domashevskaya, E. P.; Lukin, A. N.; Arsent'ev, I. N.; Vinokurov, D. A.; Tarasov, I. S.

    2008-01-01

    The effect of the thickness of embedded InAs and GaAs layers on the infrared reflection spectra of lattice vibrations for AlInAs/InAs/AlInAs, InGaAs/GaAs/InGaAs, and AlInAs/InGaAs/GaAs/InGaAs/AlInAs multilayer epitaxial heterostructures grown by MOC hydride epitaxy on InP (100) substrates is studied. Relative stresses emerging in the layers surrounding the embedded layers with variation in the number of monolayers from which the quantum dots are formed and with variation the thickness of the layers themselves surrounding the embedded layers are evaluated.

  19. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Englhard, M.; Klemp, C.; Behringer, M.; Rudolph, A. [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Skibitzki, O.; Zaumseil, P. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Institute of Physics and Chemistry, BTU Cottbus-Senftenberg, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2016-07-28

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-ray diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.

  20. Observation of linear I-V curves on vertical GaAs nanowires with atomic force microscope

    Science.gov (United States)

    Geydt, P.; Alekseev, P. A.; Dunaevskiy, M.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2015-12-01

    In this work we demonstrate the possibility of studying the current-voltage characteristics for single vertically standing semiconductor nanowires on standard AFM equipped by current measuring module in PeakForce Tapping mode. On the basis of research of eight different samples of p-doped GaAs nanowires grown on different GaAs substrates, peculiar electrical effects were revealed. It was found how covering of substrate surface by SiOx layer increases the current, as well as phosphorous passivation of the grown nanowires. Elimination of the Schottky barrier between golden cap and the top parts of nanowires was observed. It was additionally studied that charge accumulation on the shell of single nanowires affects its resistivity and causes the hysteresis loops on I-V curves.

  1. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  2. Conductive atomic force microscopy study of InAs growth kinetics on vicinal GaAs (110)

    International Nuclear Information System (INIS)

    Tejedor, Paloma; Diez-Merino, Laura; Beinik, Igor; Teichert, Christian

    2009-01-01

    Conductive atomic force microscopy has been used to investigate the effect of atomic hydrogen and step orientation on the growth behavior of InAs on GaAs (110) misoriented substrates. Samples grown by conventional molecular beam epitaxy exhibit higher conductivity on [110]-multiatomic step edges, where preferential nucleation of InAs nanowires takes place by step decoration. On H-terminated substrates with triangular terraces bounded by [115]-type steps, three-dimensional InAs clusters grow selectively at the terrace apices as a result of a kinetically driven enhancement in upward mass transport via AsH x intermediate species and a reduction in the surface free energy.

  3. Atomic structure of the GaAs(001)-c(4x4) surface: first-principles evidence for diversity of heterodimer motifs.

    Science.gov (United States)

    Penev, E; Kratzer, P; Scheffler, M

    2004-10-01

    The GaAs(001)-c(4x4) surface was studied using ab initio atomistic thermodynamics based on density-functional theory calculations. We demonstrate that in a range of stoichiometries, between those of the conventional three As-dimer and the new three Ga-As-dimer models, there exists a diversity of atomic structures featuring Ga-As heterodimers. These results fully explain the experimental scanning tunneling microscopy images and are likely to be relevant also to the c(4x4)-reconstructed (001) surfaces of other III-V semiconductors.

  4. Preparation of GaAs photocathodes at low temperature

    International Nuclear Information System (INIS)

    Mulhollan, G.; Clendenin, J.; Tang, H.

    1996-10-01

    The preparation of an atomically clean surface is a necessary step in the formation of negative electron affinity (NEA) GaAs. Traditional methods to this end include cleaving, heat cleaning and epitaxial growth. Cleaving has the advantage of yielding a fresh surface after each cleave, but is limited to small areas and is not suitable for specialized structures. Heat cleaning is both simple and highly successful, so it is used as a preparation method in virtually all laboratories employing a NEA source on a regular basis. Due to its high cost and complexity, epitaxial growth of GaAs with subsequent in vacuo transfer is not a practical solution for most end users of GaAs as a NEA electron source. While simple, the heating cleaning process has a number of disadvantages. Here, a variety of cleaning techniques related to preparation of an atomically clean GaAs surface without heating to 600 C are discussed and evaluated

  5. Effect of rapid thermal annealing observed by photoluminescence measurement in GaAs1-xN x layers

    International Nuclear Information System (INIS)

    Bousbih, F.; Bouzid, S.B.; Hamdouni, A.; Chtourou, R.; Harmand, J.C.

    2005-01-01

    A set of GaAs 1-x N x samples with small nitrogen content were investigated by photoluminescence (PL) measurements as function of irradiance in order to investigate the effect of rapid thermal annealing (RTA) on photoluminescence (PL) properties. The analysis of PL spectra as function of irradiance and nitrogen content shows that the PL spectra associated to the GaAs 1- x N x layers are the result of the nitrogen localized state recombination. The results are examined as a consequence of a rapid thermal annealing (RTA). The variation of the emission band peak energy (E p ), at 10 K as a function of irradiance, is fitted by a theoretical model taking into account two types of nitrogen localized states. The variation of the PL intensity versus irradiance in the range from 1.59 to 159 W/cm 2 for different GaAs 1-x N x samples confirm that the PL spectra result from the nitrogen localized state recombination

  6. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  7. Defect characterization in compositionally graded InGaAs layers on GaAs(001) grown by MBE

    International Nuclear Information System (INIS)

    Sasaki, Takuo; Takahasi, Masamitu; Norman, Andrew G.; Romero, Manuel J.; Al-Jassim, Mowafak M.; Kojima, Nobuaki; Ohshita, Yoshio; Yamaguchi, Masafumi

    2013-01-01

    Defect characterization in molecular beam epitaxial (MBE) compositionally-graded In x Ga 1-x As layers on GaAs substrates consisting different thickness of overshooting (OS) layers was carried out using cathodoluminescence (CL) and transmission electron microscopy (TEM). We found that the thickness of the OS layer influences not only stress but also lattice defects generated in a top InGaAs layer. While the top InGaAs layer with a thin OS layer is under compression and has mainly threading dislocations, the top layer with a thick OS layer is under tension and exhibits inhomogeneous strain associating with phase separation. We will discuss the mechanisms of defect generation and their in-plane distribution based on strain relaxation at the top and OS layers. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. MIM capacitors with various Al2O3 thicknesses for GaAs RFIC application

    International Nuclear Information System (INIS)

    Zhou Jiahui; Xu Wenjun; Li Qi; Li Simin; He Zhiyi; Li Haiou; Chang Hudong; Liu Honggang; Liu Guiming

    2015-01-01

    The impact of various thicknesses of Al 2 O 3 metal—insulator—metal (MIM) capacitors on direct current and radio frequency (RF) characteristics is investigated. For 20 nm Al 2 O 3 , the fabricated capacitor exhibits a high capacitance density of 3850 pF/mm 2 and acceptable voltage coefficients of capacitance of 681 ppm/V 2 at 1 MHz. An outstanding VCC-α of 74 ppm/V 2 at 1 MHz, resonance frequency of 8.2 GHz and Q factor of 41 at 2 GHz are obtained by 100 nm Al 2 O 3 MIM capacitors. High-performance MIM capacitors using GaAs process and atomic layer deposition Al 2 O 3 could be very promising candidates for GaAs RFIC applications. (paper)

  9. Heavily doped GaAs:Te layers grown by MOVPE using diisopropyl telluride as a source

    Energy Technology Data Exchange (ETDEWEB)

    Daniltsev, V. M.; Demidov, E. V.; Drozdov, M. N.; Drozdov, Yu. N., E-mail: drozdyu@ipmras.ru; Kraev, S. A.; Surovegina, E. A.; Shashkin, V. I.; Yunin, P. A. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2016-11-15

    The capabilities of GaAs epitaxial layers extremely heavily doped with tellurium by metal-organic vapor-phase epitaxy using diisopropyl telluride as a source are studied. It is shown that tellurium incorporation into GaAs occurs to an atomic concentration of 10{sup 21} cm{sup –3} without appreciable diffusion and segregation effects. Good carrier concentrations (2 × 10{sup 19} cm{sup –3}) and specific contact resistances of non-alloyed ohmic contacts (1.7 × 10{sup –6} Ω cm{sup 2}) give grounds to use such layers to create non-alloyed ohmic contacts in electronic devices. A sharp decrease in the electrical activity of Te atoms, a decrease in the electron mobility, and an increase in the contact resistance at atomic concentrations above 2 × 10{sup 20} cm{sup –3} are detected.

  10. Effect of GaAs interlayer thickness variations on the optical properties of multiple InAs QD structure

    International Nuclear Information System (INIS)

    Park, C.Y.; Park, K.W.; Kim, J.M.; Lee, Y.T.

    2009-01-01

    Multiple InAs/GaAs self-assembled quantum dots (QDs) with vertically stacked structure are grown by molecular beam epitaxy and the effects of GaAs interlayer thickness variation on optical properties are studied. The growth conditions are optimized by in-situ RHEED, AFM, and PL measurement. The five InAs QD layers are embedded in GaAs and Al0.3Ga0.7As layer. The PL intensity is increased with increasing GaAs interlayer thickness. The thin GaAs interlayer has strain field, the strain-induced intermixing of indium atoms in the InAs QDs (blue-shift) can overcompensate for the effect on the increased QD size (red-shift) (H. Heidemeyer et al. Appl. Phys. Lett. 80, 1544 (2002); T. Nakaoka et al. J. Appl. Phys. Lett. 96, 150 (2004)[1, 2], respectively). For the interlayer thickness larger than about 7 nm, the blue-shifts are correlated to the dominant high-energy excited state transitions due to the successive state filling of the ground and higher excited states in the QDs. The energy separation of double PL peaks, originated from two different excited states, was kept at around 50 meV at room temperature. A possible mechanism concerning this phenomenon is also discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Peeled film GaAs solar cell development

    International Nuclear Information System (INIS)

    Wilt, D.M.; Thomas, R.D.; Bailey, S.G.; Brinker, D.J.; DeAngelo, F.L.

    1990-01-01

    Thin film, single crystal gallium arsenide (GaAs) solar cells could exhibit a specific power approaching 700 W/Kg including coverglass. A simple process has been described whereby epitaxial GaAs layers are peeled from a reusable substrate. This process takes advantage of the extreme selectivity (>10 6 ) of the etching rate of aluminum arsenide (AlAs) over GaAs in dilute hydrofloric acid (HF). The intent of this work is to demonstrate the feasibility of using the peeled film technique to fabricate high efficiency, low mass GaAs solar cells. We have successfully produced a peeled film GaAs solar cell. The device, although fractured and missing the aluminum gallium arsenide (Al x Ga 1 - x As) window and antireflective (AR) coating, had a Voc of 874 mV and a fill factor of 68% under AMO illumination

  12. Metallization systems for stable ohmic contacts to GaAs

    International Nuclear Information System (INIS)

    Tandon, J.L.; Douglas, K.D.; Vendura, G.; Kolawa, E.; So, F.C.T.; Nicolet, M.A.

    1986-01-01

    A metallization scheme to form reproducible and stable ohmic contacts to GaAs is described. The approach is based on the configuration: GaAs/X/Y/Z; where X is a thin metal film (e.g. Pt, Ti, Pd, Ru), Y is an electrically conducting diffusion barrier layer (TiN, W or W/sub 0.7/N/sub 0.3/), and Z is a thick metal layer (e.g. Ag) typically required for bonding or soldering purposes. The value and reproducibility of the contact resistance in these metallization systems results from the uniform steady-state solid-phase reaction of the metal X with GaAs. The stability of the contacts is achieved by the diffusion barrier layer Y, which not only confines the reaction of X with GaAs, but also prevents the top metal layer Z from interfering with this reaction. Applications of such contacts in fabricating stable solar cells are also discussed

  13. Determination of the nitrogen concentration in epitaxial layers of GaAs /SUB 1-x/ p /SUB x/ by the optical method

    International Nuclear Information System (INIS)

    Lupal, M.V.; Klot, B; Nikhter, K.; Pikhtin, A.N.; Trapp, M.

    1986-01-01

    This paper determines the dependence of the cross section for absorption in the A /SUB N/ line of a bound exciton on the nitrogen content in the solid solution GaAs /SUB 1-x/ P /SUB x/ by comparing the results of optical measurements with the data from secondary ionic mass spectrometry, and these results are used to study the effect of technological factors on the nitrogen concentration epitaxial layers obtained by the gas-transport method. Doping was carried out with nitrogen by injecting ammonia into the reactor zone; the partial pressure of the ammonia was varied from 1 to 25 kPa. Aside from nitrogen, the authors doped the layers with shallow donor Te. It is established that the solubility of nitrogen in the solid solution decreases as the arsenic content increases when the convenient optical method for determining the nitrogen concentration in epitaxial GaAs /SUB 1-x/ P /SUB x/ layers is used

  14. Nitridation of porous GaAs by an ECR ammonia plasma

    International Nuclear Information System (INIS)

    Naddaf, M; Hullavarad, S S; Ganesan, V; Bhoraskar, S V

    2006-01-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy

  15. Nitridation of porous GaAs by an ECR ammonia plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Department of Physics, Atomic Energy Commission of Syria, PO Box 6091, Damascus (Syrian Arab Republic); Hullavarad, S S [Center for Superconductivity Research, Department of Physics, University of Maryland, College Park, MD 20742 (United States); Ganesan, V [Inter University Consortium, Indore (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2006-02-15

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  16. Nitridation of porous GaAs by an ECR ammonia plasma

    Science.gov (United States)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  17. Rapid capless annealing of28Si,64Zn, and9Be implants in GaAs

    Science.gov (United States)

    Liu, S. G.; Narayan, S. Y.

    1984-11-01

    We report the use of tungsten-halogen lamps for rapid (-10 s) thermal annealing of ion-implanted (100) GaAs under AsH3/Ar and N2 atmospheres. Annealing under flowing AsH3/Ar was carried out without wafer encapsulation. Rapid capless annealing activated implants in GaAs with good mobility and surface morphology. Typical mobilities were 3700 4500 cm2/V-s for n-layers with about 2×1017cm-3 carrier concentration and 50 150 cm2/v-s for 0.1 5xl019 cm-3 doped p-layers. Rapid thermal annealing was performed in a vertical quartz tube where different gases (N2, AsH3/H2, AsH3/Ar) can be introduced. Samples were encapsulated with SiO when N2 was used. Tungsten-halogen lamps of 600 or 1000 W were utilized for annealing GaAs wafers ranging from 1 to 10 cm2 in area and 0.025 to 0.040 cm in thickness. The transient temperature at the wafer position was monitored using a fine thermocouple. We carried out experiments for energies of 30 to 200 keV, doses of 2×1012 to 1×1015 cm-2, and peak temperatures ranging from 600 to 1000‡C. Most results quoted are in the 700 to 870‡C temperature range. Data on implant conditions, optimum anneal conditions, electrical characteristics, carrier concentration profiles, and atomic profiles of the implanted layers are described.

  18. Ab initio structural and vibrational properties of GaAs diamondoids and nanocrystals

    Directory of Open Access Journals (Sweden)

    Mudar Ahmed Abdulsattar

    2014-12-01

    Full Text Available Gallium arsenide diamondoids structural and vibrational properties are investigated using density functional theory at the PBE/6-31(d level and basis including polarization functions. Variation of energy gap as these diamondoids increase in size is seen to follow confinement theory for diamondoids having nearly equiaxed dimensions. Density of energy states transforms from nearly single levels to band structure as we reach larger diamondoids. Bonds of surface hydrogen with As atoms are relatively localized and shorter than that bonded to Ga atoms. Ga-As bonds have a distribution range of values due to surface reconstruction and effect of bonding to hydrogen atoms. Experimental bulk Ga-As bond length (2.45 Å is within this distribution range. Tetrahedral and dihedral angles approach values of bulk as we go to higher diamondoids. Optical-phonon energy of larger diamondoids stabilizes at 0.037 eV (297 cm-1 compared to experimental 0.035 eV (285.2 cm-1. Ga-As force constant reaches 1.7 mDyne/Å which is comparable to Ga-Ge force constant (1.74 mDyne/Å. Hydrogen related vibrations are nearly constant and serve as a fingerprint of GaAs diamondoids while Ga-As vibrations vary with size of diamondoids.

  19. Analysis of mechanism of carbon removal from GaAs(1 0 0) surface by atomic hydrogen

    International Nuclear Information System (INIS)

    Tomkiewicz, P.; Winkler, A.; Krzywiecki, M.; Chasse, Th.; Szuber, J.

    2008-01-01

    Etching of carbon contaminations from the GaAs(1 0 0) surface by irradiating with atomic hydrogen, which is one of the key reactions to promote high-quality thin films growth by molecular beam epitaxy (MBE), has been investigated by mass spectrometry (MS), Auger electron spectroscopy (AES) and X-ray photoelectron spectroscopy (XPS). It is shown that during the cleaning process at room temperature a total reduction of the Auger carbon signal, accompanied by desorption of methane as major reaction product, can be observed. The reaction pathways as well as the processes responsible for the observed carbon removal are discussed in detail to give a support for etching and growth quality enhancement not only in thin films epitaxy but in all atomic hydrogen promoted gas-phase III-V semiconductor processes

  20. Electroless atomic layer deposition

    Science.gov (United States)

    Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.

    2017-10-31

    A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.

  1. Atomic-scale epitaxial aluminum film on GaAs substrate

    Directory of Open Access Journals (Sweden)

    Yen-Ting Fan

    2017-07-01

    Full Text Available Atomic-scale metal films exhibit intriguing size-dependent film stability, electrical conductivity, superconductivity, and chemical reactivity. With advancing methods for preparing ultra-thin and atomically smooth metal films, clear evidences of the quantum size effect have been experimentally collected in the past two decades. However, with the problems of small-area fabrication, film oxidation in air, and highly-sensitive interfaces between the metal, substrate, and capping layer, the uses of the quantized metallic films for further ex-situ investigations and applications have been seriously limited. To this end, we develop a large-area fabrication method for continuous atomic-scale aluminum film. The self-limited oxidation of aluminum protects and quantizes the metallic film and enables ex-situ characterizations and device processing in air. Structure analysis and electrical measurements on the prepared films imply the quantum size effect in the atomic-scale aluminum film. Our work opens the way for further physics studies and device applications using the quantized electronic states in metals.

  2. Characterization of core/shell structures based on CdTe and GaAs nanocrystalline layers deposited on SnO2 microwires

    Science.gov (United States)

    Ghimpu, L.; Ursaki, V. V.; Pantazi, A.; Mesterca, R.; Brâncoveanu, O.; Shree, Sindu; Adelung, R.; Tiginyanu, I. M.; Enachescu, M.

    2018-04-01

    We report the fabrication and characterization of SnO2/CdTe and SnO2/GaAs core/shell microstructures. CdTe or GaAs shell layers were deposited by radio-frequency (RF) magnetron sputtering on core SnO2 microwires synthesized by a flame-based thermal oxidation method. The produced structures were characterized by scanning electron microscopy (SEM), high-resolution scanning transmission electron microscope (HR-STEM), X-ray diffraction (XRD), Raman scattering and FTIR spectroscopy. It was found that the SnO2 core is of the rutile type, while the shells are composed of CdTe or GaAs nanocrystallites of zincblende structure with the dimensions of crystallites in the range of 10-20 nm. The Raman scattering investigations demonstrated that the quality of the porous nanostructured shell is improved by annealing at temperatures of 420-450 °C. The prospects of implementing these microstructures in intrinsic type fiber optic sensors are discussed.

  3. In-situ optical spectroscopy and electronic properties of pyrrole sub-monolayers on Ga-rich GaAs(001)

    International Nuclear Information System (INIS)

    Bruhn, Thomas; Ewald, Marcel; Fimland, Bjørn-Ove; Kneissl, Michael; Esser, Norbert; Vogt, Patrick

    2011-01-01

    We report on the characterization of sub-monolayers of pyrrole adsorbed on Ga-rich GaAs(001) surfaces. The interfaces were characterized by scanning tunneling microscopy (STM), scanning tunneling spectroscopy (STS) and reflectance anisotropy spectroscopy (RAS) in a spectral range between 1.5 and 8 eV. The adsorption of pyrrole on Ga-rich GaAs(001) modifies the RAS spectrum of the clean GaAs surface significantly at the surface transitions at 2.2 and 3.5 eV indicating a chemisorption of the molecules. By the help of transients at these surface transitions during the adsorption process, we were able to prepare different molecular coverages from a sub-monolayer up to a complete molecular layer. The different coverages of pyrrole were imaged by STM and electronically characterized by STS. The measurements reveal that the adsorbed molecules electronically insulate the surface and indicate the formation of new interface states around −3.5 and +4.2 eV. The RAS measurements in the UV region show new anisotropies in the spectral range of the optical transitions of the adsorbed pyrrole molecules. Our measurements demonstrate the potential of optical and electronic spectroscopy methods for the characterization of atomically thin molecular layers on semiconductor surfaces allowing a direct access to the properties of single adsorbed molecules.

  4. Studying the InAs quantum points on the vicinal surface of a GaAs crystal by the atomic force microscopy

    CERN Document Server

    Evtikhiev, V P; Kotelnikov, E Y; Matveentsev, A V; Titkov, A N; Shkolnik, A S

    2002-01-01

    The methodology for processing the images, obtained through the atomic force microscopy, is proposed. It is shown by the concrete example, how the parameters of the InAs clusters on the vicinal surface of the GaAs crystal are determined. This makes it possible to calculate the energy levels of the electrons and holes in the quantum point with application of the previously developed cluster spherical model

  5. Computational study of GaAs1-xNx and GaN1-yAsy alloys and arsenic impurities in GaN

    International Nuclear Information System (INIS)

    Laaksonen, K; Komsa, H-P; Arola, E; Rantala, T T; Nieminen, R M

    2006-01-01

    We have studied the structural and electronic properties of As-rich GaAs 1-x N x and N-rich GaN 1-y As y alloys in a large composition range using first-principles methods. We have systematically investigated the effect of the impurity atom configuration near both GaAs and GaN sides of the concentration range on the total energies, lattice constants and bandgaps. The N (As) atoms, replacing substitutionally As (N) atoms in GaAs (GaN), cause the surrounding Ga atoms to relax inwards (outwards), making the Ga-N (Ga-As) bond length about 15% shorter (longer) than the corresponding Ga-As (Ga-N) bond length in GaAs (GaN). The total energies of the relaxed alloy supercells and the bandgaps experience large fluctuations within different configurations and these fluctuations grow stronger if the impurity concentration is increased. Substituting As atoms with N in GaAs induces modifications near the conduction band minimum, while substituting N atoms with As in GaN modifies the states near the valence band maximum. Both lead to bandgap reduction, which is at first rapid but later slows down. The relative size of the fluctuations is much larger in the case of GaAs 1-x N x alloys. We have also looked into the question of which substitutional site (Ga or N) As occupies in GaN. We find that under Ga-rich conditions arsenic prefers the substitutional N site over the Ga site within a large range of Fermi level values

  6. Implantation annealing in GaAs by incoherent light

    International Nuclear Information System (INIS)

    Davies, D.E.; Ryan, T.G.; Soda, K.J.; Comer, J.J.

    1983-01-01

    Implanted GaAs has been successfully activated through concentrating the output of quartz halogen lamps to anneal in times of the order of 1 sec. The resulting layers are not restricted by the reduced mobilities and thermal instabilities of laser annealed GaAs. Better activation can be obtained than with furnace annealing but this generally requires maximum temperatures >= 1050degC. (author)

  7. Pump-probe studies of travelling coherent longitudinal acoustic phonon oscillations in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Y.; Qi, J.; Tolk, Norman [Department of Physics and Astronomy, Vanderbilt University, Nashville, TN, 37235 (United States); Miller, J. [Naval air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Cho, Y.J.; Liu, X.; Furdyna, J.K. [Department of Physics, University of Notre Dame, Notre Dame, IN 46556 (United States); Shahbazyan, T.V. [Department of Physics, Jackson State University, MS 39217 (United States)

    2008-07-01

    We report comprehensive studies of long-lived oscillations in femtosecond optical pump-probe measurements on GaAs based systems. The oscillations arise from a photo-generated coherent longitudinal acoustic phonon wave at the sample surface, which subsequently travels from the surface into the GaAs substrate, thus providing information on the optical properties of the material as a function of time/depth. Wavelength-dependent studies of the oscillations near the bandgap of GaAs indicate strong correlations to the optical properties of GaAs. We also use the coherent longitudinal acoustic phonon waves to probe a thin buried Ga{sub 0.1}In{sub 0.9}As layers non-invasively. The observed phonon oscillations experience a reduction in amplitude and a phase change at wavelengths near the bandgap of the GaAs, when it passes through the thin Ga{sub x}In{sub 1-x}As layer. The layer depth and thicknesses can be extracted from the oscillation responses. A model has been developed that satisfactorily characterizes the experimental results. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Paths to light trapping in thin film GaAs solar cells.

    Science.gov (United States)

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  9. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    Science.gov (United States)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  10. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Klimov, E. A. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Vasiliev, A. L.; Imamov, R. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics,” (Russian Federation); Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Trunkin, I. N. [National Research Centre “Kurchatov Institute” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation)

    2017-01-15

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers of arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.

  11. High microwave performance ion-implanted GaAs MESFETs on InP substrates

    International Nuclear Information System (INIS)

    Wada, M.; Kato, K.

    1990-01-01

    Ion implantation was employed, for the first time, in fabricating GaAs MESFETs in undoped 2 μm thick GaAs epitaxial layers directly grown on InP substrates by low-pressure MOVPE. The Si-ion-implanted GaAs layer on InP substrates showed excellent electrical characteristics: a mobility of 4300 cm 2 /Vs with a carrier density of 2 x 10 17 cm -3 at room temperature. The MESFET (0.8 μm gate length) exhibited a current-gain cutoff frequency of 25 GHz and a maximum frequency of oscillation of 53 GHz, the highest values yet reported to GaAs MESFETs on InP substrates. These results demonstrate the high potential of ion-implanted MESFETs as electronic devices for high-speed InP-based OEICs. (author)

  12. Growth of thermal oxide layers on GaAs and InP in the presence of ammonium heptamolybdate

    International Nuclear Information System (INIS)

    Mittova, I.Ya.; Lavrushina, S.S.; Afonchikova, A.V.

    2004-01-01

    Processes of thermal oxidation of GaAs and InP in the presence of ammonium heptamolybdate were studied using the methods of X-ray fluorescence analysis and IR spectroscopy at temperatures 480-580 Deg C. It was ascertained that introduction of the activator into the system results in accelerated growth of layers on semiconductors due to participation of anionic component of the chemostimulator in oxidation processes. The activator is integrated into the salts formed [ru

  13. Simulated non-contact atomic force microscopy for GaAs surfaces based on real-space pseudopotentials

    International Nuclear Information System (INIS)

    Kim, Minjung; Chelikowsky, James R.

    2014-01-01

    We simulate non-contact atomic force microscopy (AFM) with a GaAs(1 1 0) surface using a real-space ab initio pseudopotential method. While most ab initio simulations include an explicit model for the AFM tip, our method does not introduce the tip modeling step. This approach results in a considerable reduction of computational work, and also provides complete AFM images, which can be directly compared to experiment. By analyzing tip-surface interaction forces in both our results and previous ab initio simulations, we find that our method provides very similar force profile to the pure Si tip results. We conclude that our method works well for systems in which the tip is not chemically active.

  14. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  15. Sn nanothreads in GaAs: experiment and simulation

    Science.gov (United States)

    Semenikhin, I.; Vyurkov, V.; Bugaev, A.; Khabibullin, R.; Ponomarev, D.; Yachmenev, A.; Maltsev, P.; Ryzhii, M.; Otsuji, T.; Ryzhii, V.

    2016-12-01

    The gated GaAs structures like the field-effect transistor with the array of the Sn nanothreads was fabricated via delta-doping of vicinal GaAs surface by Sn atoms with a subsequent regrowth. That results in the formation of the chains of Sn atoms at the terrace edges. Two device models were developed. The quantum model accounts for the quantization of the electron energy spectrum in the self-consistent two-dimensional electric potential, herewith the electron density distribution in nanothread arrays for different gate voltages is calculated. The classical model ignores the quantization and electrons are distributed in space according to 3D density of states and Fermi-Dirac statistics. It turned out that qualitatively both models demonstrate similar behavior, nevertheless, the classical one is in better quantitative agreement with experimental data. Plausibly, the quantization could be ignored because Sn atoms are randomly placed along the thread axis. The terahertz hot-electron bolometers (HEBs) could be based on the structure under consideration.

  16. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.; Ghoneim, Mohamed T.; Droopad, Ravi; Hussain, Muhammad Mustafa

    2014-01-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  17. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.

    2014-08-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  18. Study of the process of positron annihilation in GaAs disturbed surface layers

    International Nuclear Information System (INIS)

    Vorob'ev, A.A.; Aref'ev, K.P.; Vorob'ev, S.A.; Karetnikov, A.S.; Prokop'ev, E.P.; Kuznetsov, Yu.N.; Khashimov, F.R.; Markova, T.I.

    1977-01-01

    The effect was investigated of single-crystal semiconductor surface treatment types on positron annihilation characteristics. CaAs single-crystal specimens were investigated with the following surface treatment types: (a) polishing with Al 2 O 3 abrasive powder water suspension; (b) mechanical polishing with diamond paste; (c) mechanical chemical polishing with Al 2 O 3 or ZrO 2 suspensions; (d) chemical polishing with the 1HF:3HNO 3 :2H 2 O mixture. The investigation of annihilation was performed by the method of distinguishing the narrow component Isub(N) from correlation curves in 14.5 kOc statical magnetic field and by that of measuring the relative value of friquantuum annihilation Psub(3γ). The maximum Isub(N) and Psub(3γ) values are shown to occur in GaAs specimens with the (d) type of treatment. The experimental data provided a conclusion about the presence of a maximum thickness oxide layer of complex composition on the surface of the specimens compared with oxide layer thicknesses on the surface of specimens with (a), (b), and (c) treatmens. It is concluded that the positron annihilation method may be successfully used for the study of semiconductor material oxide layers

  19. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  20. Comparison of different pathways in metamorphic graded buffers on GaAs substrate: Indium incorporation with surface roughness

    International Nuclear Information System (INIS)

    Kumar, Rahul; Mukhopadhyay, P.; Bag, A.; Jana, S. Kr.; Chakraborty, A.; Das, S.; Mahata, M. Kr.; Biswas, D.

    2015-01-01

    Highlights: • In(Al,Ga)As metamorphic buffers on GaAs have been grown. • Surface morphology, strain relaxation and compositional variation have been studied. • Al containing buffers shows inferior surface roughness. • Surface roughness modulates the indium incorporation rate. - Abstract: In this work, compositionally graded In(Al,Ga)As metamorphic buffers (MBs) on GaAs substrate have been grown by MBE through three different paths. A comparative study has been done to comprehend the effect of underlying MB on the constant composition InAlAs healing layer by analyzing the relaxation behaviour, composition and surface morphology of the grown structures. The compositional variation between the constant composition healing layers on top of graded MB has been observed in all three samples although the growth conditions have been kept same. Indium incorporation rate has been found to be dependent on underlying MB. By combining the result of atomic force microscopy, photo-luminescence and X-ray reciprocal space mapping, varying surface roughness has been proposed as the probable driving force behind different Indium incorporation rate

  1. Comparison of different pathways in metamorphic graded buffers on GaAs substrate: Indium incorporation with surface roughness

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Rahul, E-mail: rkp203@gmail.com [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Mukhopadhyay, P. [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur 721302 (India); Bag, A.; Jana, S. Kr. [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Chakraborty, A. [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India); Das, S.; Mahata, M. Kr. [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Biswas, D. [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India)

    2015-01-01

    Highlights: • In(Al,Ga)As metamorphic buffers on GaAs have been grown. • Surface morphology, strain relaxation and compositional variation have been studied. • Al containing buffers shows inferior surface roughness. • Surface roughness modulates the indium incorporation rate. - Abstract: In this work, compositionally graded In(Al,Ga)As metamorphic buffers (MBs) on GaAs substrate have been grown by MBE through three different paths. A comparative study has been done to comprehend the effect of underlying MB on the constant composition InAlAs healing layer by analyzing the relaxation behaviour, composition and surface morphology of the grown structures. The compositional variation between the constant composition healing layers on top of graded MB has been observed in all three samples although the growth conditions have been kept same. Indium incorporation rate has been found to be dependent on underlying MB. By combining the result of atomic force microscopy, photo-luminescence and X-ray reciprocal space mapping, varying surface roughness has been proposed as the probable driving force behind different Indium incorporation rate.

  2. GaAs low-energy X-ray radioluminescence nuclear battery

    Science.gov (United States)

    Zhang, Zheng-Rong; Liu, Yun-Peng; Tang, Xiao-Bin; Xu, Zhi-Heng; Yuan, Zi-Cheng; Liu, Kai; Chen, Wang

    2018-01-01

    The output properties of X-ray radioluminescence (RL) nuclear batteries with different phosphor layers were investigated by using low-energy X-ray. Results indicated that the values of electrical parameters increased as the X-ray energy increased, and the output power of nuclear battery with ZnS:Cu phosphor layer was greater than those of batteries with ZnS:Ag, (Zn,Cd)S:Cu or Y2O3:Eu phosphor layers under the same excitation conditions. To analyze the RL effects of the phosphor layers under X-ray excitation, we measured the RL spectra of the different phosphor layers. Their fluorescence emissions were absorbed by the GaAs device. In addition, considering luminescence utilization in batteries, we introduced an aluminum (Al) film between the X-ray emitter and phosphor layer. Al film is a high performance reflective material and can increase the fluorescence reaching the GaAs photovoltaic device. This approach significantly improved the output power of the battery.

  3. Atomic layer deposition of nanostructured materials

    CERN Document Server

    Pinna, Nicola

    2012-01-01

    Atomic layer deposition, formerly called atomic layer epitaxy, was developed in the 1970s to meet the needs of producing high-quality, large-area fl at displays with perfect structure and process controllability. Nowadays, creating nanomaterials and producing nanostructures with structural perfection is an important goal for many applications in nanotechnology. As ALD is one of the important techniques which offers good control over the surface structures created, it is more and more in the focus of scientists. The book is structured in such a way to fi t both the need of the expert reader (du

  4. Vibration atomic layer deposition for conformal nanoparticle coating

    Energy Technology Data Exchange (ETDEWEB)

    Park, Suk Won; Woo Kim, Jun; Jong Choi, Hyung; Hyung Shim, Joon, E-mail: shimm@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-701 (Korea, Republic of)

    2014-01-15

    A vibration atomic layer deposition reactor was developed for fabricating a conformal thin-film coating on nanosize particles. In this study, atomic layer deposition of 10–15-nm-thick Al{sub 2}O{sub 3} films was conducted on a high-surface-area acetylene black powder with particle diameters of 200–250 nm. Intense vibration during the deposition resulted in the effective separation of particles, overcoming the interparticle agglomeration force and enabling effective diffusion of the precursor into the powder chunk; this phenomenon led to the formation of a conformal film coating on the nanopowder particles. It was also confirmed that the atomic layer deposition Al{sub 2}O{sub 3} films initially grew on the high-surface-area acetylene black powder particles as discrete islands, presumably because chemisorption of the precursor and water occurred only on a few sites on the high-surface-area acetylene black powder surface. Relatively sluggish growth of the films during the initial atomic layer deposition cycles was identified from composition analysis.

  5. Visualization of deuterium dead layer by atom probe tomography

    KAUST Repository

    Gemma, Ryota

    2012-12-01

    The first direct observation, by atom probe tomography, of a deuterium dead layer is reported for Fe/V multilayered film loaded with D solute atoms. The thickness of the dead layers was measured to be 0.4-0.5 nm. The dead layers could be distinguished from chemically intermixed layers. The results suggest that the dead layer effect occurs even near the interface of the mixing layers, supporting an interpretation that the dead layer effect cannot be explained solely by electronic charge transfer but also involves a modulation of rigidity. © 2012 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  6. Visualization of deuterium dead layer by atom probe tomography

    KAUST Repository

    Gemma, Ryota; Al-Kassab, Talaat; Kirchheim, Reiner; Pundt, Astrid A.

    2012-01-01

    The first direct observation, by atom probe tomography, of a deuterium dead layer is reported for Fe/V multilayered film loaded with D solute atoms. The thickness of the dead layers was measured to be 0.4-0.5 nm. The dead layers could be distinguished from chemically intermixed layers. The results suggest that the dead layer effect occurs even near the interface of the mixing layers, supporting an interpretation that the dead layer effect cannot be explained solely by electronic charge transfer but also involves a modulation of rigidity. © 2012 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  7. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  8. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    Energy Technology Data Exchange (ETDEWEB)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.; Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultrahigh Frequency Semiconductor Electronics (Russian Federation); Grekhov, M. M. [National Research Nuclear University “MEPhI” (Russian Federation); Ilyakov, I. E.; Shishkin, B. V.; Akhmedzhanov, R. A. [Russian Academy of Sciences, Institute of Applied Physics (Russian Federation)

    2017-04-15

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  9. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  10. Status of fully integrated GaAs particle detectors

    International Nuclear Information System (INIS)

    Braunschweig, W.; Breibach, J.; Kubicki, Th.; Luebelsmeyer, K.; Maesing, Th.; Rente, C.; Roeper, Ch.; Siemes, A.

    1999-01-01

    GaAs strip detectors are of interest because of their radiation hardness at room temperature and the high absorption coefficient of GaAs for x-rays. The detectors currently under development will be used in the VLQ-experiment at the H1 experiment at the HERA collider. This will be the first high energy physics experiment where GaAs detectors will be used. The detectors have a sensitive area of 5 x 4 cm with a pitch of 62 μ m. Due to the high density of channels the biasing resistors and coupling capacitors are integrated. For the resistors a resistive layer made of Cermet is used. The properties of the first fully integrated strip detector are presented

  11. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  12. Coalescence of GaAs on (001) Si nano-trenches based on three-stage epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    He, Yunrui; Wang, Jun, E-mail: wangjun12@bupt.edu.cn; Hu, Haiyang; Wang, Qi; Huang, Yongqing; Ren, Xiaomin [State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, Beijing 100876 (China)

    2015-05-18

    The coalescence of selective area grown GaAs regions has been performed on patterned 1.8 μm GaAs buffer layer on Si via metal-organic chemical vapor deposition. We propose a promising method of three-stage epitaxial lateral overgrowth (ELO) to achieve uniform coalescence and flat surface. Rough surface caused by the coalescence of different growth fronts is smoothened by this method. Low root-mean-square surface roughness of 6.29 nm has been obtained on a 410-nm-thick coalesced ELO GaAs layer. Cross-sectional transmission electron microscope study shows that the coalescence of different growth fronts will induce some new dislocations. However, the coalescence-induced dislocations tend to mutually annihilate and only a small part of them reach the GaAs surface. High optical quality of the ELO GaAs layer has been confirmed by low temperature (77 K) photoluminescence measurements. This research promises a very large scale integration platform for the monolithic integration of GaAs-based device on Si.

  13. Comparison of the reactivity of alkyl and alkyl amine precursors with native oxide GaAs(100) and InAs(100) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Henegar, A.J., E-mail: henegar1@umbc.edu; Gougousi, T., E-mail: gougousi@umbc.edu

    2016-12-30

    Graphical abstract: The interaction of the native oxides of GaAs(100) and InAs(100) with alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition (ALD) of Al{sub 2}O{sub 3} and TiO{sub 2} is compared. Al{sub 2}O{sub 3} if found to be a significantly better barrier against the transport of the surface native oxide during the film deposition as well as after post-deposition heat treatment. This superior blocking ability also limits the removal of the native oxides during the Al{sub 2}O{sub 3} ALD process. - Highlights: • Native oxide diffusion is required for continuous native oxide removal. • The diffusion barrier capabilities of Al{sub 2}O{sub 3} limits native oxide removal during ALD. • Arsenic oxide exhibits higher mobility from InAs compared to GaAs substrates. • Oxygen scavenging from the surface by trimethyl aluminum is confirmed. - Abstract: In this manuscript we compare the interaction of alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition with III-V native oxides. For that purpose we deposit Al{sub 2}O{sub 3} and TiO{sub 2}, using H{sub 2}O as the oxidizer, on GaAs(100) and InAs(100) native oxide surfaces. We find that there are distinct differences in the behavior of the two films. For the Al{sub 2}O{sub 3} ALD very little native oxide removal happens after the first few ALD cycles while the interaction of the alkyl amine precursor for TiO{sub 2} and the native oxides continues well after the surface has been covered with 2 nm of TiO{sub 2}. This difference is traced to the superior properties of Al{sub 2}O{sub 3} as a diffusion barrier. Differences are also found in the behavior of the arsenic oxides of the InAs and GaAs substrates. The arsenic oxides from the InAs surface are found to mix more efficiently in the growing dielectric film than those from the GaAs surface. This difference is attributed to

  14. Comparison of the reactivity of alkyl and alkyl amine precursors with native oxide GaAs(100) and InAs(100) surfaces

    International Nuclear Information System (INIS)

    Henegar, A.J.; Gougousi, T.

    2016-01-01

    Graphical abstract: The interaction of the native oxides of GaAs(100) and InAs(100) with alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition (ALD) of Al_2O_3 and TiO_2 is compared. Al_2O_3 if found to be a significantly better barrier against the transport of the surface native oxide during the film deposition as well as after post-deposition heat treatment. This superior blocking ability also limits the removal of the native oxides during the Al_2O_3 ALD process. - Highlights: • Native oxide diffusion is required for continuous native oxide removal. • The diffusion barrier capabilities of Al_2O_3 limits native oxide removal during ALD. • Arsenic oxide exhibits higher mobility from InAs compared to GaAs substrates. • Oxygen scavenging from the surface by trimethyl aluminum is confirmed. - Abstract: In this manuscript we compare the interaction of alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition with III-V native oxides. For that purpose we deposit Al_2O_3 and TiO_2, using H_2O as the oxidizer, on GaAs(100) and InAs(100) native oxide surfaces. We find that there are distinct differences in the behavior of the two films. For the Al_2O_3 ALD very little native oxide removal happens after the first few ALD cycles while the interaction of the alkyl amine precursor for TiO_2 and the native oxides continues well after the surface has been covered with 2 nm of TiO_2. This difference is traced to the superior properties of Al_2O_3 as a diffusion barrier. Differences are also found in the behavior of the arsenic oxides of the InAs and GaAs substrates. The arsenic oxides from the InAs surface are found to mix more efficiently in the growing dielectric film than those from the GaAs surface. This difference is attributed to lower native oxide stability as well as an initial diffusion path formation by the indium oxides.

  15. Selenium passivation of GaAs(001): a combined experimental and theoretical study

    International Nuclear Information System (INIS)

    Gonzalez, C; Benito, I; Ortega, J; Jurczyszyn, L; Blanco, J M; Perez, R; Flores, F; Kampen, T U; Zahn, D R T; Braun, W

    2004-01-01

    The chemical and electronic properties of selenium passivated GaAs(001)-2 x 1 surfaces were investigated by a combination of theoretical calculations and core level photoemission experiments. An anion exchange results in gallium-selenide like layers showing a 2 x 1 reconstruction in low energy electron diffraction (LEED). The analysis of the different components in the core level spectra of As 3d, Ga 3d and Se 3d limits the number of possible structural models. The Se/GaAs(001)-2 x 1 reconstruction has been also analysed by means of DFT-LDA calculations and theoretical STM currents. In a first step, different geometries are considered and the most stable one, from the point of view of the thermodynamic potential, is determined. Then, STM currents and the corresponding surface corrugation are calculated and compared with the experimental evidence. We conclude that the Se/GaAs(001)-2 x 1 reconstruction has a single Se atom in the last crystal layer, bonded to two Ga atoms of the second layer, and another Se layer replacing the third As layer of the crystal. These surfaces may be considered as chemically stable because they withstand considerable exposure to air. In terms of electronic passivation, i.e. the removal of any surface band bending, the selenium modification is not successful. Band bending on n-type doped samples is reduced while band bending on the p-type doped samples is further increased

  16. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    Energy Technology Data Exchange (ETDEWEB)

    Lavrova, Olga [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials; Balakrishnan, Ganesh [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials

    2017-02-24

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).

  17. Stop Band Gap in Periodic Layers of Confined Atomic Vapor/Dielectric Medium

    International Nuclear Information System (INIS)

    Li Yuan-Yuan; Li Li; Lu Yi-Xin; Zhang Yan-Peng; Xu Ke-Wei

    2013-01-01

    A stop band gap is predicted in periodic layers of a confined atomic vapor/dielectric medium. Reflection and transmission profile of the layers over the band gap can be dramatically modified by the confined atoms and the number of layer periods. These gap and line features can be ascribed to the enhanced contribution of slow atoms induced by atom-wall collision, transient behavior of atom-light interaction and Fabry—Pérot effects in a thermal confined atomic system

  18. GaAs nanocrystals: Structure and vibrational properties

    International Nuclear Information System (INIS)

    Nayak, J.; Sahu, S.N.; Nozaki, S.

    2006-01-01

    GaAs nanocrystals were grown on indium tin oxide substrate by an electrodeposition technique. Atomic force microscopic measurement indicates an increase in the size of the nanocrystal with decrease in the electrolysis current density accompanied by the change in the shape of the crystallite. Transmission electron microscopic measurements identify the crystallite sizes to be in the range of 10-15 nm and the crystal structure to be orthorhombic. On account of the quantum size effect, the first optical transition was blue shifted with respect to the band gap of the bulk GaAs and the excitonic peak appeared prominent. A localized phonon mode ascribed to certain point defect occurred in the room temperature micro-Raman spectrum

  19. Atomic layer deposition for graphene device integration

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Kessels, W.M.M.; Bol, A.A.

    2017-01-01

    Graphene is a two dimensional material with extraordinary properties, which make it an interesting material for many optical and electronic devices. The integration of graphene in these devices often requires the deposition of thin dielectric layers on top of graphene. Atomic layer deposition (ALD)

  20. Promotion effect of monovalent metals (K and Cs) on the GaAs (110) surface oxidation

    International Nuclear Information System (INIS)

    Valeri, S.; Sberveglieri, P.; Angeli, E.

    1987-01-01

    The effect of thin (∼ 1 monolayer) overlayers of low electronegativity metals (Cs and K) on the RT oxidation behaviour of GaAs(110) cleavage surface is studied. This study was with Auger and Photoemission spectroscopies. Attention has been focused on the core-valence-valence and Auger lineshapes on the Ga and As 3d peaks. Presence of the alkali metal enhances the GaAs (110) oxidation rate several orders of magnitude above the clean surface value has been found. The range 0-100 Langmuir is investigated in detail. The oxidation process of the GaAs(110) surface in the presence of both K and Cs overlayer follows a multi-step kinetic and reaches a saturation at exposure lower than 100 Langmuir. Both Ga and As atoms are involved in the oxygen bonding. The metal enhanced semiconductor oxidation is generally reported to be a process involving predominantly the semiconductor surface atoms. However in the Cs - and K - GaAs case, an involvement of the alkali metal atoms too, reflected in the shape modification of their Auger line has been found. The promotion effect of K and Cs is discussed in terms of their low electronegativity and in comparison with the results recently reported in the literature for the other low electronegativity metals

  1. Quantification of segregation and mass transport in InxGa1-xASGaAs Stranski-Krastanow layers

    International Nuclear Information System (INIS)

    Rosenauer, A.; Gerthsen, D.; Van Dyck, D.; Arzberger, M.; Boehm, G.; Abstreiter, G.

    2001-01-01

    We report on transmission electron microscopy (TEM) and photoluminescence (PL) spectroscopy measurement of mass transport and segregation in InAs Stranski-Krastanow layers grown on GaAs(001) by molecular beam epitaxy at growth temperatures of 480 and 530 deg. C. Plan-view TEM reveals regularly shaped islands with a density of 7.8x10 10 cm -2 (480 deg. C) and 1.5x10 10 cm -2 (530 deg. C), respectively. Uncapped islands were investigated by strain state analysis of electron wave functions reconstructed from high-resolution TEM images. In-concentration profiles of the islands were obtained by the measurement of lattice-parameter profiles of the islands and the application of finite-element calculations. We find that the islands contain Ga-atoms with a percentage of 50% (480 deg. C) and 67% (530 deg. C). The capped InAs-layers were investigated with PL and TEM. In agreement with TEM, PL indicates a smaller and deeper potential well of the islands grown at 480 deg. C. Concentration profiles of the wetting layers were measured with TEM using the composition evaluation of lattice fringe images method, clearly revealing segregation profiles. The obtained segregation efficiency of In-atoms is 0.77±0.02 (480 deg. C) and 0.82±0.02 (530 deg. C). As an explanation for the strong mass transport of Ga from the substrate to the islands we show that the segregation of In atoms during the growth of the binary InAs can lead to the generation of vacancies in the metal sublattice. The vacancies are filled by Ga-atoms migrating along the surface or by a diffusion of the vacancies from the wetting layer and the islands into the GaAs buffer, leading to a unidirectional diffusion of Ga atoms from the buffer into the Stranski-Krastanow layer

  2. Atomic scale characterization of mismatched graphene layers

    International Nuclear Information System (INIS)

    Luican-Mayer, Adina; Li, Guohong; Andrei, Eva Y.

    2017-01-01

    Highlights: • Review of STM/STS of graphene with various degree of coupling. • Review of vertically twisted graphene with respect with each other. • Review of Landau levels in graphene layers weakly decoupled electronically. • Review of laterally twisted graphene forming grain boundaries. - Abstract: In the bourgeoning field of two dimensional layered materials and their atomically thin counterparts, it has been established that the electronic coupling between the layers of the material plays a key role in determining its properties [1,2]. We are just beginning to understand how each material is unique in that respect while working our way up to building new materials with functionalities enabled by interlayer interactions. In this review, we will focus on a system that despite its apparent simplicity possesses a wealth of intriguing physics: layers of graphene with various degree of coupling. The situations discussed here are graphene layers vertically twisted with respect with each other, weakly decoupled electronically and laterally twisted forming grain boundaries. We emphasize experiments that atomically resolve the electronic properties.

  3. Microwave GaAs Integrated Circuits On Quartz Substrates

    Science.gov (United States)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  4. Bismuth alloying properties in GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Lu [State Key Laboratory of Information Photonics and Optical Communications, Ministry of Education, Beijing University of Posts and Telecommunications, PO Box 72, Beijing 100876 (China); Lu, Pengfei, E-mail: photon.bupt@gmail.com [State Key Laboratory of Information Photonics and Optical Communications, Ministry of Education, Beijing University of Posts and Telecommunications, PO Box 72, Beijing 100876 (China); Cao, Huawei; Cai, Ningning; Yu, Zhongyuan [State Key Laboratory of Information Photonics and Optical Communications, Ministry of Education, Beijing University of Posts and Telecommunications, PO Box 72, Beijing 100876 (China); Gao, Tao [Institute of Atomic and Molecular Physics, Sichuan University, Chengdu 610065 (China); Wang, Shumin [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Photonics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, 41296 Gothenburg (Sweden)

    2013-09-15

    First-principles calculations have been performed to investigate the structural, electronic and optical properties of bismuth alloying in GaAs nanowires. A typical model of Ga{sub 31}As{sub 31} nanowires is introduced for its reasonable band gap. The band gap of GaAs{sub 1−x}Bi{sub x} shrinks clearly with the increasing Bi concentration and the band edge shifts when spin–orbit coupling (SOC) is considered. The insertion of Bi atom leads to hybridization of Ga/As/Bi p states which contributes a lot around Fermi level. Scissor effect is involved. The optical properties are presented, including dielectric function, optical absorption spectra and reflectivity, which are also varied with the increasing of Bi concentrations. - Graphical abstract: Top view of Bi-doped GaAs nanowires. Ga, As, and Bi atoms are denoted with grey, purple and red balls, respectively. Display Omitted - Highlights: • A typical model of Ga{sub 31}As{sub 31} nanowires is introduced for its reasonable band gap. • The band gap of GaAs{sub 1−x}Bi{sub x} shrinks clearly with the increasing Bi concentration. • The band edge shifts when spin–orbit coupling (SOC) is considered. • The insertion of Bi atom leads to hybridization of Ga/As/Bi p states.

  5. Self-assembled colloidal PbS quantum dots on GaAs substrates

    International Nuclear Information System (INIS)

    Lue, Wei; Yamada, Fumihiko; Kamiya, Itaru

    2010-01-01

    We report the fabrication and analysis of self-assembled monolayer and bilayer films of colloidal PbS quantum dots (QDs) on GaAs (001) substrates. 1,6-hexanedithiol is used as link molecule between QDs and GaAs substrates. Atomic force microscopy (AFM) and photoluminescence (PL) measurements confirm the formation of PbS QD film on GaAs. For the monolayer PbS QD film, the temperature-dependent PL shows a feature typical of close-packed film. For the bilayer PbS QD film fabricated from two different mean-sized PbS QDs, we find that the stacking sequence of QDs with different size affects the quantum yield and emission wavelength of the film.

  6. Atomic and molecular layer deposition for surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Sievänen, Jenni; Salo, Erkki; Heikkilä, Pirjo; Kenttä, Eija [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Johansson, Leena-Sisko, E-mail: leena-sisko.johansson@aalto.fi [Aalto University, School of Chemical Technology, Department of Forest Products Technology, PO Box 16100, FI‐00076 AALTO (Finland); Koskinen, Jorma T.; Harlin, Ali [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland)

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjet printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.

  7. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  8. On the optimization of asymmetric barrier layers in InAlGaAs/AlGaAs laser heterostructures on GaAs substrates

    International Nuclear Information System (INIS)

    Zhukov, A. E.; Asryan, L. V.; Semenova, E. S.; Zubov, F. I.; Kryzhanovskaya, N. V.; Maximov, M. V.

    2015-01-01

    Band offsets at the heterointerface are calculated for various combinations of InAlGaAs/AlGaAs heteropairs that can be synthesized on GaAs substrates in the layer-by-layer pseudomorphic growth mode. Patterns which make it possible to obtain an asymmetric barrier layer providing the almost obstruction-free transport of holes and the highest possible barrier height for electrons are found. The optimal compositions of both compounds (In 0.232 Al 0.594 Ga 0.174 As/Al 0.355 Ga 0.645 As) at which the flux of electrons across the barrier is at a minimum are determined with consideration for the critical thickness of the indium-containing quaternary solid solution

  9. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  10. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  11. Structural Evolution During Formation and Filling of Self-patterned Nanoholes on GaAs (100 Surfaces

    Directory of Open Access Journals (Sweden)

    Zhou Lin

    2008-01-01

    Full Text Available Abstract Nanohole formation on an AlAs/GaAs superlattice gives insight to both the “drilling” effect of Ga droplets on AlAs as compared to GaAs and the hole-filling process. The shape and depth of the nanoholes formed on GaAs (100 substrates has been studied by the cross-section transmission electron microscopy. The Ga droplets “drill” through the AlAs layer at a much slower rate than through GaAs due to differences in activation energy. Refill of the nanohole results in elongated GaAs mounds along the [01−1] direction. As a result of capillarity-induced diffusion, GaAs favors growth inside the nanoholes, which provides the possibility to fabricate GaAs and AlAs nanostructures.

  12. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Two-dimensional superconducting state of monolayer Pb films grown on GaAs(110) in a strong parallel magnetic field.

    Science.gov (United States)

    Sekihara, Takayuki; Masutomi, Ryuichi; Okamoto, Tohru

    2013-08-02

    Two-dimensional (2D) superconductivity was studied by magnetotransport measurements on single-atomic-layer Pb films on a cleaved GaAs(110) surface. The superconducting transition temperature shows only a weak dependence on the parallel magnetic field up to 14T, which is higher than the Pauli paramagnetic limit. Furthermore, the perpendicular-magnetic-field dependence of the sheet resistance is almost independent of the presence of the parallel field component. These results are explained in terms of an inhomogeneous superconducting state predicted for 2D metals with a large Rashba spin splitting.

  14. Change of the work function and potential barrier transparency of W(100) and GaAs(110) single crystals during removing the inherent surface oxide layer

    International Nuclear Information System (INIS)

    Asalkhanov, Yu.I.; Saneev, Eh.L.

    2002-01-01

    Changes of current voltage characteristics of slow monoenergetic electron beam through the surfaces of W(100) and GaAs(100) single crystals have been measured in the process of surface oxide layers elimination. It is shown that work function is decreased and transparency coefficient of surface potential barrier is increased under increasing the temperature of vacuum annealing. Peculiarities of surface potential change under oxide layer elimination in metals and semiconductors are discussed [ru

  15. Effects of buffer layer on the structural and electrical properties of InAsSb epilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jayavel, P.; Nakamura, S.; Koyama, T.; Hayakawa, Y.

    2006-01-01

    InAsSb ternary epilayers with arsenic composition of 0.5 have been grown on GaAs(001) substrates. Linear-graded and step-graded InAsSb buffer layers with an InSb layer have been used to relax lattice mismatch between the epilayer and substrate. X-ray diffraction results of the epilayers indicate that an enhancement in the peak intensity of the buffer layer samples is due to improved crystalline quality of the epilayers. We find that the growth technique of the buffer layer strongly influences the surface morphology and roughness of the epilayer. Hall effect measurements of the step-graded buffer layer samples show an order of magnitude higher electron mobility than the direct and linear-graded buffer layer samples. These results demonstrate that high crystalline quality and electron mobility of the InAs 0.5 Sb 0.5 ternary epilayers can be achieved by using the step-graded InAsSb buffer layers. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Adding GaAs Monolayers to InAs Quantum-Dot Lasers on (001) InP

    Science.gov (United States)

    Qiu, Yueming; Chacon, Rebecca; Uhl, David; Yang, Rui

    2005-01-01

    In a modification of the basic configuration of InAs quantum-dot semiconductor lasers on (001)lnP substrate, a thin layer (typically 1 to 2 monolayer thick) of GaAs is incorporated into the active region. This modification enhances laser performance: In particular, whereas it has been necessary to cool the unmodified devices to temperatures of about 80 K in order to obtain lasing at long wavelengths, the modified devices can lase at wavelengths of about 1.7 microns or more near room temperature. InAs quantum dots self-assemble, as a consequence of the lattice mismatch, during epitaxial deposition of InAs on ln0.53Ga0.47As/lnP. In the unmodified devices, the quantum dots as thus formed are typically nonuniform in size. Strainenergy relaxation in very large quantum dots can lead to poor laser performance, especially at wavelengths near 2 microns, for which large quantum dots are needed. In the modified devices, the thin layers of GaAs added to the active regions constitute potential-energy barriers that electrons can only penetrate by quantum tunneling and thus reduce the hot carrier effects. Also, the insertion of thin GaAs layer is shown to reduce the degree of nonuniformity of sizes of the quantum dots. In the fabrication of a batch of modified InAs quantum-dot lasers, the thin additional layer of GaAs is deposited as an interfacial layer in an InGaAs quantum well on (001) InP substrate. The device as described thus far is sandwiched between InGaAsPy waveguide layers, then further sandwiched between InP cladding layers, then further sandwiched between heavily Zn-doped (p-type) InGaAs contact layer.

  17. Atomic-layer-resolved analysis of surface magnetism by diffraction spectroscopy

    International Nuclear Information System (INIS)

    Matsui, Fumihiko; Matsushita, Tomohiro; Daimon, Hiroshi

    2010-01-01

    X-ray absorption near edge structure (XANES) and X-ray magnetic circular dichroism (XMCD) measurements by Auger-electron-yield detection are powerful analysis tools for the electronic and magnetic structures of surfaces, but all the information from atoms within the electron mean-free-path range is summed into the obtained spectrum. In order to investigate the electronic and magnetic structures of each atomic layer at subsurface, we have proposed a new method, diffraction spectroscopy, which is the combination of X-ray absorption spectroscopy and Auger electron diffraction (AED). From a series of measured thickness dependent AED patterns, we deduced a set of atomic-layer-specific AED patterns arithmetically. Based on these AED patterns, we succeeded in disentangling obtained XANES and XMCD spectra into those from different atomic layers.

  18. Emission of circularly polarized recombination radiation from p-doped GaAs and GaAs0.62P0.38 under the impact of polarized electrons

    International Nuclear Information System (INIS)

    Fromme, B.; Baum, G.; Goeckel, D.; Raith, W.

    1989-01-01

    Circularly polarized light is emitted in radiative transitions of polarized electrons from the conduction to the valence band in GaAs or GaAs 1-x P x crystals. The degree of light polarization is directly related to the polarization of the conduction-band electrons at the instant of recombination and allows conclusions about the depolarization of electrons in the conduction band. The depolarization is caused by spin-relaxation processes. The efficiency of these processes depends on crystal type, crystal temperature, degree of doping, and kinetic energy of the electrons. Highly p-doped GaAs and GaAs 0.62 P 0.38 crystals (N A >1x10 19 atoms/cm 3 ) were bombarded with polarized electrons (initial polarization 38%), and the spectral distribution and the circular polarization of the emitted recombination radiation were measured. The initial kinetic energy of the electrons in the conduction band was varied between 5 and 1000 eV. The measurements of the spectral distribution show that the electrons are thermalized before recombination occurs, independent of their initial energy. An important thermalization process in this energy range is the excitation of crystal electrons by electron-hole pair creation. The circular polarization of the recombination radiation lies below 1% in the whole energy range. It decreases with increasing electron energy but is still of measurable magnitude at 100 eV in the case of GaAs 0.62 P 0.38 . The circular polarization is smaller for GaAs than for GaAs 0.62 P 0.38 , which we attribute to more efficient spin relaxation in GaAs

  19. A new structure for comparing surface passivation materials of GaAs solar cells

    Science.gov (United States)

    Desalvo, Gregory C.; Barnett, Allen M.

    1989-01-01

    The surface recombination velocity (S sub rec) for bare GaAs is typically as high as 10 to the 6th power to 10 to the 7th power cm/sec, which dramatically lowers the efficiency of GaAs solar cells. Early attempts to circumvent this problem by making an ultra thin junction (xj less than .1 micron) proved unsuccessful when compared to lowering S sub rec by surface passivation. Present day GaAs solar cells use an GaAlAs window layer to passivate the top surface. The advantages of GaAlAs in surface passivation are its high bandgap energy and lattice matching to GaAs. Although GaAlAs is successful in reducing the surface recombination velocity, it has other inherent problems of chemical instability (Al readily oxidizes) and ohmic contact formation. The search for new, more stable window layer materials requires a means to compare their surface passivation ability. Therefore, a device structure is needed to easily test the performance of different passivating candidates. Such a test device is described.

  20. Annealing of proton-damaged GaAs and 1/f noise

    NARCIS (Netherlands)

    Chen, X.Y.; Folter, de L.C.

    1997-01-01

    GaAs layers were grown by MBE. The layers were then damaged by 3 MeV proton irradiation and later annealed. We performed Hall effect and low-frequency noise measurements at temperatures between 77 K and 300 K after each step. Several generation - recombination noise components created by proton

  1. Periodic nanostructures fabricated on GaAs surface by UV pulsed laser interference

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Wei; Huo, Dayun; Guo, Xiaoxiang; Rong, Chen; Shi, Zhenwu, E-mail: zwshi@suda.edu.cn; Peng, Changsi, E-mail: changsipeng@suda.edu.cn

    2016-01-01

    Graphical abstract: - Highlights: • Periodic nanostructures were fabricated on GaAs wafers by four-beam laser interference patterning which have potential applications in many fields. • Significant different results were obtained on epi-ready and homo-epitaxial GaAs substrate surfaces. • Two-pulse patterning was carried out on homo-epitaxial GaAs substrate, a noticeable morphology transformation induced by the second pulse was observed. • Temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations. The calculation agrees well with the experiment results. - Abstract: In this paper, periodic nanostructures were fabricated on GaAs wafers by four-beam UV pulsed laser interference patterning. Significant different results were observed on epi-ready and homo-epitaxial GaAs substrate surfaces, which suggests GaAs oxide layer has an important effect on pulsed laser irradiation process. In the case of two-pulse patterning, a noticeable morphology transformation induced by the second pulse was observed on homo-epitaxial GaAs substrate. Based on photo-thermal mode, temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations.

  2. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Balderas-Navarro, R.E. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Facultad de Ciencias, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico)

    2008-07-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E{sub 1} optical transition as a probe. We follow the kinetics of the deposition of GaAs and In{sub 0.3}Ga{sub 0.7}As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As{sub 4} or As{sub 2} flux pressure of 5 x 10{sup -6} Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    International Nuclear Information System (INIS)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F.; Balderas-Navarro, R.E.

    2008-01-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E 1 optical transition as a probe. We follow the kinetics of the deposition of GaAs and In 0.3 Ga 0.7 As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As 4 or As 2 flux pressure of 5 x 10 -6 Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Effects produced in GaAs by MeV ion bombardment

    International Nuclear Information System (INIS)

    Wie, C.R.

    1985-01-01

    The first part of this thesis presents work performed on the ionizing energy beam induced adhesion enhancement of thin (approx.500 A) Au films on GaAs substrates. The ionizing beam, employed in the present thesis, is the MeV ions (i.e., 16 O, 19 F, and 35 Cl), with energies between 1 and 20 MeV. Using the Scratch test for adhesion measurement, and ESCA for chemical analysis of the film substrate interface, the native oxide layer at the interface is shown to play an important role in the adhesion enhancement by the ionizing radiation. A model is discussed that explains the experimental data on the dependence of adhesion enhancement on the energy which was deposited into electronic processes at the interface. The second part of the thesis presents research results on the radiation damage in GaAs crystals produced by MeV ions. Lattice parameter dilatation in the surface layers of the GaAs crystals becomes saturated after a high dose bombardment at room temperature. The strain produced by nuclear collisions is shown to relax partially due to electronic excitation (with a functional dependence on the nuclear and electronic stopping power of bombarding ions. Data on the GaAs and GaP crystals suggest that low temperature recovery stage defects produce major crystal distortion

  5. Atomic layer deposition: prospects for solar cell manufacturing

    NARCIS (Netherlands)

    Kessels, W.M.M.; Hoex, B.; Sanden, van de M.C.M.

    2008-01-01

    Atomic layer deposition (ALD) is a thin film growth technology that is capable of depositing uniform and conformal films on complex, three-dimensional objects with atomic precision. ALD is a rapidly growing field and it is currently at the verge of being introduced in the semiconductor industry.

  6. N/P GaAs concentrator solar cells with an improved grid and bushbar contact design

    International Nuclear Information System (INIS)

    Desalvo, G.C.; Mueller, E.H.; Barnett, A.M.

    1985-01-01

    The major requirements for a solar cell used in space applications are high efficiency at AMO irradiance and resistance to high energy radiation. Gallium arsenide, with a band gap of 1.43 eV, is one of the most efficient sunlight to electricity converters (25%) when the the simple diode model is used to calculate efficiencies at AMO irradiance, GaAs solar cells are more radiation resistant than silicon solar cells and the N/P GaAs device has been reported to be more radiation resistant than similar P/N solar cells. This higher resistance is probably due to the fact that only 37% of the current is generated in the top N layer of the N/P cell compared to 69% in the top layer of a P/N solar cell. This top layer of the cell is most affected by radiation. It has also been theoretically calculated that the optimized N/P device will prove to have a higher efficiency than a similar P/N device. The use of a GaP window layer on a GaAs solar cell will avoid many of the inherent problems normally associated with a GaAlAs window while still proving good passivation of the GaAs surface. An optimized circular grid design for solar cell concentrators has been shown which incorporates a multi-layer metallization scheme. This multi-layer design allows for a greater current carrying capacity for a unit area of shading, which results in a better output efficiency

  7. Comparative research on the transmission-mode GaAs photocathodes of exponential-doping structures

    International Nuclear Information System (INIS)

    Chen Liang; Qian Yun-Sheng; Zhang Yi-Jun; Chang Ben-Kang

    2012-01-01

    Early research has shown that the varied doping structures of the active layer of GaAs photocathodes have been proven to have a higher quantum efficiency than uniform doping structures. On the basis of our early research on the surface photovoltage of GaAs photocathodes, and comparative research before and after activation of reflection-mode GaAs photocathodes, we further the comparative research on transmission-mode GaAs photocathodes. An exponential doping structure is the typical varied doping structure that can form a uniform electric field in the active layer. By solving the one-dimensional diffusion equation for no equilibrium minority carriers of transmission-mode GaAs photocathodes of the exponential doping structure, we can obtain the equations for the surface photovoltage (SPV) curve before activation and the spectral response curve (SRC) after activation. Through experiments and fitting calculations for the designed material, the body-material parameters can be well fitted by the SPV before activation, and proven by the fitting calculation for SRC after activation. Through the comparative research before and after activation, the average surface escape probability (SEP) can also be well fitted. This comparative research method can measure the body parameters and the value of SEP for the transmission-mode GaAs photocathode more exactly than the early method, which only measures the body parameters by SRC after activation. It can also help us to deeply study and exactly measure the parameters of the varied doping structures for transmission-mode GaAs photocathodes, and optimize the Cs-O activation technique in the future. (electromagnetism, optics, acoustics, heat transfer, classical mechanics, and fluid dynamics)

  8. Density of states of adsorbed sulphur atoms on pristine and defective graphene layers

    International Nuclear Information System (INIS)

    Arellano, J S

    2017-01-01

    The density of states for adsorbed sulphur atom on a graphene layer system is discussed for pristine graphene layer and for mono and divacancies on the graphene layer. To our knowledge this is the first time that an entire adsorption of the sulphur atom is reported at the plane of the carbon atoms, when there is a pair of closer vacancies at the graphene layer. (paper)

  9. Selenium implantation in epitaxial gallium arsenide layers

    International Nuclear Information System (INIS)

    Inada, T.; Tokunaga, K.; Taka, S.; Yuge, Y.; Kohzu, H.

    1981-01-01

    Selenium implantation at room temperature in S-doped epitaxial GaAs layers as a means of the formation of n + layers has been investigated. Doping profiles for Se-implanted layers have been examined by a C-V technique and/or a differential Hall effect method. It has been shown that n + layers with a maximum carrier concentration of approx. equal to1.5 x 10 18 cm -3 can be formed by implantation followed by a 15 min annealing at 950 0 C. Contact resistance of ohmic electrodes is reduced by use of the Se-implanted n + layers, resulting in the improvement on GaAs FET performance. Measured minimum noise figure of the Se-implanted GaAs FETs is 0.74 dB at 4 GHz. (orig.)

  10. The role of proximity caps during the annealing of UV-ozone oxidized GaAs

    International Nuclear Information System (INIS)

    Ghosh, S. C.; Biesinger, M. C.; LaPierre, R. R.; Kruse, P.

    2007-01-01

    This study provides a deeper insight into the chemistry and physics of the common engineering practice of using a proximity cap, while annealing compound semiconductors such as GaAs. We have studied the cases of a GaAs proximity cap, a Si proximity cap, and no proximity cap. Using x-ray photoelectron spectroscopy, it has been found that annealing increases the gallium to arsenic ratio in the oxide layer in all cases. During the annealing of UV-ozone oxidized GaAs, it has been observed that GaAs proximity caps also serve as a sacrificial layer to accelerate the desorption of oxide species. In all cases surface deterioration due to pit formation has been observed, and the depth of pits is found to depend on the effective role played by the capping material. Energy dispersive x-ray analysis provides additional evidence that pits mainly consist of elemental As and gallium oxide, with most of the elemental As situated at the pit-substrate interface. Deposition of a thin layer of gold and subsequent annealing to 500 deg. C for 300 s under different capping conditions shows the use of a proximate cap to be practically insignificant in annealing Au deposited films

  11. Investigations on liquid phase electroepitaxial growth kinetics of GaAs

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2004-01-01

    This paper presents a model based on solving a two-dimensional diffusion equation incorporating the electromigration effect by numerical simulation method corresponding to liquid phase electroepitaxial (LPEE) growth of GaAs, whose growth is limited by diffusion and electro migration of solute species. Using the numerical simulation method, the concentration profiles of As in Ga rich solution during the electroepitaxial growth of GaAs have been constructed in front of the growing crystal interface. Using the concentration gradient at the interface, the growth rate and thickness of the epitaxial layer of GaAs have been determined for different experimental growth conditions. The proposed model is based on the assumption that there is no convection in the solution. The results are discussed in detail

  12. Effects of In-situ UV Irradiation on the Uniformity and Optical Properties of GaAsBi Epi-layers Grown by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Beaton, Daniel A [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Steger, Mark [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Christian, Theresa [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Mascarenhas, Angelo J [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-12-14

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs1-xGaAs1-xBixBix epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  13. A polarized photoluminescence study of strained layer GaAs photocathodes

    International Nuclear Information System (INIS)

    Mair, R.A.

    1996-07-01

    Photoluminescence measurements have been made on a set of epitaxially grown strained GaAs photocathode structures. The photocathodes are designed to exhibit a strain-induced enhancement of the electron spin polarization obtainable by optical pumping with circularly polarized radiation of near band gap energy. For the case of non-strained GaAs, the degree of spin polarization is limited to 50% by crystal symmetry. Under an appropriate uniaxial compression or tension, however, the valence band structure near the gap minimum is modified such that a spin polarization of 100% is theoretically possible. A total of nine samples with biaxial compressive strains ranging from zero to ∼0.8% are studied. X-ray diffraction analysis, utilizing Bragg reflections, is used to determine the crystal lattice structure of the samples. Luminescence spectra and luminescence circular polarization data are obtained at room temperature, ∼78 K and ∼12 K. The degree of luminescence circular polarization is used as a relative measure of the photo-excited electron spin polarization. The room temperature luminescence circular polarization data is compared with the measured electron spin polarization when the samples are used as electron photo-emitters with a negative electron affinity surface preparation. The luminescence data is also analyzed in conjunction with the crystal structure data with the goal of understanding the strain dependent valence band structure, optical pumping characteristics and spin depolarization mechanisms of the photocathode structures. A simple model is used to describe the luminescence data, obtained for the set of samples. Within the assumptions of the model, the deformation potentials a, b and d for GaAs are determined. The measured values are a = -10.16±.21 eV, b = -2.00±.05 eV and d = -4.87±.29 eV. Good agreement with published values of the deformation potentials provides support for the model used to describe the data

  14. Photoabsorption modulation in GaAs: Ga1-xInx as strained-layer superlattices

    International Nuclear Information System (INIS)

    Sella, I.; Watkins, D.E.; Laurich, B.K.; Smith, D.L.; Subbanna, S.; Kroemer, H.

    1990-01-01

    Photoabsorption modulation measurements have been made on Ga 1 -x In x As -- GaAs strained-layer superlattices using two approaches: In the first the modulating beam and the test beam have the same wavelength (near the exciton resonance). In the second, the modulation wavelength is much shorter than the test beam wavelength. A dramatic difference is observed in the modulated transmission spectra near the excitonic level for the two modulating wavelengths. The difference in behavior can be explained by screening of the residual surface electric field, which only occurs for the high photon energy modulating beam. This beam excites carriers that are free to drift in the surface field before they are captured in the quantum wells. Carriers excited by the low photon energy modulation beam are created in the wells and can not effectively screen the surface field. We describe a model which explains the nonlinear intensity saturation profile and qualitatively describes the spectral line shape. 4 refs., 4 figs

  15. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    Science.gov (United States)

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  16. Cleaved-edge overgrowth of aligned quantum dots on strained layers of InGaAs

    International Nuclear Information System (INIS)

    Wasserman, D.; Lyon, S.A.

    2004-01-01

    Strain aligned InAs quantum dots were grown on the cleaved edges of first growth samples containing strained In x Ga (1-x) As layers of varying thickness and indium fraction. The formation of the cleaved-edge quantum dots was observed by means of atomic force microscopy. 100% linear alignment of InAs quantum dots over the InGaAs strain layers of the first growth sample is demonstrated. Linear density of the aligned dots was found to depend on the properties of the underlying InGaAs strain layers. Vertical alignment of an additional InAs quantum dot layer over the buried, linearly aligned, initial dot layer was observed for thin GaAs spacer layers

  17. Low energy Ar ion bombardment damage of Si, GaAs, and InP surfaces

    International Nuclear Information System (INIS)

    Williams, R.S.

    1982-01-01

    Argon bombardment damage to (100) surfaces of Si, GaAs, and InP for sputter ion-gun potentials of 1, 2, and 3 kilovolts was studied using Rutherford backscattering. Initial damage rates and saturation damage levels were determined. Bombardment damage sensitivity increased for the sequence Si, GaAs, and InP. Saturation damage levels for Si and GaAs correspond reasonably to LSS projected range plus standard deviation estimates; damage to InP exceeded this level significantly. For an ion-gun potential of 3 keV, the initial sputter yield of P from an InP surface exceeded the sputter yield of In by four atoms per incident Ar projectile. (author)

  18. Femtosecond pulsed laser ablation of GaAs

    International Nuclear Information System (INIS)

    Trelenberg, T.W.; Dinh, L.N.; Saw, C.K.; Stuart, B.C.; Balooch, M.

    2004-01-01

    The properties of femtosecond-pulsed laser deposited GaAs nanoclusters were investigated. Nanoclusters of GaAs were produced by laser ablating a single crystal GaAs target in vacuum or in a buffer gas using a Ti-sapphire laser with a 150 fs minimum pulse length. For in-vacuum deposition, X-ray diffraction (XRD), scanning electron microscopy (SEM), and atomic force microscopy (AFM) revealed that the average cluster size was approximately 7 nm for laser pulse lengths between 150 fs and 25 ps. The average cluster size dropped to approximately 1.5 nm at a pulse length of 500 ps. It was also observed that film thickness decreased with increasing laser pulse length. A reflective coating, which accumulated on the laser admission window during ablation, reduced the amount of laser energy reaching the target for subsequent laser shots and developed more rapidly at longer pulse lengths. This observation indicates that non-stoichiometric (metallic) ablatants were produced more readily at longer pulse lengths. The angular distribution of ejected material about the target normal was well fitted to a bi-cosine distribution of cos 47 θ+ cos 4 θ for ablation in vacuum using 150 fs pulses. XPS and AES revealed that the vacuum-deposited films contained excess amorphous Ga or As in addition to the stoichiometric GaAs nanocrystals seen with XRD. However, films containing only the GaAs nanocrystals were produced when ablation was carried out in the presence of a buffer gas with a pressure in excess of 6.67 Pa. At buffer gas pressure on the order of 1 Torr, it was found that the stoichiometry of the ablated target was also preserved. These experiments indicate that both laser pulse length and buffer gas pressure play important roles in the formation of multi-element nanocrystals by laser ablation. The effects of gas pressure on the target's morphology and the size of the GaAs nanocrystals formed will also be discussed

  19. Donor level of interstitial hydrogen in GaAs

    International Nuclear Information System (INIS)

    Dobaczewski, L.; Bonde Nielsen, K.; Nylandsted Larsen, A.; Peaker, A.R.

    2006-01-01

    The first data evidencing the existence of the donor level of the interstitial hydrogen in GaAs are presented. The abundant formation of the (0/+) donor level after in situ low-temperature implantation of hydrogen into the depletion layer of GaAs Schottky diodes has been observed and the activation energy and annealing properties have been determined by Laplace DLTS. The activation energy for electron emission of this donor state is 0.14eV. Above 100K the hydrogen deep donor state is unstable, converting to a more stable form when there are electrons available for the capture process. A slightly perturbed form of the hydrogen donor in its neutral charge state can be recovered by illuminating the sample. This process releases twice as many electrons as the ionisation process of the hydrogen donor state itself. This fact, by analogy with the silicon case, evidences the negative-U behaviour of hydrogen in GaAs

  20. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  1. Experimental investigations of atomic ordering effects in the epitaxial Ga{sub x}In{sub 1-x}P, coherently grown on GaAs (100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Seredin, P.V., E-mail: paul@phys.vsu.ru [Voronezh State University, Universitetskaya pl., 1, 394006 Voronezh (Russian Federation); Goloshchapov, D.L.; Khudyakov, Yu.Yu.; Lenshin, A.S.; Lukin, A.N. [Voronezh State University, Universitetskaya pl., 1, 394006 Voronezh (Russian Federation); Arsentyev, I.N., E-mail: arsentyev@mail.ioffe.ru [Ioffe Physical and Technical Institute, Polytekhnicheskaya, 26, 194021 St-Petersburg (Russian Federation); Prutskij, Tatiana, E-mail: prutskij@yahoo.com [Instituto de Ciencias, Benemérita Universidad Autónoma de Puebla, Privada 17 Norte, No 3417, Col San Miguel Hueyotlipan, 72050 Puebla, Puebla (Mexico)

    2017-03-15

    A range of structural and spectroscopic techniques were used for the study of the properties of epitaxial Ga{sub x}In{sub 1-x}P alloys with an ordered arrangement of atoms in a crystal lattice grown by MOCVD on single-crystalline substrates of GaAs (100). The appearance of atomic ordering in the coherent growth conditions of the ordered Ga{sub x}In{sub 1-x}P alloy on GaAs (100) resulted in cardinal changes of the structural and optical properties of semiconductor in comparison to disordered alloys, including the change of the crystal lattice parameter and, consequently, reduced crystal symmetry, decreased band gap and formation of two different types of surface nanorelief. This is the first report of the calculation of parameters of the crystal lattice in Ga{sub x}In{sub 1-x}P with ordering taking into account the elastic stresses dependent on long-range ordering. Based on the variance analysis data with regard to the IR-reflection spectra as well as the UV-spectroscopy data obtained in the transmission-reflection mode, the main optical characteristics of the ordered Ga{sub x}In{sub 1-x}P alloys were determined for the first time, namely, refractive index dispersion and high-frequency dielectric constant. All of the experimental results were in good agreement with the previously developed theoretical beliefs.

  2. Alloy formation during InAs nanowire growth on GaAs(111)

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Saqib, Muhammad; Biermanns, Andreas; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. A possible way to obtain nanowires is the growth in molecular beam epitaxy on the (111)B oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of InAs nanowires grown on GaAs substrates covered by different oxide-layers using X-ray diffraction. In this contribution, we report on the structural investigation of InAs nanowires grown via an In droplet on GaAs substrates covered by different oxide layers using X-ray diffraction. Using a combination of symmetric and asymmetric X-ray diffraction, we observe that for growth on a defective oxide layer, alloy formation takes place and a large amount of InGaAs is formed, whereas for growth on an initially smooth oxide layer, only pure InAs is formed.

  3. Novel optical and structural properties of porous GaAs formed by anodic etching of n±GaAs in a HF:C_2H_5OH:HCl:H_2O_2:H_2O electrolyte: effect of etching time

    International Nuclear Information System (INIS)

    Naddaf, M.; Saad, M.

    2014-01-01

    Porous GaAs layers have been formed by anodic etching of n±type GaAs (10.0) substrates in a HF:C_2H_5OH:HCl:H_2O_2:H_2O electrolyte. A dramatic impact of etching time on the optical and structural properties of porous GaAs layer is demonstrated. The nano/micro-features of porous GaAs layers are revealed by scanning electron microscopy (SEM) imaging. Two-peak room temperature photoluminescence (PL), "blue-green"and "green-yellow", is obtained in all prepared porous GaAs samples. Proper adjustment of etching time is found to produce a white color layer, instead of the usual dark gray color of porous GaAs. This is found to cause vast enhancement in the intensity of the visible PL in porous GaAs layer. Chemical composition and structural characterization by means of X-ray photoelectron spectroscopic (XPS), X-ray diffraction (XRD), and micro-Raman spectroscopy, confirm that this layer is characterized with monoclinic β-Ga_2O_3 rich surface. Etching time induced-modification of structural and chemical properties of porous GaAs layer is discussed and correlated to its PL behavior. It is inferred that the "blue-green"PL in porous GaAs can be ascribed to different degrees of quantum confinement in GaAs nano crystallites, whereas, the "green-yellow"PL is highly influenced by the As_2O_3 and Ga_2O_3, content in the porous GaAs layer. In addition, the reflectance measurements reveal an anti-refection trend of behavior of porous GaAs layers in the spectral range (500-1,100 nm). (author)

  4. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  5. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  6. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  7. Heterojunction Diodes and Solar Cells Fabricated by Sputtering of GaAs on Single Crystalline Si

    Directory of Open Access Journals (Sweden)

    Santiago Silvestre

    2015-04-01

    Full Text Available This work reports fabrication details of heterojunction diodes and solar cells obtained by sputter deposition of amorphous GaAs on p-doped single crystalline Si. The effects of two additional process steps were investigated: A hydrofluoric acid (HF etching treatment of the Si substrate prior to the GaAs sputter deposition and a subsequent annealing treatment of the complete layered system. A transmission electron microscopy (TEM exploration of the interface reveals the formation of a few nanometer thick SiO2 interface layer and some crystallinity degree of the GaAs layer close to the interface. It was shown that an additional HF etching treatment of the Si substrate improves the short circuit current and degrades the open circuit voltage of the solar cells. Furthermore, an additional thermal annealing step was performed on some selected samples before and after the deposition of an indium tin oxide (ITO film on top of the a-GaAs layer. It was found that the occurrence of surface related defects is reduced in case of a heat treatment performed after the deposition of the ITO layer, which also results in a reduction of the dark saturation current density and resistive losses.

  8. Magnetic dichroism in photoemission: a new element-specific magnetometer with atomic-layer resolution

    International Nuclear Information System (INIS)

    Starke, K.; Arenholz, E.; Kaindl, G.

    1998-01-01

    Full text: Magnetic coupling in layered metallic structures has become a key issue in thin-film magnetism since the observation of oscillatory exchange coupling across non-ferromagnetic spacer layers. Although this phenomenon was discovered in rare earths (RE) superlattices, mostly transition-metal systems have been studied and are now applied in data-storage industry. An understanding of the coupling mechanisms has been reached after a fabrication of high-quality interfaces became possible. It allowed, in particular, the experimental finding of induced ferromagnetic order in 'nonmagnetic' atomic layers near an interface, using element-specific probes such as magnetic circular dichroism in x-ray absorption. - In layered RE systems, by contrast, the well known intermiscibility has prevented a preparation of atomically sharp interfaces, and all RE superlattices studied so far showed interdiffusion zones of several atomic layers. In the present overview, we report the first fabrication of atomically flat heteromagnetic RE interfaces, their structural characterization and their magnetic analysis using magnetic dichroism in photoemission (MDPE). This new tool gives access to the magnetization of individual atomic layers near interfaces in favourite cases. Merits of MDPE as a magnetometer are demonstrated at the example of Eu/Gd(0001), where chemical shifts of core-level photoemission lines allow to spectroscopically separate up to four different atomic layers. The high surface sensitivity of MDPE, together with the well known dependence of the core-level binding energies on the coordination number of the photo emitting atom, opens the door to future site-specific studies of magnetism in sub-monolayer systems such as 'nanowires'

  9. Diffraction anomalous fine-structure study of strained Ga1-xInxAs on GaAs(001)

    International Nuclear Information System (INIS)

    Woicik, J.C.; Cross, J.O.; Bouldin, C.E.; Ravel, B.; Pellegrino, J.G.; Steiner, B.; Bompadre, S.G.; Sorensen, L.B.; Miyano, K.E.; Kirkland, J.P.

    1998-01-01

    Diffraction anomalous fine-structure measurements performed at both the Ga and As K edges have determined the Ga-As bond length to be 2.442±0.005thinsp Angstrom in a buried, 213-Angstrom-thick Ga 0.785 In 0.215 As layer grown coherently on GaAs(001). This bond length corresponds to a strain-induced contraction of 0.013±0.005thinsp Angstrom relative to the Ga-As bond length in bulk Ga 1-x In x As of the same composition. Together with recent extended x-ray-absorption fine-structure measurements performed at the In K edge [Woicik et al., Phys. Rev. Lett. 79, 5026 (1997)], excellent agreement is found with the uniform bond-length distortion model for strained-layer semiconductors on (001) substrates. copyright 1998 The American Physical Society

  10. Scanning microwave microscopy applied to semiconducting GaAs structures

    Science.gov (United States)

    Buchter, Arne; Hoffmann, Johannes; Delvallée, Alexandra; Brinciotti, Enrico; Hapiuk, Dimitri; Licitra, Christophe; Louarn, Kevin; Arnoult, Alexandre; Almuneau, Guilhem; Piquemal, François; Zeier, Markus; Kienberger, Ferry

    2018-02-01

    A calibration algorithm based on one-port vector network analyzer (VNA) calibration for scanning microwave microscopes (SMMs) is presented and used to extract quantitative carrier densities from a semiconducting n-doped GaAs multilayer sample. This robust and versatile algorithm is instrument and frequency independent, as we demonstrate by analyzing experimental data from two different, cantilever- and tuning fork-based, microscope setups operating in a wide frequency range up to 27.5 GHz. To benchmark the SMM results, comparison with secondary ion mass spectrometry is undertaken. Furthermore, we show SMM data on a GaAs p-n junction distinguishing p- and n-doped layers.

  11. Nano-soldering to single atomic layer

    Science.gov (United States)

    Girit, Caglar O [Berkeley, CA; Zettl, Alexander K [Kensington, CA

    2011-10-11

    A simple technique to solder submicron sized, ohmic contacts to nanostructures has been disclosed. The technique has several advantages over standard electron beam lithography methods, which are complex, costly, and can contaminate samples. To demonstrate the soldering technique graphene, a single atomic layer of carbon, has been contacted, and low- and high-field electronic transport properties have been measured.

  12. Area-selective atomic layer deposition of platinum using photosensitive polyimide

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Sharma, A.; Jiao, Y.; Kessels, W.M.M.; Bol, A.A.

    2016-01-01

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a

  13. Surface segregation and the Al problem in GaAs quantum wells

    Science.gov (United States)

    Chung, Yoon Jang; Baldwin, K. W.; West, K. W.; Shayegan, M.; Pfeiffer, L. N.

    2018-03-01

    Low-defect two-dimensional electron systems (2DESs) are essential for studies of fragile many-body interactions that only emerge in nearly-ideal systems. As a result, numerous efforts have been made to improve the quality of modulation-doped AlxGa1 -xAs /GaAs quantum wells (QWs), with an emphasis on purifying the source material of the QW itself or achieving better vacuum in the deposition chamber. However, this approach overlooks another crucial component that comprises such QWs, the AlxGa1 -xAs barrier. Here we show that having a clean Al source and hence a clean barrier is instrumental to obtain a high-quality GaAs 2DES in a QW. We observe that the mobility of the 2DES in GaAs QWs declines as the thickness or Al content of the AlxGa1 -xAs barrier beneath the QW is increased, which we attribute to the surface segregation of oxygen atoms that originate from the Al source. This conjecture is supported by the improved mobility in the GaAs QWs as the Al cell is cleaned out by baking.

  14. Strong coupling between bi-dimensional electron gas and nitrogen localized states in heavily doped GaAs1-xN x structures

    International Nuclear Information System (INIS)

    Hamdouni, A.; Bousbih, F.; Ben Bouzid, S.; Oueslati, M.; Chtourou, R.; Harmand, J.C.

    2005-01-01

    We report a low-temperature photoluminescence spectra (LTPL) of GaAs 1-x N x layers and two-dimension electron gas (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure grown on GaAs substrates by molecular beam epitaxy (MBE) with low nitrogen content [N] = 2 x 10 18 cm -3 . At low temperature, PL spectra of GaAs 1-x N x layers are governed by several features associate to the excitons bound to nitrogen complexes, these features disappear in (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure and the PL peak energy decrease with the laser power excitation. This effect is explained by the strongly coupling of the (2DEG) fundamental state with the nitrogen localized states. An activated energy of about 55 meV is deduced by photoluminescence measurements in the 10-300 K range for a laser power excitation P = 6 W/cm 2

  15. A combined scanning tunneling microscope-atomic layer deposition tool.

    Science.gov (United States)

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  16. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Hultqvist, Adam; Bent, Stacey F. [Department of Chemical Engineering, Stanford University, Stanford, California 94305 (United States); Li, Jian V.; Kuciauskas, Darius; Dippo, Patricia; Contreras, Miguel A.; Levi, Dean H. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States)

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.

  17. Self-healing in fractured GaAs nanowires

    International Nuclear Information System (INIS)

    Wang Jun; Lu Chunsheng; Wang Qi; Xiao Pan; Ke Fujiu; Bai Yilong; Shen Yaogen; Wang Yanbo; Chen Bin; Liao Xiaozhou; Gao Huajian

    2012-01-01

    Molecular dynamics simulations are performed to investigate a spontaneous self-healing process in fractured GaAs nanowires with a zinc blende structure. The results show that such self-healing can indeed occur via rebonding of Ga and As atoms across the fracture surfaces, but it can be strongly influenced by several factors, including wire size, number of healing cycles, temperature, fracture morphology, oriented attachment and atomic diffusion. For example, it is found that the self-healing capacity is reduced by 46% as the lateral dimension of the wire increases from 2.3 to 9.2 nm, and by 64% after 24 repeated cycles of fracture and healing. Other factors influencing the self-healing behavior are also discussed.

  18. Damage related deep electron levels in ion implanted GaAs

    International Nuclear Information System (INIS)

    Allsopp, D.W.E.; Peaker, A.R.

    1986-01-01

    A study has been made of the deep electron levels in semi-insulating GaAs implanted with either 78 Se + or 29 Si + ions and rendered n-type by subsequent annealing without encapsulation in partial pressures of arsenic or arsine. Three implantation related deep states were detected with concentration profiles approximating to the type of Gaussian distributions expected for point defects related to ion implantation damage. Further heat treatment of the samples at 500 0 C in a gas ambient of U 2 /H 2 substantially reduced concentration of these deep levels. Two of these states were thought to be related to displacements of the substrate atoms. The third, at Esubc -0.67 eV, was found in only 78 Se + ion implanted GaAs substrates and was thought to be a defect involving both Se and As atoms, rather than intrinsic lattice disorder. It is proposed that the annealing rate of these implantation related deep levels depends crucially on the in-diffusion of arsenic vacancies during heat treatments. (author)

  19. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland)

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigated through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.

  20. Effects of surface passivation on twin-free GaAs nanosheets.

    Science.gov (United States)

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  1. Magnetic anisotropy and anisotropic magnetoresistance of (Ga,Mn)As Layers on (113)A GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Donhauser, Daniela; Dreher, Lukas; Daeubler, Joachim; Glunk, Michael; Rapp, Christoph; Schoch, Wladimir; Sauer, Rolf; Limmer, Wolfgang [Institut fuer Halbleiterphysik, Universitaet Ulm (Germany)

    2009-07-01

    We study the magnetic anisotropy and the anisotropic magnetoresistance of compressively strained (Ga,Mn)As films with various Mn concentrations, grown on (113)A-oriented GaAs substrates. High-resolution x-ray diffraction (HRXRD) studies reveal a monoclinic symmetry of the distorted (113)A layers in agreement with an explicit calculation of the strain tensor. Based on this result, general expressions for the resistivity tensor and the free energy of single-crystalline ferromagnets are derived from a series expansion with respect to the magnetization orientation, including terms up to the fourth order. With these expressions we are able to model the measured angular dependences of our magnetotransport data with the assumption of a single ferromagnetic domain model. In order to quantitatively derive the resistivity and anisotropy parameters the longitudinal and transverse resistivities are experimentally studied for magnetic fields rotated within the (113), (33 anti 2), and (anti 110) plane at various field strengths. It turned out that some of the resistivity parameters significantly depend on the strength of the external magnetic field. Furthermore we found that the layers exhibit a uniaxial anisotropy along the [001] crystallographic axis, which can be theoretically explained based on the explicit form of the strain tensor.

  2. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2010-01-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. (author)

  3. Andreev reflections at interfaces between delta-doped GaAs and superconducting Al films

    DEFF Research Database (Denmark)

    Taboryski, Rafael Jozef; Clausen, Thomas; Hansen, Jørn Bindslev

    1996-01-01

    By placing several Si delta-doped layers close to the surface of a GaAs molecular beam epitaxy-grown crystal, we achieve a compensation of the Schottky barrier and obtain a good Ohmic contact between an in situ deposited (without breaking the vacuum) Al metallization layer and a highly modulation...

  4. Homogeneous ZnO nanostructure arrays on GaAs substrates by two-step chemical bath synthesis

    International Nuclear Information System (INIS)

    Huang, Chun-Yuan; Wu, Tzung-Han; Cheng, Chiao-Yang; Su, Yan-Kuin

    2012-01-01

    ZnO nanostructures, including nanowires, nanorods, and nanoneedles, have been deposited on GaAs substrates by the two-step chemical bath synthesis. It was demonstrated that the O 2 -plasma treatment of GaAs substrates prior to the sol–gel deposition of seed layers was essential to conformally grow the nanostructures instead of 2D ZnO bunches and grains on the seed layers. Via adjusting the growth time and concentration of precursors, nanostructures with different average diameter (26–225 nm), length (0.98–2.29 μm), and density (1.9–15.3 × 10 9 cm −2 ) can be obtained. To the best of our knowledge, this is the first demonstration of ZnO nanostructure arrays grown on GaAs substrates by the two-step chemical bath synthesis. As an anti-reflection layer on GaAs-based solar cells, the array of ZnO nanoneedles with an average diameter of 125 nm, a moderate length of 2.29 μm, and the distribution density of 9.8 × 10 9 cm −2 has increased the power conversion efficiency from 7.3 to 12.2 %, corresponding to a 67 % improvement.

  5. Spectroscopic ellipsometry analysis of GaAs1-xNx layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ben Sedrine, N.; Rihani, J.; Stehle, J.L.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    In this work, we present the effect of nitrogen incorporation on the dielectric function of GaAsN samples, grown by molecular beam epitaxy (MBE) followed by a rapid thermal annealing (for 90 s at 680 deg. C). The GaAs 1-x N x samples with N content up to 1.5% (x = 0.0%, 0.1%, 0.5%, 1.5%), are investigated using room temperature spectroscopic ellipsometry (SE). The optical transitions in the spectral region around 3 eV are analyzed by fitting analytical critical point line shapes to the second derivative of the dielectric function. It was found that the features associated with E 1 and E 1 + Δ 1 transitions are blue-shifted and become less sharp with increasing nitrogen incorporation, in contrast to the case of E 0 transition energy in GaAs 1-x N x . An increase of the split-off Δ 1 energy with nitrogen content was also obtained, in agreement to results found with MOVPE GaAs 1-x N x grown samples

  6. Visualization of arrangements of carbon atoms in graphene layers by Raman mapping and atomic-resolution TEM

    KAUST Repository

    Cong, Chunxiao

    2013-02-01

    In-plane and out-of-plane arrangements of carbon atoms in graphene layers play critical roles in the fundamental physics and practical applications of these novel two-dimensional materials. Here, we report initial results on the edge/crystal orientations and stacking orders of bi-and tri-layer graphene (BLG and TLG) from Raman spectroscopy and transmission electron microscopy (TEM) experiments performed on the same sample. We introduce a new method of transferring graphene flakes onto a normal TEM grid. Using this novel method, we probed the BLG and TLG flakes that had been previously investigated by Raman scattering with high-resolution (atomic) TEM.

  7. Simulation of atomic layer deposition on nanoparticle agglomerates

    NARCIS (Netherlands)

    Jin, W.; van Ommen, J.R.; Kleijn, C.R.

    2016-01-01

    Coated nanoparticles have many potential applications; production of large quantities is feasible by atomic layer deposition (ALD) on nanoparticles in a fluidized bed reactor. However, due to the cohesive interparticle forces, nanoparticles form large agglomerates, which influences the coating

  8. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    Energy Technology Data Exchange (ETDEWEB)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.; Rastogi, Vinayak [TEL Technology Center, America LLC, 255 Fuller Road, Suite 214, Albany, New York 12203 (United States); Koshiishi, Akira [Tokyo Electron Miyagi, Ltd., 1 Techno-Hills, Taiwa-cho, Kurokawa-gun, Miyagi, 9813629 (Japan); Ventzek, Peter L. G. [Tokyo Electron America, Inc., 2400 Grove Blvd., Austin, Texas 78741 (United States)

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ion energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.

  9. Ohmic contact formation process on low n-type gallium arsenide (GaAs) using indium gallium zinc oxide (IGZO)

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Seong-Uk [Samsung-SKKU Graphene Center and School of Electronics and Electrical Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Product and Test Engineering Team, System LSI Division, Samsung Electronics Co., Ltd, Yongin 446-711 (Korea, Republic of); Jung, Woo-Shik [Department of Electrical Engineering, Stanford University, Stanford, CA 94305 (United States); Lee, In-Yeal; Jung, Hyun-Wook; Kim, Gil-Ho [Samsung-SKKU Graphene Center and School of Electronics and Electrical Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Park, Jin-Hong, E-mail: jhpark9@skku.edu [Samsung-SKKU Graphene Center and School of Electronics and Electrical Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2014-02-01

    Highlights: • We propose a method to fabricate non-gold Ohmic contact on low n-type GaAs with IGZO. • 0.15 A/cm{sup 2} on-current and 1.5 on/off-current ratio are achieved in the junction. • InAs and InGaAs formed by this process decrease an electron barrier height. • Traps generated by diffused O atoms also induce a trap-assisted tunneling phenomenon. - Abstract: Here, an excellent non-gold Ohmic contact on low n-type GaAs is demonstrated by using indium gallium zinc oxide and investigating through time of flight-secondary ion mass spectrometry, X-ray photoelectron spectroscopy, transmission electron microscopy, J–V measurement, and H [enthalpy], S [entropy], Cp [heat capacity] chemistry simulation. In is diffused through GaAs during annealing and reacts with As, forming InAs and InGaAs phases with lower energy bandgap. As a result, it decreases the electron barrier height, eventually increasing the reverse current. In addition, traps generated by diffused O atoms induce a trap-assisted tunneling phenomenon, increasing generation current and subsequently the reverse current. Therefore, an excellent Ohmic contact with 0.15 A/cm{sup 2} on-current density and 1.5 on/off-current ratio is achieved on n-type GaAs.

  10. Magnetoresistance and Curie temperature of GaAs semiconductor doped with Mn ions

    International Nuclear Information System (INIS)

    Yalishev, V.Sh.

    2006-02-01

    Key words: diluted magnetic semiconductors, magnetoresistance, ferromagnetism, ionic implantation, molecular-beam epitaxy, magnetic clusters, Curie temperature. Subjects of the inquiry: Diluted magnetic semiconductor GaAs:Mn. Aim of the inquiry: determination of the possibility of the increase of Curie temperature in diluted magnetic semiconductors based on GaAs doped with Mn magnetic impurity. Method of inquiry: superconducting quantum interference device (SQUID), Hall effect, magnetoresistance, atomic and magnetic force microscopes. The results achieved and their novelty: 1. The effect of the additional doping of Ga 0,965 Mn 0,035 As magnetic epitaxial layers by nonmagnetic impurity of Be on on the Curie temperature was revealed. 2. The exchange interaction energy in the investigated Ga 0,965 Mn 0,035 As materials was determined by the means of the magnetic impurity dispersion model from the temperature dependence of the resistivity measurements. 3. The effect of magnetic clusters dimensions and illumination on the magnetoresistance of GaAs materials containing nano-dimensional magnetic clusters was studied for the first time. Practical value: Calculated energy of the exchange interaction between local electrons of magnetic ions and free holes in Ga 1-x Mn x As magnetic semiconductors permitted to evaluate the theoretical meaning of Curie temperature depending on concentration of free holes and to compare it with experimental data. Sphere of usage: micro- and nano-electronics, solid state physics, physics of semiconductors, magnetic materials physics, spin-polarized current sources. (author)

  11. Atomic layer deposition for nanostructured Li-ion batteries

    NARCIS (Netherlands)

    Knoops, H.C.M.; Donders, M.E.; Sanden, van de M.C.M.; Notten, P.H.L.; Kessels, W.M.M.

    2012-01-01

    Nanostructuring is targeted as a solution to achieve the improvements required for implementing Li-ion batteries in a wide range of applications. These applications range in size from electrical vehicles down to microsystems. Atomic layer deposition (ALD) could be an enabling technology for

  12. Fabrication of Hyperbolic Metamaterials using Atomic Layer Deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy

     technology allowing thickness control on atomic scale. As the deposition relies on a surface reaction, conformal pinhole free films can be deposited on various substrates with advanced topology. This method has been a central theme of the project and a core fabrication technique of plasmonic and dielectric...... in dielectric host, the fabrication is still challenging, since ultrathin, continuous, pinhole free nanometer-scale coatings are desired. The required high-quality thin layers have been fabricated using atomic layer deposition (ALD). It is a relatively new, cyclic, self-limiting thin film deposition......, especially in the infrared range, result in high loss and weak connement to the surface. Additionally, the most implemented metals in plasmonics such as Au and Ag are diffcult to pattern at nanoscale due to their limited chemistry, adhesion or oxidation issues. Therefore the implementation of...

  13. Hydrogenation of GaAs covered by GaAlAs and subgrain boundary passivation

    Science.gov (United States)

    Djemel, A.; Castaing, J.; Chevallier, J.; Henoc, P.

    1992-12-01

    Cathodoluminescence (CL) has been performed to study the influence of hydrogen on electronic properties of GaAs with and without a GaAlAs layer. Recombination at sub-boundaries has been examined. These extended defects have been introduced by high temperature plastic deformation. The results show that they are passivated by hydrogen. The penetration of hydrogen is slowed down by the GaAlAs layer. La cathodoluminescence (CL) a été utilisée pour étudier l'influence de l'hydrogène sur les propriétés électroniques de GaAs nu et recouvert d'une couche de GaAlAs. Le caractère recombinant des sous-joints de grains a été examiné. Ces défauts étendus ont été introduits par déformation plastique à chaud. Les résultats montrent que l'hydrogène passive ces défauts. La pénétration de l'hydrogène à l'intérieur de GaAs est retardée par la présence de la couche de GaAlAs.

  14. Semi-insulating GaAs detectors of fast neutrons

    International Nuclear Information System (INIS)

    Sagatova, A.; Sedlackova, K.; Necas, V.; Zatko, B.; Dubecky, F.; Bohacek, P.

    2012-01-01

    The present work deals with the technology of HDPE neutron conversion layer application on the surface of semi-insulating (SI) GaAs detectors via developed polypropylene (PP) based glue. The influence of glue deposition on the electric properties of the detectors was studied as well as the ability of the detectors to register the fast neutrons from "2"3"9Pu-Be neutron source. (authors)

  15. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  16. Interface doping of conjugated organic films by means of diffusion of atomic components from the surfaces of semiconductors and of metal oxides.

    Science.gov (United States)

    Komolov, A S; Akhremtchik, S N; Lazneva, E F

    2011-08-15

    The paper reports the results on the interface formation of 5-10 nm thick conjugated layers of Cu-phthalocyanine (CuPc) with a number of solid surfaces: polycrystalline Au, (SiO(2))n-Si, ZnO(0 0 0 1), Si(1 0 0), Ge(1 1 1), CdS(0 0 0 1) and GaAs(1 0 0). The results were obtained using Auger electron spectroscopy (AES) and low-energy target current electron spectroscopy (TCS). The organic overlayers were thermally deposited in situ in UHV onto substrate surfaces. The island-like organic deposits were excluded from the analysis so that only uniform organic deposits were considered. In the cases of polycrystalline Au, Si(1 0 0) and Ge(1 1 1) substrates the AES peaks of the substrate material attenuated down to the zero noise level upon the increase of the CuPc film thickness of 8-10 nm. The peaks corresponding to oxygen atoms in the case of SiO(2) substrate, and to atoms from the ZnO, GaAs and CdS substrates were clearly registered in the AES spectra of the 8-10 nm thick CuPc deposits. The relative concentration of the substrate atomic components diffused into the film was different from their relative concentration at the pure substrate surface. The concentration of the substrate dopant atoms in the CuPc film was estimated as one atom per one CuPc molecule. Using the target current electron spectroscopy, it was shown that the substrate atoms admixed in the CuPc film account for the appearance of a new peak in the density of unoccupied electronic states. Formation of intermediate TCS spectra until the CuPc deposit reaches 2-3 nm was observed in the cases of GaAs(1 0 0), ZnO(0 0 0 1), Ge(1 1 1) surfaces. The intermediate spectra show a less pronounced peak structure different from the one typical for the CuPc films. It was suggested that the intermediate layer was formed by the CuPc molecules fully or partially decomposed due to the interaction with the relatively reactive semiconductor surfaces. Copyright © 2010 Elsevier B.V. All rights reserved.

  17. Some Aspects of the RHEED Behavior of Low-Temperature GaAs Growth

    International Nuclear Information System (INIS)

    Nemcsics, A.

    2005-01-01

    The reflection high-energy electron diffraction (RHEED) behavior manifested during MBE growth on a GaAs(001) surface under low-temperature (LT) growth conditions is examined in this study. RHEED and its intensity oscillations during LT GaAs growth exhibit some particular behavior. The intensity, phase, and decay of the oscillations depend on the beam equivalent pressure (BEP) ratio and substrate temperature, etc. Here, the intensity dependence of RHEED behavior on the BEP ratio, substrate temperature, and excess of As content in the layer are examined. The change in the decay constant of the RHEED oscillations is also discussed

  18. Gallium surface diffusion on GaAs (001) surfaces measured by crystallization dynamics of Ga droplets

    International Nuclear Information System (INIS)

    Bietti, Sergio; Somaschini, Claudio; Esposito, Luca; Sanguinetti, Stefano; Fedorov, Alexey

    2014-01-01

    We present accurate measurements of Ga cation surface diffusion on GaAs surfaces. The measurement method relies on atomic force microscopy measurement of the morphology of nano–disks that evolve, under group V supply, from nanoscale group III droplets, earlier deposited on the substrate surface. The dependence of the radius of such nano-droplets on crystallization conditions gives direct access to Ga diffusion length. We found an activation energy for Ga on GaAs(001) diffusion E A =1.31±0.15 eV, a diffusivity prefactor of D 0  = 0.53(×2.1±1) cm 2 s −1 that we compare with the values present in literature. The obtained results permit to better understand the fundamental physics governing the motion of group III ad–atoms on III–V crystal surfaces and the fabrication of designable nanostructures.

  19. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  20. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  1. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  2. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  3. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  4. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  5. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kuznetsov, P. I.; Nikolaev, S. N.; Onistchenko, E. E.; Pruchkina, A. A.; Temiryazev, A. G. [Russian Academy of Sciences, Kotel’nikov Institute of Radio-Engineering and Electronics (Russian Federation)

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing does not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.

  6. Epitaxial growth of matched metallic ErP0.6As0.4 layers on GaAs

    International Nuclear Information System (INIS)

    Guivarc'h, A.; Le Corre, A.; Gaulet, J.; Guenais, B.; Minier, M.; Ropars, G.; Badoz, P.A.; Duboz, J.Y.

    1990-01-01

    Successful growth of (001)ErP 0.6 As 0.4 single crystal film on (001) GaAs has been demonstrated. The epitaxial metallic layers reproducibly showed lattice mismatch below 5 10 -4 . This is, to the authors' knowledge, the first report of a stable, epitaxial and lattice-matched metal/compound semiconductor heterostructure. The ErP 0.6 As 0.4 /n-GaAs diodes yielded excellent I-V characteristics with an ideality factor of 1.1 and barrier height of 0.88 eV. For a 240 Angstrom- thick film, metallic behavior was observed with resistivities of 25 and 86 μΩcm at 1.5 K and room temperature, respectively. As the other Er compounds ErP, ErAs, ErSb and ErSi 2 , ErP 0.6 As 0.4 presents an abrupt drop in resistivity in the vicinity of the liquid helium temperature, due to a paramagnetic to antiferromagnetic phase transition

  7. The effect of the In concentration on the surface morphology of InGaAs-GaAs heterostructures grown by MBE on GaAs substrate

    International Nuclear Information System (INIS)

    Gómez-Barojas, E; Serrano-Rojas, R M; Rodríguez-Moreno, M A; Santamaría-Juárez, G; Silva-González, R; a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" data-affiliation=" (Instituto de Investigación en Comunicación Óptica. Universidad Autónoma de San Luis Potosí, Av. Karakorum 1470, Col. Lomas Altas 4a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" >Vidal-Borbolla, M A

    2014-01-01

    A set of 3 heterostructures were formed by 10 periods of InGaAs-GaAs epitaxially grown on GaAs substrate by means of a molecular beam epitaxial system. Scanning electron microscopy (SEM) cross section images at high magnification show that the heterostructures present good periodicity. SEM micrographs of the surface morphology chemically etched show the coalescence effect of In due to an unequal etching rate of In and GaAs. Auger electron spectroscopy (AES) depth profiles show that the first GaAs layers in the 3 samples are off-stoichiometric and that the alloy layers present In square and triangular depth profiles

  8. Inter-Layer Energy Transfer through Wetting-Layer States in Bi-layer InGaAs/GaAs Quantum-Dot Structures with Thick Barriers

    DEFF Research Database (Denmark)

    Xu, Zhang-Cheng; Zhang, Ya-Ting; Hvam, Jørn Märcher

    2009-01-01

    The inter-layer energy transfer in a bi-layer InGaAs/GaAs quantum dot structure with a thick GaAs barrier is studied using temperature-dependent photoluminescence. The abnormal enhancement of the photoluminescence of the QDs in the layer with a larger amount of coverage at 110K is observed, which...

  9. Low temperature bonding of heterogeneous materials using Al2O3 as an intermediate layer

    DEFF Research Database (Denmark)

    Sahoo, Hitesh Kumar; Ottaviano, Luisa; Zheng, Yi

    2018-01-01

    Integration of heterogeneous materials is crucial for many nanophotonic devices. The integration is often achieved by bonding using polymer adhesives or metals. A much better and cleaner option is direct wafer bonding, but the high annealing temperatures required make it a much less attractive...... atomic layer deposited Al2O3 an excellent choice for the intermediate layer. The authors have optimized the bonding process to achieve a high interface energy of 1.7 J/m2 for a low temperature annealing of 300 °C. The authors also demonstrate wafer bonding of InP to SiO2 on Si and GaAs to sapphire using...

  10. Carbon nanotube forests growth using catalysts from atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Bingan; Zhang, Can; Esconjauregui, Santiago; Xie, Rongsi; Zhong, Guofang; Robertson, John [Department of Engineering, University of Cambridge, Cambridge CB3 0FA (United Kingdom); Bhardwaj, Sunil [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy); Sincrotone Trieste S.C.p.A., s.s. 14, km 163.4, I-34149 Trieste (Italy); Cepek, Cinzia [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy)

    2014-04-14

    We have grown carbon nanotubes using Fe and Ni catalyst films deposited by atomic layer deposition. Both metals lead to catalytically active nanoparticles for growing vertically aligned nanotube forests or carbon fibres, depending on the growth conditions and whether the substrate is alumina or silica. The resulting nanotubes have narrow diameter and wall number distributions that are as narrow as those grown from sputtered catalysts. The state of the catalyst is studied by in-situ and ex-situ X-ray photoemission spectroscopy. We demonstrate multi-directional nanotube growth on a porous alumina foam coated with Fe prepared by atomic layer deposition. This deposition technique can be useful for nanotube applications in microelectronics, filter technology, and energy storage.

  11. Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Theodore J.; Nepomnyashchii, Alexander B.; Parkinson, B. A., E-mail: bparkin1@uwyo.edu [Department of Chemistry, School of Energy Resources, University of Wyoming, Laramie, Wyoming 82071 (United States)

    2015-01-15

    Atomic layer deposition was used to grow epitaxial layers of anatase (001) TiO{sub 2} on the surface of SrTiO{sub 3} (100) crystals with a 3% lattice mismatch. The epilayers grow as anatase (001) as confirmed by x-ray diffraction. Atomic force microscope images of deposited films showed epitaxial layer-by-layer growth up to about 10 nm, whereas thicker films, of up to 32 nm, revealed the formation of 2–5 nm anatase nanocrystallites oriented in the (001) direction. The anatase epilayers were used as substrates for dye sensitization. The as received strontium titanate crystal was not sensitized with a ruthenium-based dye (N3) or a thiacyanine dye (G15); however, photocurrent from excited state electron injection from these dyes was observed when adsorbed on the anatase epilayers. These results show that highly ordered anatase surfaces can be grown on an easily obtained substrate crystal.

  12. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    International Nuclear Information System (INIS)

    Auden, E.C.; Vizkelethy, G.; Serkland, D.K.; Bossert, D.J.; Doyle, B.L.

    2017-01-01

    The Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al_0_._3Ga_0_._7As/GaAs/Al_0_._2_5Ga_0_._7_5As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation as photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.

  13. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    Energy Technology Data Exchange (ETDEWEB)

    Auden, E.C., E-mail: eauden@sandia.gov; Vizkelethy, G.; Serkland, D.K.; Bossert, D.J.; Doyle, B.L.

    2017-05-15

    The Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al{sub 0.3}Ga{sub 0.7}As/GaAs/Al{sub 0.25}Ga{sub 0.75}As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation as photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.

  14. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  15. The Mn site in Mn-doped GaAs nanowires: an EXAFS study

    International Nuclear Information System (INIS)

    D’Acapito, F; Rovezzi, M; Boscherini, F; Jabeen, F; Bais, G; Piccin, M; Rubini, S; Martelli, F

    2012-01-01

    We present an EXAFS study of the Mn atomic environment in Mn-doped GaAs nanowires. Mn doping has been obtained either via the diffusion of the Mn used as seed for the nanowire growth or by providing Mn during the growth of Au-induced wires. As a general finding, we observe that Mn forms chemical bonds with As but is not incorporated in a substitutional site. In Mn-induced GaAs wires, Mn is mostly found bonded to As in a rather disordered environment and with a stretched bond length, reminiscent of that exhibited by MnAs phases. In Au-seeded nanowires, along with stretched MnAs coordination, we have found the presence of Mn in a MnAu intermetallic compound. (paper)

  16. Issues involved in the atomic layer deposition of metals

    Science.gov (United States)

    Grubbs, Robert Kimes

    Auger Electron Spectroscopy (AES) was used to study the nucleation and growth of tungsten on aluminum oxide surfaces. Tungsten metal was deposited using Atomic Layer Deposition (ALD) techniques. ALD uses sequential surface reactions to deposit material with atomic layer control. W ALD is performed using sequential exposures of WF6 and Si2H6. The step-wise nature of W ALD allows nucleation studies to be performed by analyzing the W surface concentration after each ALD reaction. Nucleation and growth regions can be identified by quantifying the AES signal intensities from both the W surface and the Al2O3 substrate. W nucleation occurred in 3 ALD reaction cycles. The AES results yielded a nucleation rate of 1.0 A/ALD cycle and a growth rate of ≈3 A/ALD cycle. AES studies also explored the nucleation and growth of Al2O3 on W. Al2O3 nucleated in 1 ALD cycle giving a nucleation rate of 3.5 A/ALD cycle and a subsequent growth rate of 1.0 A/ALD cycle. Mass spectrometry was then used to study the ALD reaction chemistry of tungsten deposition. Because of the step-wise nature of the W ALD chemistry, each W ALD reaction could be studied independently. The gaseous mass products were identified from both the WF6 and Si2H6 reactions. H2, HF and SiF4 mass products were observed for the WF6 reaction. The Si2H6 reaction displayed a room temperature reaction and a 200°C reaction. Products from the room temperature Si2H6 reaction were H2 and SiF3H. The reaction at 200°C yielded only H2 as a reaction product. H2 desorption from the surface contributes to the 200°C Si2H6 reaction. AES was used to confirm that the gas phase reaction products are correlated with a change in the surface species. Atomic hydrogen reduction of metal halides and oganometallic compounds provides another method for depositing metals with atomic layer control. The quantity of atomic hydrogen necessary to perform this chemistry is critical to the metal ALD process. A thermocouple probe was constructed to

  17. Ion induced charge collection in GaAs MESFETs

    International Nuclear Information System (INIS)

    Campbell, A.; Knudson, A.; McMorrow, D.; Anderson, W.; Roussos, J.; Espy, S.; Buchner, S.; Kang, K.; Kerns, D.; Kerns, S.

    1989-01-01

    Charge collection measurements on GaAs MESFET test structures demonstrate that more charge can be collected at the gate than is deposited in the active layer and more charge can be collected at the drain than the total amount of charge produced by the ion. Enhanced charge collection at the gate edge is also observed. The current transients produced by the energetic ions have been measured directly with about 20 picosecond resolution

  18. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  19. Ultrafast atomic layer-by-layer oxygen vacancy-exchange diffusion in double-perovskite LnBaCo2O5.5+δ thin films.

    Science.gov (United States)

    Bao, Shanyong; Ma, Chunrui; Chen, Garry; Xu, Xing; Enriquez, Erik; Chen, Chonglin; Zhang, Yamei; Bettis, Jerry L; Whangbo, Myung-Hwan; Dong, Chuang; Zhang, Qingyu

    2014-04-22

    Surface exchange and oxygen vacancy diffusion dynamics were studied in double-perovskites LnBaCo2O5.5+δ (LnBCO) single-crystalline thin films (Ln = Er, Pr; -0.5 atoms in the LnBCO thin films is taking the layer by layer oxygen-vacancy-exchange mechanism. The first principles density functional theory calculations indicate that hydrogen atoms are present in LnBCO as bound to oxygen forming O-H bonds. This unprecedented oscillation phenomenon provides the first direct experimental evidence of the layer by layer oxygen vacancy exchange diffusion mechanism.

  20. Three dimensional atom probe imaging of GaAsSb quantum rings

    International Nuclear Information System (INIS)

    Beltran, A.M.; Marquis, E.A.; Taboada, A.G.; Ripalda, J.M.; Garcia, J.M.; Molina, S.I.

    2011-01-01

    Unambiguous evidence of ring-shaped self-assembled GaSb nanostructures grown by molecular beam epitaxy is presented on the basis of atom-probe tomography reconstructions and dark field transmission electron microscopy imaging. The GaAs capping process causes a strong segregation of Sb out of the center of GaSb quantum dots, leading to the self-assembled GaAs x Sb 1-x quantum rings of 20-30 nm in diameter with x∼0.33. -- Highlights: → Atom-probe tomography resolves QR morphology of GaSb self-assembled GaSb buried nanostructures. → From atom-probe tomography compositional distribution has been obtained. → Strong segregation and morphological changes are observed with respect to uncapped QR.

  1. A photoemission study of Mn grown on GaAs(100)

    International Nuclear Information System (INIS)

    James, D.; Riley, J.; Leckey, R.; Usher, B.; Sieber, N.; Seyller, Th.; Ley, L.

    2002-01-01

    Full text: Metal contacts on semiconductors have been an important area for device manufacture. The possibility of lattice matched growth of magnetic metals on semiconductors was once thought to be a unobtainable goal. More recently it has been found that transition metals can react with the semiconductor substrates, forming another lattice with a more comparable lattice constant, from which epitaxial growth can then proceed. Al grows epitaxially on GaN even with a lattice mismatch greater than 10%. In this instance, Al displaces Ga being driven by a larger heat of formation to produce an AlN buffer layer, on which Al can then grow. This paper investigates the room temperature deposition of Mn onto GaAs(100) at room temperature. The Photoemission study was carried out at the UEL56/2 PGM2 beam line at BESSY II in Berlin, Germany. Synchrotron radiation was used to observe the surface as thin layers of Mn were deposited. The interaction of manganese with the substrate tends to donate electron density to neighbouring atoms, decreasing binding energy. No further segregation of substitutional or interstitial Mn and Ga can be seen from angle dependence data at this temperature, with metallic manganese eventually attenuating the bulk Ga signal to the point where it is indistinguishable from the background. It is concluded that there the metal reacts with the semiconductor surface with some indiffusion as confirmed using SIMS. Previously, the reaction was only thought to have taken place above room temperature. The resulting structure consists of a Ga-As-Mn buffer layer as with the higher temperature depositions

  2. Point defects in GaAs and other semiconductors

    International Nuclear Information System (INIS)

    Ehrhart, P.; Karsten, K.; Pillukat, A.

    1993-01-01

    In order to understand the properties of intrinsic point defects and their interactions at high defect concentrations GaAs wafers were irradiated at 4.5 K with 3 MeV electrons up to a dose of 4 · 10 19 e - /cm 2 . The irradiated samples were investigated by X-ray Diffraction and optical absorption spectroscopy. The defect production increases linearly with irradiation dose and characteristic differences are observed for the two sublattices. The Ga-Frenkel pairs are strongly correlated and are characterized by much larger lattice relaxations (V rel = 2--3 atomic volumes) as compared to the As-Frenkel pairs (V rel ∼1 at. vol.). The dominating annealing stage around 300 K is attributed to the mobility of the Ga interstitial atoms whereas the As-interstitial atoms can recombine with their vacancies only around 500 K. These results are compared to those for InP, ZnSe and Ge. Implications for the understanding of the damage after ion irradiation and implantation are discussed

  3. NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery

    Science.gov (United States)

    Battery Technology News Release: NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery increasingly demanding needs of any battery application. These lithium-ion batteries feature a hybrid solid further customized lithium-ion battery materials for high performance devices by utilizing our patented

  4. Lithium compensation of GaAs

    International Nuclear Information System (INIS)

    Alexiev, D.; Tavendale, A.J.

    1988-08-01

    Defects generated following Li diffusion into GaAs were studied by optical deep level transient spectroscopy (ODLTS) and deep level transient spectroscopy (DLTS). In an exploratory series of experiments, the effect of Li diffusion on existing trap spectra, defect generation and as a means for the compensation of GaAs was studied. The variables included diffusion temperature, initial trap spectra of GaAs and annealing periods. Detailed measurements of trap energies were made

  5. GaAs circuit restructuring by multi-level laser-direct-written tungsten process

    International Nuclear Information System (INIS)

    Black, J.G.; Doran, S.P.; Rothschild, M.; Sedlacek, J.H.C.; Ehrlich, D.J.

    1987-01-01

    Laser-direct-writing processes are employed to fabricate a GaAs digital integrated circuit. The lithography-free techniques deposit and etch conductors and resistors, and remove insulating layers, thus enabling multilevel interconnections. These combined direct-write processes provide the flexibility of clip-lead prototyping on a micrometer scale

  6. Optimization of the GaAs et GaAs/Si annealing using halogen lamp flashes

    International Nuclear Information System (INIS)

    Blanck, H.

    1989-01-01

    The aim of the work is to check whether the flash annealing of GaAs and GaAs/Si, using halogen lamps, allows an improvement in the results obtained by usual methods. The electrical activation, defects behavior and results uniformity are studied. The results on the activation and diffusion of implanted impurities are shown to be equivalent to those obtained with classical annealing methods. However, residual impurities (or defects) diffusion phenomena are restrained by the flash annealing technique. The Hall effect cartographic measurements showed an improvement of the uniformity of the implanted coating surface resistance. Flash annealing is a suitable method for the Si activation in GaAs. It allows an improvement of the GaAs results obtained with standard techniques, as well as the formation, by means of ion implantation, of active zones in the GaAs/Si layers [fr

  7. Spatial Atomic Layer Deposition of transparent conductive oxides

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Poodt, P.; Roozeboom, F.

    2013-01-01

    Undoped and indium doped ZnO films have been grown by Spatial Atomic Layer Deposition at atmospheric pressure. The electrical properties of ZnO films are controlled by varying the indium content in the range from 0 to 15 %. A minimum resistivity value of 3 mΩ•cm is measured in 180 nm thick films for

  8. Two-dimensional ferroelectric topological insulators in functionalized atomically thin bismuth layers

    Science.gov (United States)

    Kou, Liangzhi; Fu, Huixia; Ma, Yandong; Yan, Binghai; Liao, Ting; Du, Aijun; Chen, Changfeng

    2018-02-01

    We introduce a class of two-dimensional (2D) materials that possess coexisting ferroelectric and topologically insulating orders. Such ferroelectric topological insulators (FETIs) occur in noncentrosymmetric atomic layer structures with strong spin-orbit coupling (SOC). We showcase a prototype 2D FETI in an atomically thin bismuth layer functionalized by C H2OH , which exhibits a large ferroelectric polarization that is switchable by a ligand molecule rotation mechanism and a strong SOC that drives a band inversion leading to the topologically insulating state. An external electric field that switches the ferroelectric polarization also tunes the spin texture in the underlying atomic lattice. Moreover, the functionalized bismuth layer exhibits an additional quantum order driven by the valley splitting at the K and K' points in the Brillouin zone stemming from the symmetry breaking and strong SOC in the system, resulting in a remarkable state of matter with the simultaneous presence of the quantum spin Hall and quantum valley Hall effect. These phenomena are predicted to exist in other similarly constructed 2D FETIs, thereby offering a unique quantum material platform for discovering novel physics and exploring innovative applications.

  9. A Review of Atomic Layer Deposition for Nanoscale Devices

    Directory of Open Access Journals (Sweden)

    Edy Riyanto

    2012-12-01

    Full Text Available Atomic layer deposition (ALD is a thin film growth technique that utilizes alternating, self-saturation chemical reactions between gaseous precursors to achieve a deposited nanoscale layers. It has recently become a subject of great interest for ultrathin film deposition in many various applications such as microelectronics, photovoltaic, dynamic random access memory (DRAM, and microelectromechanic system (MEMS. By using ALD, the conformability and extreme uniformity of layers can be achieved in low temperature process. It facilitates to be deposited onto the surface in many variety substrates that have low melting temperature. Eventually it has advantages on the contribution to the wider nanodevices.

  10. Interfacial engineering of two-dimensional nano-structured materials by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhuiykov, Serge, E-mail: serge.zhuiykov@ugent.be [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of); Kawaguchi, Toshikazu [Global Station for Food, Land and Water Resources, Global Institution for Collaborative Research and Education, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Graduate School of Environmental Science, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Hai, Zhenyin; Karbalaei Akbari, Mohammad; Heynderickx, Philippe M. [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of)

    2017-01-15

    Highlights: • Advantages of atomic layer deposition technology (ALD) for two-dimensional nano-crystals. • Conformation of ALD technique and chemistry of precursors. • ALD of semiconductor oxide thin films. • Ultra-thin (∼1.47 nm thick) ALD-developed tungsten oxide nano-crystals on large area. - Abstract: Atomic Layer Deposition (ALD) is an enabling technology which provides coating and material features with significant advantages compared to other existing techniques for depositing precise nanometer-thin two-dimensional (2D) nanostructures. It is a cyclic process which relies on sequential self-terminating reactions between gas phase precursor molecules and a solid surface. ALD is especially advantageous when the film quality or thickness is critical, offering ultra-high aspect ratios. ALD provides digital thickness control to the atomic level by depositing film one atomic layer at a time, as well as pinhole-free films even over a very large and complex areas. Digital control extends to sandwiches, hetero-structures, nano-laminates, metal oxides, graded index layers and doping, and it is perfect for conformal coating and challenging 2D electrodes for various functional devices. The technique’s capabilities are presented on the example of ALD-developed ultra-thin 2D tungsten oxide (WO{sub 3}) over the large area of standard 4” Si substrates. The discussed advantages of ALD enable and endorse the employment of this technique for the development of hetero-nanostructure 2D semiconductors with unique properties.

  11. Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Briggs, B.D.; Bishop, S.M. [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States); Leedy, K.D. [Air Force Research Laboratory, 2241 Avionics Circle, Wright Patterson Air Force Base, Dayton, OH 45433 (United States); Cady, N.C., E-mail: ncady@albany.edu [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States)

    2014-07-01

    Hafnium oxide-based resistive memory devices have been fabricated on copper bottom electrodes. The HfO{sub x} active layers in these devices were deposited by atomic layer deposition (ALD) at 250 °C with tetrakis(dimethylamido)hafnium(IV) as the metal precursor and an O{sub 2} plasma as the reactant. Depth profiles of the HfO{sub x} by X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a copper concentration on the order of five atomic percent throughout the HfO{sub x} film. In addition to the Cu doped HfO{sub x}, a thin layer (20 nm) of Cu{sub x}O is present at the surface. This surface layer is believed to have formed during the ALD process, and greatly complicates the analysis of the switching mechanism. The resistive memory structures fabricated from the ALD HfO{sub x} exhibited non-polar resistive switching, independent of the top metal electrode (Ni, Pt, Al, Au). Resistive switching current voltage (I–V) curves were analyzed using Schottky emission and ionic hopping models to gain insight into the physical mechanisms underpinning the device behavior. During the forming process it was determined that, at voltages in excess of 2.5 V, an ionic hopping model is in good agreement with the I–V data. The extracted ion hopping distance ∼ 4 Å was within the range of interatomic spacing of HfO{sub 2} during the forming process consistent with ionic motion of Cu{sup 2+} ions. Lastly the on state I–V data was dominated at larger voltages by Schottky emission with an estimated barrier height of ∼ 0.5 eV and a refractive index of 2.59. The consequence of the Schottky emission analysis indicates the on state resistance to be a product of a Pt/Cu{sub 2}O/Cu filament(s)/Cu{sub 2}O/Cu structure. - Highlights: • HfO{sub 2} was grown via atomic layer deposition at 250 and 100 °C on Cu substrates. • A Cu{sub 2}O surface layer and Cu doping were observed in post-deposition of HfO{sub 2}. • Resistive memory devices were fabricated and

  12. Silicon protected with atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Seger, Brian; Tilley, David S.; Pedersen, Thomas

    2013-01-01

    The semiconducting materials used for photoelectrochemical (PEC) water splitting must withstand the corrosive nature of the aqueous electrolyte over long time scales in order to be a viable option for large scale solar energy conversion. Here we demonstrate that atomic layer deposited titanium di...

  13. Spatial structure of single and interacting Mn acceptors in GaAs

    Science.gov (United States)

    Koenraad, Paul

    2005-03-01

    Ferromagnetic semiconductors such as Ga1-xMnxAs are receiving a lot of attention at the moment because of their application in spintronic devices. However, despite intense study of deep acceptors in III-V semiconductors such as MnGa, little information has been obtained on their electronic properties at the atomic scale. Yet the spatial shape of the Mn acceptor state will influence the hole-mediated Mn-Mn coupling and thus all of the magnetic properties of ferromagnetic semiconductors such as Ga1-xMnxAs. This study presents an experimental and theoretical description of the spatial symmetry of the Mn acceptor wave-function in GaAs. We present measurements of the spatial mapping of the anisotropic wavefunction of a hole localized at a Mn acceptor. To achieve this, we have used the STM tip not only to image the Mn acceptor but also to manipulate its charge state A^0/A^- at room temperature. Within an envelope function effective mass model (EFM) the anisotropy in the acceptor wave-function can be traced to the influence of the cubic symmetry of the GaAs crystal which selects specific d-states that mix into the ground state due to the spin-orbit interaction in the valence band. Comparison with calculations based on a tight-binding model (TBM) for the Mn acceptor structure supports this conclusion. Using the same experimental and theoretical approach we furthermore explored the interaction between Mn acceptors directly by analyzing close Mn-Mn pairs, which were separated by less than 2 nm. We will discuss some implications of these results for Mn delta-doped layers grown on differently oriented growth surfaces.

  14. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    International Nuclear Information System (INIS)

    Tynell, Tommi; Karppinen, Maarit

    2014-01-01

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline

  15. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi

    2014-01-31

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline.

  16. Science and Emerging Technology of 2D Atomic Layered Materials and Devices

    Science.gov (United States)

    2017-09-09

    AFRL-AFOSR-JP-TR-2017-0067 Science & Emerging Technology of 2D Atomic Layered Materials and Devices Angel Rubio UNIVERSIDAD DEL PAIS VASCO - EUSKAL...DD-MM-YYYY)      27-09-2017 2.  REPORT TYPE      Final 3.  DATES COVERED (From - To)      19 Feb 2015 to 18 Feb 2017 4.  TITLE AND SUBTITLE Science ...reporting documents for AOARD project 144088, “2D Materials and Devices Beyond Graphene Science & Emerging Technology of 2D Atomic Layered Materials and

  17. Sulfur passivation and contact methods for GaAs nanowire solar cells

    International Nuclear Information System (INIS)

    Tajik, N; Peng, Z; Kuyanov, P; LaPierre, R R

    2011-01-01

    The effect of sulfur passivation on core-shell p-n junction GaAs nanowire (NW) solar cells has been investigated. Devices of two types were investigated, consisting of indium tin oxide contact dots or opaque Au finger electrodes. Lateral carrier transport from the NWs to the contact fingers was achieved via a p-doped GaAs surface conduction layer. NWs between the opaque contact fingers had sidewall surfaces exposed for passivation by sulfur. The relative cell efficiency increased by 19% upon passivation. The contribution of the thin film grown between the NWs to the total cell efficiency was estimated by removing the NWs using a sonication procedure. Mechanisms of carrier transport and photovoltaic effects are discussed on the basis of spatially resolved laser scanning measurements.

  18. Atomic layer deposition of alternative glass microchannel plates

    Energy Technology Data Exchange (ETDEWEB)

    O' Mahony, Aileen, E-mail: aom@incomusa.com; Craven, Christopher A.; Minot, Michael J.; Popecki, Mark A.; Renaud, Joseph M.; Bennis, Daniel C.; Bond, Justin L.; Stochaj, Michael E.; Foley, Michael R.; Adams, Bernhard W. [Incom, Inc., 294 Southbridge Road, Charlton, Massachusetts 01507 (United States); Mane, Anil U.; Elam, Jeffrey W. [Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439 (United States); Ertley, Camden; Siegmund, Oswald H. W. [Space Sciences Laboratory, University of California, 7 Gauss Way, Berkeley, California 94720 (United States)

    2016-01-15

    The technique of atomic layer deposition (ALD) has enabled the development of alternative glass microchannel plates (MCPs) with independently tunable resistive and emissive layers, resulting in excellent thickness uniformity across the large area (20 × 20 cm), high aspect ratio (60:1 L/d) glass substrates. Furthermore, the use of ALD to deposit functional layers allows the optimal substrate material to be selected, such as borosilicate glass, which has many benefits compared to the lead-oxide glass used in conventional MCPs, including increased stability and lifetime, low background noise, mechanical robustness, and larger area (at present up to 400 cm{sup 2}). Resistively stable, high gain MCPs are demonstrated due to the deposition of uniform ALD resistive and emissive layers on alternative glass microcapillary substrates. The MCP performance characteristics reported include increased stability and lifetime, low background noise (0.04 events cm{sup −2} s{sup −1}), and low gain variation (±5%)

  19. Surface science analysis of GaAs photocathodes following sustained electron beam delivery

    Directory of Open Access Journals (Sweden)

    V. Shutthanandan

    2012-06-01

    Full Text Available Degradation of the photocathode materials employed in photoinjectors represents a challenge for sustained operation of nuclear physics accelerators and high power free electron lasers (FEL. Photocathode quantum efficiency degradation is due to residual gases in the electron source vacuum system being ionized and accelerated back to the photocathode. These investigations are a first attempt to characterize the nature of the photocathode degradation, and employ multiple surface and bulk analysis techniques to investigate damage mechanisms including sputtering of the Cs-oxidant surface monolayer, other surface chemistry effects, and ion implantation. Surface and bulk analysis studies were conducted on two GaAs photocathodes, which were removed from the JLab FEL DC photoemission gun after delivering electron beam, and two control samples. The analysis techniques include helium ion microscopy, Rutherford backscattering spectrometry (RBS, atomic force microscopy, and secondary ion mass spectrometry (SIMS. In addition, two high-polarization strained superlattice GaAs photocathode samples, one removed from the continuous electron beam accelerator facility (CEBAF photoinjector and one unused, were also analyzed using transmission electron microscopy (TEM and SIMS. It was found that heat cleaning the FEL GaAs wafer introduces surface roughness, which seems to be reduced by prolonged use. The bulk GaAs samples retained a fairly well organized crystalline structure after delivering beam but show evidence of Cs depletion on the surface. Within the precision of the SIMS and RBS measurements, the data showed no indication of hydrogen implantation or lattice damage from ion back bombardment in the bulk GaAs wafers. In contrast, SIMS and TEM measurements of the strained superlattice photocathode show clear crystal damage in the wafer from ion back bombardment.

  20. Structural characterization of zincblende Ga1-xMnxN epilayers grown by molecular beam epitaxy on (001) GaAs substrates

    International Nuclear Information System (INIS)

    Fay, M.W.; Han, Y.; Brown, P.D.; Novikov, S.V.; Edmonds, K.W.; Campion, R.P.; Gallagher, B.L.; Foxon, C.T.

    2005-01-01

    Zincblende p-type Ga 1-x Mn x N epilayers, grown with and without AlN/GaN buffer layers using plasma-assisted molecular beam epitaxy on (001) oriented GaAs substrates, have been investigated using a variety of complementary transmission electron microscopy techniques. The epilayers were found to contain a high anisotropic density of stacking faults and microtwins. MnAs inclusions were identified at the Ga 1-x Mn x N/(001)GaAs interface extending into the substrate. The use of AlN/GaN buffer layers was found to inhibit the formation of these inclusions

  1. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  2. Ab initio study of atomic disorder on as-rich GaAs(111)A surface

    Czech Academy of Sciences Publication Activity Database

    Romanyuk, Olexandr; Mutombo, Pingo; Grosse, F.

    2015-01-01

    Roč. 641, Nov (2015), s. 330-335 ISSN 0039-6028 R&D Projects: GA ČR GPP204/10/P028 Grant - others:AVČR(CZ) M100101201 Institutional support: RVO:68378271 Keywords : GaAs(111) * surface reconstructions * surface kinetics * density functional theory Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.931, year: 2015

  3. Optical and electrical properties of disordered layers in GaAs crystals produced by Si+ ion implantation

    International Nuclear Information System (INIS)

    Shigetomi, S.; Matsumori, T.

    1981-01-01

    The ion dose dependence and the annealing behavior of GaAs after implantation with 200 keV 2 x 10 12 -2 x 10 16 Si + ions/cm 2 as studied by using optical absorption, reflection, photoluminescence, sheet-resistivity, Hall effect and field effect methods. The experimental results of the optical absorption and reflection indicate that, when annealed at about 400 0 C, the disordered layers produced by high-dose implantation (2 x 10 15 -2 x 10 16 ions/cm 2 ), reorder epitaxially on the underlying crystal leaving relatively little residual disorder in the near-surface. However, from the experimental results of optical reflection, 800 0 C annealing is required for perfect recrystallization. The temperature dependence of the sheet-resistivity, with annealing temperature as a parameter, indicates that, next to the variable-range hopping and phonon-assisted hopping regions, a new region is observed. Holes, thermally-activated from localized states near the Fermi level Esub(F) to the mobility edge Esub(V) near the valence band, are responsible for electrical conduction in this region. (orig.)

  4. Isolating GaSb Membranes Grown Metamorphically on GaAs Substrates Using Highly Selective Substrate Removal Etch Processes

    Science.gov (United States)

    Renteria, E. J.; Muniz, A. J.; Addamane, S. J.; Shima, D. M.; Hains, C. P.; Balakrishnan, G.

    2015-05-01

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11,000 ± 2000, whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2- μm-thick GaSb epilayers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high- resolution x-ray diffraction and atomic force microscopy.

  5. Visualization of arrangements of carbon atoms in graphene layers by Raman mapping and atomic-resolution TEM

    KAUST Repository

    Cong, Chunxiao; Li, Kun; Zhang, Xixiang; Yu, Ting

    2013-01-01

    In-plane and out-of-plane arrangements of carbon atoms in graphene layers play critical roles in the fundamental physics and practical applications of these novel two-dimensional materials. Here, we report initial results on the edge

  6. Preferential adsorption of gallium on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires

    International Nuclear Information System (INIS)

    Shu Haibo; Chen Xiaoshuang; Ding Zongling; Dong Ruibin; Lu Wei

    2010-01-01

    The mechanism of the preferential adsorption of Ga on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires is studied by using first-principles calculations within density functional theory. The calculated results show that Au preadsorption on GaAs(111)B surface significantly enhances the stability of the Ga adatom in comparison with the adsorption of Ga on clean GaAs(111)B surface. The stabilization of the Ga adatom is due to charge transfers from the Ga 4p and 4s states to the Au 6s and As 4p states. The number of Ga adatoms stabilized on GaAs(111)B surfaces depends on the size of surface Au cluster. The reason is that Au acted as an electron acceptor on GaAs(111)B surface assists the charge transfer of Ga adatoms for filling the partial unoccupied bands of GaAs(111)B surface. Our results are helpful to understand the growth of Au-assisted GaAs nanowires.

  7. Interactions between C and Cu atoms in single-layer graphene: direct observation and modelling.

    Science.gov (United States)

    Kano, Emi; Hashimoto, Ayako; Kaneko, Tomoaki; Tajima, Nobuo; Ohno, Takahisa; Takeguchi, Masaki

    2016-01-07

    Metal doping into the graphene lattice has been studied recently to develop novel nanoelectronic devices and to gain an understanding of the catalytic activities of metals in nanocarbon structures. Here we report the direct observation of interactions between Cu atoms and single-layer graphene by transmission electron microscopy. We document stable configurations of Cu atoms in the graphene sheet and unique transformations of graphene promoted by Cu atoms. First-principles calculations based on density functional theory reveal a reduction of energy barrier that caused rotation of C-C bonds near Cu atoms. We discuss two driving forces, electron irradiation and in situ heating, and conclude that the observed transformations were mainly promoted by electron irradiation. Our results suggest that individual Cu atoms can promote reconstruction of single-layer graphene.

  8. Ni-Cr thin film resistor fabrication for GaAs monolithic microwave integrated circuits

    International Nuclear Information System (INIS)

    Vinayak, Seema; Vyas, H.P.; Muraleedharan, K.; Vankar, V.D.

    2006-01-01

    Different Ni-Cr alloys were sputter-deposited on silicon nitride-coated GaAs substrates and covered with a spin-coated polyimide layer to develop thin film metal resistors for GaAs monolithic microwave integrated circuits (MMICs). The contact to the resistors was made through vias in the polyimide layer by sputter-deposited Ti/Au interconnect metal. The variation of contact resistance, sheet resistance (R S ) and temperature coefficient of resistance (TCR) of the Ni-Cr resistors with fabrication process parameters such as polyimide curing thermal cycles and surface treatment given to the wafer prior to interconnect metal deposition has been studied. The Ni-Cr thin film resistors exhibited lower R S and higher TCR compared to the as-deposited Ni-Cr film that was not subjected to thermal cycles involved in the MMIC fabrication process. The change in resistivity and TCR values of Ni-Cr films during the MMIC fabrication process was found to be dependent on the Ni-Cr alloy composition

  9. Energy-enhanced atomic layer deposition : offering more processing freedom

    NARCIS (Netherlands)

    Potts, S.E.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition (ALD) is a popular deposition technique comprising two or more sequential, self-limiting surface reactions, which make up an ALD cycle. Energy-enhanced ALD is an evolution of traditional thermal ALD methods, whereby energy is supplied to a gas in situ in order to convert a

  10. Spatial atmospheric atomic layer deposition of alxzn1-xo

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Wu, Y.; Roozeboom, F.; Poodt, P.

    2013-01-01

    The possibility of growing multicomponent oxides by spatial atmospheric atomic layer deposition has been investigated. To this end, Al xZn1-xO films have been deposited using diethyl zinc (DEZ), trimethyl aluminum (TMA), and water as Zn, Al, and O precursors, respectively. When the metal precursors

  11. Monocrystalline zinc oxide films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wachnicki, L.; Krajewski, T.; Luka, G.; Witkowski, B.; Kowalski, B.; Kopalko, K.; Domagala, J.Z.; Guziewicz, M.; Godlewski, M.; Guziewicz, E.

    2010-01-01

    In the present work we report on the monocrystalline growth of (00.1) ZnO films on GaN template by the Atomic Layer Deposition technique. The ZnO films were obtained at temperature of 300 o C using dietylzinc (DEZn) as a zinc precursor and deionized water as an oxygen precursor. High resolution X-ray diffraction analysis proves that ZnO layers are monocrystalline with rocking curve FWHM of the 00.2 peak equals to 0.07 o . Low temperature photoluminescence shows a sharp and bright excitonic line with FWHM of 13 meV.

  12. Interplay between tip-induced band bending and voltage-dependent surface corrugation on GaAs(110) surfaces

    NARCIS (Netherlands)

    Raad, de G.J.; Bruls, D.M.; Koenraad, P.M.; Wolter, J.H.

    2002-01-01

    Atomically resolved, voltage-dependent scanning tunneling microscopy (STM) images of GaAs(110) are compared to the results of a one-dimensional model used to calculate the amount of tip-induced band bending for a tunneling junction between a metal and a semiconductor. The voltage-dependent changes

  13. Two-Dimensional SnO Anodes with a Tunable Number of Atomic Layers for Sodium Ion Batteries

    KAUST Repository

    Zhang, Fan; Zhu, Jiajie; Zhang, Daliang; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2017-01-01

    We have systematically changed the number of atomic layers stacked in 2D SnO nanosheet anodes and studied their sodium ion battery (SIB) performance. The results indicate that as the number of atomic SnO layers in a sheet decreases, both

  14. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware Project

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  15. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    Science.gov (United States)

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  16. Formation process and superparamagnetic properties of (Mn,Ga)As nanocrystals in GaAs fabricated by annealing of (Ga,Mn)As layers with low Mn content

    DEFF Research Database (Denmark)

    Sadowski, Janusz; Domagala, Jaroslaw Z.; Mathieu, Roland

    2011-01-01

    °C) annealing of (Ga,Mn)As layers with Mn concentrations between 0.1% and 2%, grown by molecular beam epitaxy at 270°C. Decomposition of (Ga,Mn)As is already observed at the lowest annealing temperature of 400°C for layers with initial Mn content of 1% and 2%. Both cubic and hexagonal (Mn......,Ga)As nanocrystals, with similar diameters of 7-10 nm, are observed to coexist in layers with an initial Mn content of 0.5% and 2% after higher-temperature annealing. Measurements of magnetization relaxation in the time span 0.1-10 000 s provide evidence for superparamagnetic properties of the (Mn,Ga)As nanocrystals......X-ray diffraction, transmission electron microscopy, and magnetization measurements are employed to study the structural and magnetic properties of Mn-rich (Mn,Ga)As nanocrystals embedded in GaAs. These nanocomposites are obtained by moderate-temperature (400°C) and high-temperature (560°C and 630...

  17. Effect of post-growth annealing on secondary phase formation in low-temperature-grown Mn-doped GaAs

    DEFF Research Database (Denmark)

    Kovács, A.; Sadowski, J.; Kasama, Takeshi

    2013-01-01

    The microstructures of annealed GaAs layers containing 0.1%, 0.5% and 2% Mn are studied using aberration-corrected transmission electron microscopy (TEM). The layers were grown by molecular beam epitaxy at 270 °C. After heat treatment at 400, 560 and 630 °C, they are found to contain precipitate...... in annealed GaMnAs layers doped with low Mn concentrations is proposed....

  18. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  19. Growth and characterization of InAs columnar quantum dots on GaAs substrate

    International Nuclear Information System (INIS)

    Li, L. H.; Patriarche, G.; Rossetti, M.; Fiore, A.

    2007-01-01

    The growth of InAs columnar quantum dots (CQDs) on GaAs substrates by molecular beam epitaxy was investigated. The CQDs were formed by depositing a 1.8 monolayer (ML) InAs seed dot layer and a short period GaAs/InAs superlattice (SL). It was found that the growth of the CQDs is very sensitive to growth interruption (GI) and growth temperature. Both longer GI and higher growth temperature impact the size dispersion of the CQDs, which causes the broadening of photoluminescence (PL) spectrum and the presence of the additional PL peak tails. By properly choosing the GI and the growth temperature, CQDs including GaAs (3 ML)/InAs (0.62 ML) SL with period number up to 35 without plastic relaxation were grown. The corresponding equivalent thickness of the SL is 41 nm which is two times higher than the theoretical critical thickness of the strained InGaAs layer with the same average In composition of 16%. The increase of the critical thickness is partially associated with the formation of the CQDs. Based on a five-stack CQD active region, laser diodes emitting around 1120 nm at room temperature were demonstrated, indicating a high material quality. CQDs with nearly isotropic cross section (20 nmx20 nm dimensions) were formed by depositing a 16-period GaAs (3 ML)/InAs (0.62 ML) SL on an InAs seed dot layer, indicating the feasibility of artificial shape engineering of QDs. Such a structure is expected to be very promising for polarization insensitive device applications, such as semiconductor optical amplifiers

  20. Anion-antisite defects in GaAs: As and Sb

    International Nuclear Information System (INIS)

    Caldas, M.J.; Fazzio, A.; Dabrowski, J.; Scheffler, M.

    1990-01-01

    We present results of self-consistent, first-principles calculations of total energies for As Ga and Sb Ga in GaAs. We confirm that both impurities in the substitutional T d site behave as double donors, and the first internal excitation appears at around 1 eV. For the neutral systems we obtain a metastable minimum in the total energy surface in a configuration with the impurity atom displaced toward the interstitial site; the transformation to this metastable configuration, however, is not expected to be operative for the Sb Ga defect

  1. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    Science.gov (United States)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  2. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  3. Reliably counting atomic planes of few-layer graphene (n > 4).

    Science.gov (United States)

    Koh, Yee Kan; Bae, Myung-Ho; Cahill, David G; Pop, Eric

    2011-01-25

    We demonstrate a reliable technique for counting atomic planes (n) of few-layer graphene (FLG) on SiO(2)/Si substrates by Raman spectroscopy. Our approach is based on measuring the ratio of the integrated intensity of the G graphene peak and the optical phonon peak of Si, I(G)/I(Si), and is particularly useful in the range n > 4 where few methods exist. We compare our results with atomic force microscopy (AFM) measurements and Fresnel equation calculations. Then, we apply our method to unambiguously identify n of FLG devices on SiO(2) and find that the mobility (μ ≈ 2000 cm(2) V(-1) s(-1)) is independent of layer thickness for n > 4. Our findings suggest that electrical transport in gated FLG devices is dominated by carriers near the FLG/SiO(2) interface and is thus limited by the environment, even for n > 4.

  4. Electrical and Optical Characterization of Sputtered Silicon Dioxide, Indium Tin Oxide, and Silicon Dioxide/Indium Tin Oxide Antireflection Coating on Single-Junction GaAs Solar Cells

    Directory of Open Access Journals (Sweden)

    Wen-Jeng Ho

    2017-06-01

    Full Text Available This study characterized the electrical and optical properties of single-junction GaAs solar cells coated with antireflective layers of silicon dioxide (SiO2, indium tin oxide (ITO, and a hybrid layer of SiO2/ITO applied using Radio frequency (RF sputtering. The conductivity and transparency of the ITO film were characterized prior to application on GaAs cells. Reverse saturation-current and ideality factor were used to evaluate the passivation performance of the various coatings on GaAs solar cells. Optical reflectance and external quantum efficiency response were used to evaluate the antireflective performance of the coatings. Photovoltaic current-voltage measurements were used to confirm the efficiency enhancement obtained by the presence of the anti-reflective coatings. The conversion efficiency of the GaAs cells with an ITO antireflective coating (23.52% exceeded that of cells with a SiO2 antireflective coating (21.92%. Due to lower series resistance and higher short-circuit current-density, the carrier collection of the GaAs cell with ITO coating exceeded that of the cell with a SiO2/ITO coating.

  5. Effect of AlSb quantum dots on efficiency of GaAs solar cell (Conference Presentation)

    Science.gov (United States)

    Mansoori, Ahmad; Addamane, Sadhvikas J.; Renteria, Emma J.; Shima, Darryl M.; Hains, Christopher P.; Balakrishnan, Ganesh

    2016-09-01

    Quantum Dots (QDs) have a broad applications in science and specifically in solar cell. Many research groups show that by adding QDs with lower bandgap respect to host material, the overall absorption of sun spectrum coverage will increase. Here, we propose using QDs with higher band gap respect to host material to improve efficiency of solar cell by improving quantum efficiency. GaAs solar cells have the highest efficiency in single junction solar cells. However, the absorption of GaAs is not good enough in wavelength lower than 550nm. AlSb can absorb shorter wavelength with higher absorption coefficient and also recombination rate should be lower because of higher bandgap of AlSb respect to GaAs. We embed AlSb QDs in GaAs solar cells and results show slight improvement in quantum efficiency and also in overall efficiency. Coverage of AlSb QDs has a direct impact on quality of AlSb QDs and efficiency of cell. In the higher coverage, intermixing between GaAs and AlSb causes to shift bandgap to lower value (having AlGaSb QDs instead of pure AlSb QDs). This intermixing decrease the Voc and overall efficiency of cell. In lower coverage, AlSb can survive from intermixing and overall performance of cell improves. Optimizing growth condition of AlSb QDs is a key point for this work. By using AlSb QDs, we can decrease the thickness of active layer of GaAs solar cells and have a thinner solar cell.

  6. Fabrication of GaAs nanowire devices with self-aligning W-gate electrodes using selective-area MOVPE

    International Nuclear Information System (INIS)

    Ooike, N.; Motohisa, J.; Fukui, T.

    2004-01-01

    We propose and demonstrate a novel self-aligning process for fabricating the tungsten (W) gate electrode of GaAs nanowire FETs by using selective-area metalorganic vapor phase epitaxy (SA-MOVPE) where SiO 2 /W composite films are used to mask the substrates. First, to study the growth process and its dependence on mask materials, GaAs wire structures were grown on masked substrates partially covered with a single W layer or SiO 2 /W composite films. We found that lateral growth over the masked regions could be suppressed when a wire along the [110] direction and a SiO 2 /W composite mask were used. Using this composite mask, we fabricated GaAs narrow channel FETs using W as a Schottky gate electrode, and we were able to observe FET characteristics at room temperature

  7. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    Science.gov (United States)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  8. Atmospheric spatial atomic layer deposition of Zn(O,S) buffer layer for Cu(In,Ga)Se2 solar cells

    NARCIS (Netherlands)

    Frijters, C.H.; Poodt, P.; Illeberi, A.

    2016-01-01

    Zinc oxysulfide has been grown by spatial atomic layer deposition (S-ALD) and successfully applied as buffer layer in Cu(In, Ga)Se2 (CIGS) solar cells. S-ALD combines high deposition rates (up to nm/s) with the advantages of conventional ALD, i.e. excellent control of film composition and superior

  9. Antireflective conducting nanostructures with an atomic layer deposited an AlZnO layer on a transparent substrate

    International Nuclear Information System (INIS)

    Park, Hyun-Woo; Ji, Seungmuk; Herdini, Diptya Suci; Lim, Hyuneui; Park, Jin-Seong; Chung, Kwun-Bum

    2015-01-01

    Graphical abstract: - Highlights: • We investigated the antireflective conducting nanostructures on a transparent substrate using atomic layer deposited AlZnO films. • The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance. • The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. • The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states. - Abstract: The antireflective conducting nanostructures on a transparent substrate were shown to have enhanced optical and electrical properties via colloidal lithography and atomic layer deposition. The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance, both of which were superior to those of a flat transparent conducting substrate. The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states.

  10. Ionic double layer of atomically flat gold formed on mica templates

    International Nuclear Information System (INIS)

    Chilcott, Terry C.; Wong, Elicia L.S.; Coster, Hans G.L.; Coster, Adelle C.F.; James, Michael

    2009-01-01

    Electrical impedance spectroscopy characterisations of gold surfaces formed on mica templates in contact with potassium chloride electrolytes were performed at the electric potential of zero charge over a frequency range of 6 x 10 -3 to 100 x 10 3 Hz. They revealed constant-phase-angle (CPA) behaviour with a frequency exponent value of 0.96 for surfaces that were also characterised as atomically flat using atomic force microscopy (AFM). As the frequency exponent value was only marginally less than unity, the CPA behaviour yielded a realistic estimate for the capacitance of the ionic double layer. The retention of the CPA behaviour was attributed to specific adsorption of chloride ions which was detected as an adsorption conductance element in parallel with the CPA impedance element. Significant variations in the ionic double layer capacitance as well as the adsorption conductance were observed for electrolyte concentrations ranging from 33 μM to 100 mM, but neither of these variations correlated with concentration. This is consistent with the electrical properties of the interface deriving principally from the inner or Stern region of the double layer.

  11. Preparation of metastable bcc permalloy epitaxial thin films on GaAs(011)B3 single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Higuchi, Jumpei; Yabuhara, Osamu; Kirino, Fumiyoshi; Futamoto, Masaaki

    2011-01-01

    Permalloy (Py) single-crystal films with bcc structure were obtained on GaAs(011) B3 single-crystal substrates by ultra high vacuum rf magnetron sputtering. The film growth and the detailed film structures were investigated by refection high energy electron diffraction and pole figure X-ray diffraction. bcc-Py films epitaxially grow on the substrates in the orientation relationship of Py(011)[011-bar] bcc || GaAs(011)[011-bar] B3 . The lattice constant of bcc-Py film is determined to be a = 0.291 nm. With increasing the film thickness, parts of the bcc crystal transform into more stable fcc structure by atomic displacement parallel to the bcc{011} close-packed planes. The resulting film thus consists of a mixture of bcc and fcc crystals. The phase transformation mechanism is discussed based on the experimental results. The in-plane magnetization properties reflecting the magnetocrystalline anisotropy of bcc-Py crystal are observed for the Py films grown on GaAs(011) B3 substrates.

  12. Annealing of low-temperature GaAs studied using a variable energy positron beam

    International Nuclear Information System (INIS)

    Keeble, D.J.; Umlor, M.T.; Asoka-Kumar, P.; Lynn, K.G.; Cooke, P.W.

    1993-01-01

    The annihilation characteristics of monoenergetic positrons implanted in a molecular beam epitaxy layer of low-temperature (LT) GaAs annealed at temperatures from 300 to 600 degree C were measured. A gallium vacancy concentration of approximately 3x10 17 cm -3 is inferred for the as-grown material. The S parameter increased significantly upon anneal to 500 degree C. The dominant positron traps in samples annealed at and below 400 degree C are distinct from those acting for samples annealed to 500 or 600 degree C. The change in S parameter for the 600 degree C annealed sample compared to the GaAs substrate, S LT,600 =1.047S sub , is consistent with divacancies or larger open volume defects

  13. Effects of spatial confinement and layer disorder in photoluminescence of GaAs1-xBix/GaAs heterostructures

    International Nuclear Information System (INIS)

    Mazur, Yu I; Dorogan, V G; Benamara, M; Ware, M E; Salamo, G J; Schmidbauer, M; Tarasov, G G; Johnson, S R; Lu, X; Yu, S-Q; Tiedje, T

    2013-01-01

    The structural and optical properties of a set of high-quality GaAs 1-x Bi x /GaAs quantum well (QW) heterostructures with Bi concentrations ranging from 3.5% to 6.7% are studied. The energies of the excitonic ground state transitions are determined as a function of Bi concentration and spatial confinement. The influence of material disorder on the optical properties of QWs is investigated. It is determined that trap-related luminescence responds differently to temperature changes depending on whether the Bi concentration is more or less than 5%. Below 5% it contributes significantly to the overall photoluminescence line shape whereas above 5%, it is insignificant.

  14. Atomic scale imaging of competing polar states in a Ruddlesden–Popper layered oxide

    Science.gov (United States)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J.; Schlom, Darrell G.; Alem, Nasim; Gopalan, Venkatraman

    2016-01-01

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden–Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure. PMID:27578622

  15. Atomic scale imaging of competing polar states in a Ruddlesden-Popper layered oxide.

    Science.gov (United States)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J; Schlom, Darrell G; Alem, Nasim; Gopalan, Venkatraman

    2016-08-31

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden-Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure.

  16. Spin dynamics in GaAs and (110)-GaAs heterostructures; Spindynamik in GaAs und (110)-GaAs-Heterostrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Oertel, Stefan

    2012-07-01

    This thesis investigates the spin dynamics in both bulk GaAs and (llO)GaAs heterostructures using time- and polarization-resolved photoluminescence spectroscopy. In bulk GaAs the spin relaxation t ime is measured for the first time in the high temperature regime from 280 K to 400 K and is compared to numerical calculations. The numerical calculations are based on the spin relaxation theory of the Dyakonov-Perel mechanism effected by momentum scattering with polar optical phonons and electron-electron scattering and are in good agreement with the experimental results. Measurements of the dependence on the electron density serve to determine the energy dependent proportional factor between the electron density and the effective electron-electron scattering time. Also in bulk GaAs the interaction between the electron spin system and the nuclear spin system is investigated. The measured electron Lande g-factor under the influence of the nuclear magnetic field is used as an indicator to monitor the temporal evolution of the nuclear magnetic field under sustained dynamic nuclear polarization. Measurements with polarization modulated excitation enable the determination of the relevant time scale at which dynamic nuclear polarization takes place. Furthermore, the temporal evolution of the measured electron Lande g-factor shows the complex interplay of the dynamic nuclear polarization, the nuclear spin diffusion and the nuclear spin relaxation. In symmetric (110)-GaAs quantum wells the dependence of the inplane anisotropy of the electron Lande g-factor on the quantum well thickness is determined experimentally. The measurements are in very good agreement with calculations based upon k . p-theory and reveal a maximum of the anisotropy at maximum carrier localization in the quantum well. The origin of the anisotropy that is not present in symmetric (001) quantum wells is qualitatively described by means of a simplified model based on fourth-order perturbation theory. A

  17. Preface: Special Topic on Atomic and Molecular Layer Processing: Deposition, Patterning, and Etching

    Science.gov (United States)

    Engstrom, James R.; Kummel, Andrew C.

    2017-02-01

    Thin film processing technologies that promise atomic and molecular scale control have received increasing interest in the past several years, as traditional methods for fabrication begin to reach their fundamental limits. Many of these technologies involve at their heart phenomena occurring at or near surfaces, including adsorption, gas-surface reactions, diffusion, desorption, and re-organization of near-surface layers. Moreover many of these phenomena involve not just reactions occurring under conditions of local thermodynamic equilibrium but also the action of energetic species including electrons, ions, and hyperthermal neutrals. There is a rich landscape of atomic and molecular scale interactions occurring in these systems that is still not well understood. In this Special Topic Issue of The Journal of Chemical Physics, we have collected recent representative examples of work that is directed at unraveling the mechanistic details concerning atomic and molecular layer processing, which will provide an important framework from which these fields can continue to develop. These studies range from the application of theory and computation to these systems to the use of powerful experimental probes, such as X-ray synchrotron radiation, probe microscopies, and photoelectron and infrared spectroscopies. The work presented here helps in identifying some of the major challenges and direct future activities in this exciting area of research involving atomic and molecular layer manipulation and fabrication.

  18. Atomic layer MoS2-graphene van der Waals heterostructure nanomechanical resonators.

    Science.gov (United States)

    Ye, Fan; Lee, Jaesung; Feng, Philip X-L

    2017-11-30

    Heterostructures play significant roles in modern semiconductor devices and micro/nanosystems in a plethora of applications in electronics, optoelectronics, and transducers. While state-of-the-art heterostructures often involve stacks of crystalline epi-layers each down to a few nanometers thick, the intriguing limit would be hetero-atomic-layer structures. Here we report the first experimental demonstration of freestanding van der Waals heterostructures and their functional nanomechanical devices. By stacking single-layer (1L) MoS 2 on top of suspended single-, bi-, tri- and four-layer (1L to 4L) graphene sheets, we realize an array of MoS 2 -graphene heterostructures with varying thickness and size. These heterostructures all exhibit robust nanomechanical resonances in the very high frequency (VHF) band (up to ∼100 MHz). We observe that fundamental-mode resonance frequencies of the heterostructure devices fall between the values of graphene and MoS 2 devices. Quality (Q) factors of heterostructure resonators are lower than those of graphene but comparable to those of MoS 2 devices, suggesting interface damping related to interlayer interactions in the van der Waals heterostructures. This study validates suspended atomic layer heterostructures as an effective device platform and provides opportunities for exploiting mechanically coupled effects and interlayer interactions in such devices.

  19. Partially oxidized atomic cobalt layers for carbon dioxide electroreduction to liquid fuel

    Science.gov (United States)

    Gao, Shan; Lin, Yue; Jiao, Xingchen; Sun, Yongfu; Luo, Qiquan; Zhang, Wenhua; Li, Dianqi; Yang, Jinlong; Xie, Yi

    2016-01-01

    Electroreduction of CO2 into useful fuels, especially if driven by renewable energy, represents a potentially ‘clean’ strategy for replacing fossil feedstocks and dealing with increasing CO2 emissions and their adverse effects on climate. The critical bottleneck lies in activating CO2 into the CO2•- radical anion or other intermediates that can be converted further, as the activation usually requires impractically high overpotentials. Recently, electrocatalysts based on oxide-derived metal nanostructures have been shown to enable CO2 reduction at low overpotentials. However, it remains unclear how the electrocatalytic activity of these metals is influenced by their native oxides, mainly because microstructural features such as interfaces and defects influence CO2 reduction activity yet are difficult to control. To evaluate the role of the two different catalytic sites, here we fabricate two kinds of four-atom-thick layers: pure cobalt metal, and co-existing domains of cobalt metal and cobalt oxide. Cobalt mainly produces formate (HCOO-) during CO2 electroreduction; we find that surface cobalt atoms of the atomically thin layers have higher intrinsic activity and selectivity towards formate production, at lower overpotentials, than do surface cobalt atoms on bulk samples. Partial oxidation of the atomic layers further increases their intrinsic activity, allowing us to realize stable current densities of about 10 milliamperes per square centimetre over 40 hours, with approximately 90 per cent formate selectivity at an overpotential of only 0.24 volts, which outperforms previously reported metal or metal oxide electrodes evaluated under comparable conditions. The correct morphology and oxidation state can thus transform a material from one considered nearly non-catalytic for the CO2 electroreduction reaction into an active catalyst. These findings point to new opportunities for manipulating and improving the CO2 electroreduction properties of metal systems

  20. A graphene/single GaAs nanowire Schottky junction photovoltaic device.

    Science.gov (United States)

    Luo, Yanbin; Yan, Xin; Zhang, Jinnan; Li, Bang; Wu, Yao; Lu, Qichao; Jin, Chenxiaoshuai; Zhang, Xia; Ren, Xiaomin

    2018-05-04

    A graphene/nanowire Schottky junction is a promising structure for low-cost high-performance optoelectronic devices. Here we demonstrate a graphene/single GaAs nanowire Schottky junction photovoltaic device. The Schottky junction is fabricated by covering a single layer graphene onto an n-doped GaAs nanowire. Under 532 nm laser excitation, the device exhibits a high responsivity of 231 mA W-1 and a short response/recover time of 85/118 μs at zero bias. Under AM 1.5 G solar illumination, the device has an open-circuit voltage of 75.0 mV and a short-circuit current density of 425 mA cm-2, yielding a remarkable conversion efficiency of 8.8%. The excellent photovoltaic performance of the device is attributed to the strong built-in electric field in the Schottky junction as well as the transparent property of graphene. The device is promising for self-powered high-speed photodetectors and low-cost high-efficiency solar cells.

  1. Atomic layer deposition for high-efficiency crystalline silicon solar cells

    NARCIS (Netherlands)

    Macco, B.; van de Loo, B.W.H.; Kessels, W.M.M.; Bachmann, J.

    2017-01-01

    This chapter illustrates that Atomic Layer Deposition (ALD) is in fact an enabler of novel high-efficiency Si solar cells, owing to its merits such as a high material quality, precise thickness control, and the ability to prepare film stacks in a well-controlled way. It gives an overview of the

  2. Atmospheric spatial atomic layer deposition of in-doped ZnO

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Roozeboom, F.; Poodt, P.

    2014-01-01

    Indium-doped zinc oxide (ZnO:In) has been grown by spatial atomic layer deposition at atmospheric pressure (spatial-ALD). Trimethyl indium (TMIn), diethyl zinc (DEZ) and deionized water have been used as In, Zn and O precursor, respectively. The metal content of the films is controlled in the range

  3. Atomic-scale structure of single-layer MoS2 nanoclusters

    DEFF Research Database (Denmark)

    Helveg, S.; Lauritsen, J. V.; Lægsgaard, E.

    2000-01-01

    We have studied using scanning tunneling microscopy (STM) the atomic-scale realm of molybdenum disulfide (MoS2) nanoclusters, which are of interest as a model system in hydrodesulfurization catalysis. The STM gives the first real space images of the shape and edge structure of single-layer MoS2...

  4. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  5. Substitutional Co dopant on the GaAs(110) surface: A first principles study

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Zhou; Yi, Zhijun, E-mail: zhijunyi@cumt.edu.cn

    2016-12-01

    Using the first principles ground state method, the electronic properties of single Co dopant replacing one Ga atom on the GaAs(110) surface are studied. Our calculated local density of states (LDOS) at Co site presents several distinct peaks above the valence band maximum (VBM), and this agrees with recent experiments. Moreover, the calculated STM images at bias voltages of 2 eV and −2 eV also agree with experiments. We discussed the origin of Co impurity induced distinct peaks, which can be characterized with the hybridization between Co d orbitals and p-like orbitals of surface As and Ga atoms.

  6. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  7. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  8. Pinning-free GaAs MIS structures with Si interface control layers formed on (4 x 6) reconstructed (0 0 1) surface

    Energy Technology Data Exchange (ETDEWEB)

    Anantathanasarn, Sanguan; Hasegawa, Hideki

    2003-06-30

    (0 0 1)-Oriented GaAs metal-insulator-semiconductor (MIS) structures having a silicon interface control layer (Si ICL) were fabricated on surfaces having Ga-rich (4x6) reconstructions. Si ICL was grown by molecular beam epitaxy. MIS structures were fabricated by partially converting Si ICL to SiN{sub x} by direct nitridation, and further depositing a thick SiO{sub 2} layer on top as the main passivation dielectric by plasma-assisted chemical vapor deposition. Reflection high-energy electron diffraction, in situ X-ray photoelectron spectroscopy and MIS capacitance-voltage (C-V) techniques were used for characterization. The initial surface reconstruction was found to have a surprisingly strong effect on the degree of Fermi level pinning at the MIS interface. In contrast to the standard As-rich (2x4) surface, which results in strongly pinned MIS interfaces, the novel SiO{sub 2}/SiN{sub x}/Si ICL/GaAs MIS structures formed on ''genuine'' (4x6) surface realized complete unpinning of Fermi level over the entire band gap with a minimum interface state density of 4x10{sup 10} cm{sup -2} eV{sup -1} range.

  9. Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Golnaz Karbasian

    2017-03-01

    Full Text Available Single electron transistors are nanoscale electron devices that require thin, high-quality tunnel barriers to operate and have potential applications in sensing, metrology and beyond-CMOS computing schemes. Given that atomic layer deposition is used to form CMOS gate stacks with low trap densities and excellent thickness control, it is well-suited as a technique to form a variety of tunnel barriers. This work is a review of our recent research on atomic layer deposition and post-fabrication treatments to fabricate metallic single electron transistors with a variety of metals and dielectrics.

  10. Molecular dynamics simulation of chemical sputtering of hydrogen atom on layer structured graphite

    International Nuclear Information System (INIS)

    Ito, A.; Wang, Y.; Irle, S.; Morokuma, K.; Nakamura, H.

    2008-10-01

    Chemical sputtering of hydrogen atom on graphite was simulated using molecular dynamics. Especially, the layer structure of the graphite was maintained by interlayer intermolecular interaction. Three kinds of graphite surfaces, flat (0 0 0 1) surface, armchair (1 1 2-bar 0) surface and zigzag (1 0 1-bar 0) surface, are dealt with as targets of hydrogen atom bombardment. In the case of the flat surface, graphene layers were peeled off one by one and yielded molecules had chain structures. On the other hand, C 2 H 2 and H 2 are dominant yielded molecules on the armchair and zigzag surfaces, respectively. In addition, the interaction of a single hydrogen isotope on a single graphene is investigated. Adsorption, reflection and penetration rates are obtained as functions of incident energy and explain hydrogen retention on layered graphite. (author)

  11. Atomic layer deposition of high-mobility hydrogen-doped zinc oxide

    NARCIS (Netherlands)

    Macco, B.; Knoops, H.C.M.; Verheijen, M.A.; Beyer, W.; Creatore, M.; Kessels, W.M.M.

    2017-01-01

    In this work, atomic layer deposition (ALD) has been employed to prepare high-mobility H-doped zinc oxide (ZnO:H) films. Hydrogen doping was achieved by interleaving the ZnO ALD cycles with H2 plasma treatments. It has been shown that doping with H2 plasma offers key advantages over traditional

  12. Quantum Dots obtained by LPE from under-saturated In-As liquid phases on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz F E; Mishurnyi V; Gorbatchev A; De Anda F [Universidad Autonoma de San Luis Potosi, Instituto de Investigacion en Comunicacion Optica, Av. Karacorum 1470, Col. Lomas 4a Sec., CP 78210San Luis PotosI (Mexico); Prutskij T, E-mail: fcoe_ov@prodigy.net.mx, E-mail: andre@cactus.iico.uaslp.mx [BUAP, Instituto de Ciencias, Apartado Postal 207, 72000, Puebla (Mexico)

    2011-01-01

    In this work we inform about quantum dots (QD) obtained by Liquid Phase Epitaxy (LPE) on GaAs substrates from under-saturated In-As liquid phases. In our processes, we have prepared saturated In-rich liquid phases by dissolving an InAs wafer at one of the temperatures interval from 450 to 414 C for 60 minutes. The contact between In-As liquid phase and the GaAs substrate was always done at a constant temperature of 444 C for 5 seconds. Thus, the growth temperature for most of the samples was higher than the liquidus temperature. We think that the growth driving force is related to a transient process that occurs when the system is trying to reach equilibrium. Under the atom force microscope (AFM) we have observed nano-islands on the surfaces of the samples obtained from under-saturated liquid phases prepared at 438, 432 and 426 C. The 25 K photoluminescence spectrum shows a peak at a 1.33 eV, in addition to the GaAs related line.

  13. Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Rampelberg, Geert; Devloo-Casier, Kilian; Deduytsche, Davy; Detavernier, Christophe [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Ghent (Belgium); Schaekers, Marc [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Blasco, Nicolas [Air Liquide Electronics US, L.P., 46401 Landing Parkway, Fremont, California 94538 (United States)

    2013-03-18

    Thin vanadium nitride (VN) layers were grown by atomic layer deposition using tetrakis(ethylmethylamino)vanadium and NH{sub 3} plasma at deposition temperatures between 70 Degree-Sign C and 150 Degree-Sign C on silicon substrates and polymer foil. X-ray photoelectron spectroscopy revealed a composition close to stoichiometric VN, while x-ray diffraction showed the {delta}-VN crystal structure. The resistivity was as low as 200 {mu}{Omega} cm for the as deposited films and further reduced to 143 {mu}{Omega} cm and 93 {mu}{Omega} cm by annealing in N{sub 2} and H{sub 2}/He/N{sub 2}, respectively. A 5 nm VN layer proved to be effective as a diffusion barrier for copper up to a temperature of 720 Degree-Sign C.

  14. Initial Stages of GaAs/Au Eutectic Alloy Formation for the Growth of GaAs Nano wires

    International Nuclear Information System (INIS)

    Rosnita, M.; Yussof, W.; Zuhairi, I.; Zulkafli, O.; Samsudi, S.

    2012-01-01

    Annealing temperature plays an important role in the formation of an Au-Ga eutectic alloy. The effects of the annealing temperature on gold nanoparticles colloid and substrate surface were studied using AFM, FE-SEM and TEM. At 600 degree Celsius, the layer of gold colloids particle formed an island in the state of molten eutectic alloy and absorbed evaporated metal-organics to formed nano wire (NW) underneath the alloy. Pit formed on the substrate surface due to the chemical reactions during the annealing process have an impact on the direction of growth of the NW. Without annealing, the NW formed vertically on the GaAs (100) surface. The growth direction depends on the original nucleation facets and surface energy when annealed. When annealed, the wire base is large and curved due to the migration of Ga atoms on the substrate surface towards the tip of the wire and the line tension between the substrate surface and gold particle. (author)

  15. Enhanced growth of highly lattice-mismatched CdSe on GaAs substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Jyh-Shyang; Tsai, Yu-Hsuan; Wang, Hsiao-Hua; Ke, Han-Xiang; Tong, Shih-Chang; Yang, Chu-Shou; Wu, Chih-Hung; Shen, Ji-Lin

    2013-01-01

    This work demonstrates the improvement of the molecular beam epitaxial growth of zinc-blende CdSe on (0 0 1) GaAs substrate with a large lattice mismatch by introducing a small amount of Te atoms. Exposing the growing surface to Te atoms changes the reflection high-energy electron diffraction pattern from spotty to streaky together with (2 × 1) surface reconstruction, and greatly reduces the full width at half maximum of the X-ray rocking curve and increases the integral intensity of room-temperature photoluminescence by a factor of about nine.

  16. Atomic-layer deposited passivation schemes for c-Si solar cells

    NARCIS (Netherlands)

    van de Loo, B.W.H.; Macco, B.; Melskens, J.; Verheijen, M.A.; Kessels, W.M.M.E.

    2016-01-01

    A review of recent developments in the field of passivation of c-Si surfaces is presented, with a particular focus on materials that can be prepared by atomic layer deposition (ALD). Besides Al2O3, various other novel passivation schemes have recently been developed, such as Ga2O3, Ta2O5,

  17. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    Science.gov (United States)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.

  18. Atomic layer deposition of superparamagnetic and ferrimagnetic magnetite thin films

    International Nuclear Information System (INIS)

    Zhang, Yijun; Liu, Ming; Ren, Wei; Zhang, Yuepeng; Chen, Xing; Ye, Zuo-Guang

    2015-01-01

    One of the key challenges in realizing superparamagnetism in magnetic thin films lies in finding a low-energy growth way to create sufficiently small grains and magnetic domains which allow the magnetization to randomly and rapidly reverse. In this work, well-defined superparamagnetic and ferrimagnetic Fe 3 O 4 thin films are successfully prepared using atomic layer deposition technique by finely controlling the growth condition and post-annealing process. As-grown Fe 3 O 4 thin films exhibit a conformal surface and poly-crystalline nature with an average grain size of 7 nm, resulting in a superparamagnetic behavior with a blocking temperature of 210 K. After post-annealing in H 2 /Ar at 400 °C, the as-grown α−Fe 2 O 3 sample is reduced to Fe 3 O 4 phase, exhibiting a ferrimagnetic ordering and distinct magnetic shape anisotropy. Atomic layer deposition of magnetite thin films with well-controlled morphology and magnetic properties provides great opportunities for integrating with other order parameters to realize magnetic nano-devices with potential applications in spintronics, electronics, and bio-applications

  19. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    Science.gov (United States)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be -oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  20. Nucleation of point defects in low-fluence ion-implanted GaAs and GaP

    International Nuclear Information System (INIS)

    Wesch, W.; Wendler, E.; Gaertner, K.

    1992-01-01

    The defect production due to low-fluence medium-mass ion implantation into GaAs and GaP at room temperature is investigated. In the parameter region analysed weakly damaged layers are created containing point defects and point defects complexes. Temperature dependent channeling measurements show different structures of the damage produced in the two materials. The depth profiles of the near-edge optical absorption coefficient K sufficiently correspond to the profiles of the primarily produced vacancy concentration N vac . The absorption coefficient K(N vac ) determined from the depth profiles of the two magnitudes shows a square root dependence for GaAs, whereas for GaP a linear dependence is found. The differences observed are discussed in the frame of different nucleation mechanisms. (orig.)

  1. A comparative study of Co thin film deposited on GaAs (1 0 0) and glass substrates

    International Nuclear Information System (INIS)

    Sharma, A.; Brajpuriya, R.; Tripathi, S.; Jain, D.; Dubey, R.; Shripathi, T.; Chaudhari, S.M.

    2006-01-01

    The structural, magnetic and transport properties of Co/GaAs (1 0 0) and Co/glass thin films have been investigated. The structural measurements reveal the crystalline nature of Co thin film grown on GaAs, while microcrystalline nature in case of glass substrate. The film grown on GaAs shows higher coercivity (49.0 G), lower saturation magnetization (3.65 x 10 -4 ) and resistivity (8 μΩ cm) values as compared to that on glass substrate (22 G, 4.77 x 10 -4 and 18 μΩ cm). The grazing incidence X-ray reflectivity and photoemission spectroscopy results show the interaction between Co and GaAs at the interface, while the Co layer grown on glass remains unaffected. These observed results are discussed and interpreted in terms of different growth morphologies and structures of as grown Co thin film on both substrates

  2. Atomic Layer Control of Thin Film Growth Using Binary Reaction Sequence Chemistry

    National Research Council Canada - National Science Library

    George, Steven

    1997-01-01

    Our research is focusing on the atomic layer control of thin film growth. Our goal is to deposit films with precise control of thickness and conformality on both flat and high aspect ratio structures...

  3. Angular dependence of Auger signals from a GaAs (111) surface

    International Nuclear Information System (INIS)

    Barnard, W.O.

    1984-03-01

    This dissertation is concerned with the angular dependence of the L 3 M 4 M 4 1067 eV Ga and L 3 M 4 M 4 1228 eV As Auger electron signals from a (111) GaAs surface, using a system which is equipped with a cylindrical mirror analyser. Following a detailed discussion of the Auger process, a review is given of angular effects in the emission excitation and detection of Auger signals. Present theories are discussed and an empirical theory is developed to test the experimental results obtained in this study. The experimental procedures and equipment used are presented. It was found that the Auger signals show a strong variation with the angle of rotation about the normal of a GaAs surface. Furthermore, the nature of the angular spectra of the Ga and As signals are interchanged when the electron beam incident surface is changed from (111) to (111). The main features of the angular variation of the quasi-elastic backscattered signal is reflected in the corresponding Ga and As Auger angular spectra. The angular dependence of the quasi-elastic backscattered signal can be explained semi-quantitatively in terms of the empirical theory. Theoretical arguments are presented which suggest that the Auger signals should show an angular dependence similar to the quasi-elastic backscattered signal. Evidence was found that geometric screening-off of underlying atoms by surface and near surface atoms influence the Auger yield

  4. TiO{sub 2} nanofiber solid-state dye sensitized solar cells with thin TiO{sub 2} hole blocking layer prepared by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jinwei; Chen, Xi; Xu, Weihe [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States); Nam, Chang-Yong, E-mail: cynam@bnl.gov [Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, NY 11973 (United States); Shi, Yong, E-mail: Yong.Shi@stevens.edu [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States)

    2013-06-01

    We incorporated a thin but structurally dense TiO{sub 2} layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO{sub 2} nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO{sub 2} layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO{sub 2} precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO{sub 2} layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO{sub 2} blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO{sub 2} layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime.

  5. Textured strontium titanate layers on platinum by atomic layer deposition

    International Nuclear Information System (INIS)

    Blomberg, T.; Anttila, J.; Haukka, S.; Tuominen, M.; Lukosius, M.; Wenger, Ch.; Saukkonen, T.

    2012-01-01

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2–1 μm) and low X-ray reflectivity roughness (∼ 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu 3 Cp) 2 , Ti(OMe) 4 and O 3 precursors at 250 °C were used to deposit Sr rich STO on Pt/Ti/SiO 2 /Si ∅200 mm substrates. After crystallization post deposition annealing at 600 °C in air, most of the STO grains showed a preferential orientation of the {001} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {111} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O 3 ) shows a promising path towards the formation of single oriented STO film. - Highlights: ► Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. ► Single crystal domains in 60 nm STO film were 0.2–1 μm wide. ► Most STO grains were {001} oriented.

  6. Structure, magnetism, and interface properties of epitactical thin Fe and FePt films on GaAs(001) substrates; Struktur, Magnetismus und Grenzflaecheneigenschaften epitaktischer duenner Fe- und FePt-Filme auf GaAs(001)-Substraten

    Energy Technology Data Exchange (ETDEWEB)

    Schuster, Ellen Ursula

    2007-12-17

    The research in this thesis is focused on the study of the Fe spin structure and interface magnetism of thin epitaxial Fe layers or epitaxial FePt alloy films with chemical L1{sub 0} order on GaAs(001) surfaces. The main method of investigation was isotope-specific conversion electron Moessbauer spectroscopy (CEMS) combined with the {sup 57}Fe probe-layer technique in the temperature range of 4.2-300 K. The film structure was studied using electron diffraction (RHEED) and X-ray diffraction (XRD). The chemical order parameter S determined by XRD was found to increase with rising growth temperature, T{sub S}, to a maximum value of 0.71, until long range order is destroyed at T{sub S}>350 C by alloying with the substrate. As an important result a linear correlation between short-range order (revealed by the relative spectral area of the L1{sub 0} phase) and long-range order S was observed. The observed perpendicular Fe spin texture, characterized by the mean tilting angle left angle {theta} right angle of the Fe spins (relative to the film normal direction), was found to correlate with the L1{sub 0} phase content and with S. Furthermore, epitaxial Fe(001) films on GaAs(001)-(4 x 6) and on GaAs(001)-LED surfaces were grown successfully. In the initial stage of Fe film growth non-monotonous behavior of the in-plane lattice parameter was observed by RHEED. The magnetic hyperfine field distributions P(B{sub hf}) at the Fe/GaAs interface extracted from CEMS spectra for T{sub S}=-140 C or room temperature (RT) were found to be very similar. The observed large mean hyperfine fields of left angle B{sub hf} right angle {approx}25-27 T at the interface indicate the presence of high average Fe moments of 1.7-1.8 {mu}{sub B}. Nonmagnetic interface layers either can be excluded (Fe/GaAs) or are very thin (0.5 ML,Fe/GaAs-LED). Owing to its island structure an ultrathin (1.9 ML thick) uncoated Fe(001) film on GaAs(001)-(4 x 6) shows superparamagnetism with a blocking temperature of

  7. Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy

    International Nuclear Information System (INIS)

    Nepal, N.; Goswami, R.; Qadri, S.B.; Mahadik, N.A.; Kub, F.J.; Eddy, C.R.

    2014-01-01

    Recent results on atomic layer epitaxy (ALE) growth and characterization of (0 0 0 1)AlN on highly oriented (1 1 1)Pt layers on amorphous HfO 2 /Si(1 0 0) are reported. HfO 2 was deposited by atomic layer deposition on Si(1 0 0) followed by ALE growth of Pt(15 nm) and, subsequently, AlN(60 nm) at 500 °C. Based on the X-ray diffraction and transmission electron microscopy measurements, the Pt and AlN layers are highly oriented along the (1 1 1) and (0 0 0 2) directions, respectively. Demonstrations of AlN/Pt heterostructures open up the possibility of new state-of-the-art microelectromechanical systems devices

  8. MOVPE grown InGaAs quantum dots of high optical quality as seed layer for low-density InP quantum dots

    International Nuclear Information System (INIS)

    Richter, D; Hafenbrak, R; Joens, K D; Schulz, W-M; Eichfelder, M; Rossbach, R; Jetter, M; Michler, P

    2010-01-01

    To achieve a low density of optically active InP-quantum dots we used InGaAs islands embedded in GaAs as a seed layer. First, the structural InGaAs quantum dot properties and the influence of the annealing technique was investigated by atomic force microscope measurements. High-resolution micro-photoluminescence spectra reveal narrow photoluminescence lines, with linewidths down to 11 μeV and fine structure splittings of 25 μeV. Furthermore, using these InGaAs quantum dots as seed layer reduces the InP quantum dot density of optically active quantum dots drastically. InP quantum dot excitonic photoluminescence emission with a linewidth of 140 μeV has been observed.

  9. Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition

    Science.gov (United States)

    2017-09-30

    Report: Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer ...Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition Report Term: 0-Other Email: pcappillino... Layer Electroless Deposition (ALED, Figure 1) is the ability to tune growth mechanism, hence growth morphology, by altering conditions. In this

  10. 2D-PES/XAS method for atomic-layer-resolved magnetic structure analysis

    International Nuclear Information System (INIS)

    Matsui, F.; Daimon, H.; Matsushita, T.; Guo, F.Z.

    2008-01-01

    Photoelectron and Auger electron angular distributions from a localized core level provide information on atomic configurations. Forward-focusing peaks indicate the directions of atoms surrounding the excited atom. X-ray absorption fine structure and X-ray magnetic circular dichroism measurements by Auger electron yield detection on the other hand are excellent methods for studying of the electronic and magnetic structures of surfaces, adsorbates, and thin films. However, all the information from atoms within the electron mean-free-path region is averaged into the obtained spectra. Here, we introduce a new method of X-ray absorption spectroscopy (XAS) combined with measurements of Auger electron angular distribution using a display-type analyzer. Taking advantage of the forward-focusing peak as an excellent element- and site-selective probe, 2D-XAS enables direct access to the individual electronic and magnetic structures of each atomic layer. This method was applied to studying the electronic and magnetic structures of Ni thin film at atomic level. (author)

  11. Anomalous strain relaxation and light-hole character enhancement in GaAs capped InAs/In0.53Ga0.47As quantum ring

    International Nuclear Information System (INIS)

    Moon, Pilkyung; Park, Kwangmin; Yoon, Euijoon; Leburton, Jean-Pierre

    2009-01-01

    We theoretically investigated the strain profiles and the electronic structures of InAs/In 0.53 Ga 0.47 As quantum dot and GaAs capped quantum ring. In contrast to the intuitive expectation that the GaAs layer applies a strong compressive strain along the lateral directions of InAs, the GaAs embedded in the In 0.53 Ga 0.47 As matrix provides enough space for the InAs relaxation. The GaAs embedded in In 0.53 Ga 0.47 As acts as potential barrier for both electrons and heavy-holes, and as potential well for light-holes. Each hole state of the quantum ring exhibits two to eight times larger light-hole character than that of a quantum dot. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  13. Comparison of different grading schemes in InGaAs metamorphic buffers on GaAs substrate: Tilt dependence on cross-hatch irregularities

    International Nuclear Information System (INIS)

    Kumar, Rahul; Bag, Ankush; Mukhopadhyay, Partha; Das, Subhashis; Biswas, Dhrubes

    2015-01-01

    Highlights: • InGaAs graded MBs with different grading scheme has been grown by MBE on GaAs. • Continuously graded MB exhibits smoother surface morphology. • Grading scheme has been found to have little impact on lattice relaxation. • Grading schemeaffects the lattice tilt significantly. • Cross-hatch surface irregularities affect the crystallographic tilt. - Abstract: InGaAs graded metamorphic buffers (MBs) with different grading strategies have been grown by molecular beam epitaxy (MBE) on GaAs (0 0 1) substrate. A detailed comparative analysis of surface using atomic force microscopy (AFM), and bulk properties using high resolution X-ray diffraction (HRXRD) and room temperature photoluminescence (RTPL) of grown MBs have been presented to comprehend the effectiveness of different grading scheme on InGaAs MBs. Conventional, statistical and fractal analysis on measured AFM data has been performed for in-depth investigation of these surfaces. The grading scheme has been found to have little impact on residual strain while it affects the epitaxial tilt significantly. Moreover, the tilt has been found to depend on growth front irregularities. Tilt magnitude in a graded MB has been found to vary with composition while tilt azimuth has been found to be almost same in the graded layers. PL Intensity and a shift in the PL peaks have been used to study the quality of the MB and residual strain comparatively.

  14. Comparison of different grading schemes in InGaAs metamorphic buffers on GaAs substrate: Tilt dependence on cross-hatch irregularities

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Rahul, E-mail: rkp203@gmail.com [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Bag, Ankush [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Mukhopadhyay, Partha [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur 721302 (India); Das, Subhashis [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Biswas, Dhrubes [Department of Electronics & Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India)

    2015-12-01

    Highlights: • InGaAs graded MBs with different grading scheme has been grown by MBE on GaAs. • Continuously graded MB exhibits smoother surface morphology. • Grading scheme has been found to have little impact on lattice relaxation. • Grading schemeaffects the lattice tilt significantly. • Cross-hatch surface irregularities affect the crystallographic tilt. - Abstract: InGaAs graded metamorphic buffers (MBs) with different grading strategies have been grown by molecular beam epitaxy (MBE) on GaAs (0 0 1) substrate. A detailed comparative analysis of surface using atomic force microscopy (AFM), and bulk properties using high resolution X-ray diffraction (HRXRD) and room temperature photoluminescence (RTPL) of grown MBs have been presented to comprehend the effectiveness of different grading scheme on InGaAs MBs. Conventional, statistical and fractal analysis on measured AFM data has been performed for in-depth investigation of these surfaces. The grading scheme has been found to have little impact on residual strain while it affects the epitaxial tilt significantly. Moreover, the tilt has been found to depend on growth front irregularities. Tilt magnitude in a graded MB has been found to vary with composition while tilt azimuth has been found to be almost same in the graded layers. PL Intensity and a shift in the PL peaks have been used to study the quality of the MB and residual strain comparatively.

  15. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    Science.gov (United States)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  16. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    Science.gov (United States)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  17. Non-Stoichiometric Layers of III/IV Semiconductors

    National Research Council Canada - National Science Library

    Weber, Eicke

    1998-01-01

    .... As rich GaAs offers unique device applications in layer isolation and optoelectronics because of its insulating capabilities after a thermal annealing and ultrafast time response in the THz range...

  18. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    Science.gov (United States)

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  19. MBE growth of Topological Isolators based on strained semi-metallic HgCdTe layers

    Science.gov (United States)

    Grendysa, J.; Tomaka, G.; Sliz, P.; Becker, C. R.; Trzyna, M.; Wojnarowska-Nowak, R.; Bobko, E.; Sheregii, E. M.

    2017-12-01

    Particularities of Molecular Beam Epitaxial (MBE) technology for the growth of Topological Insulators (TI) based on the semi-metal Hg1-xCdx Te are presented. A series of strained layers grown on GaAs substrates with a composition close to the 3D Dirac point were studied. The composition of the layers was verified by means of the position of the E1 maximum in optical reflectivity in the visible region. The surface morphology was determined via atomic force and electron microscopy. Magneto-transport measurements show quantized Hall resistance curves and Shubnikov de Hass oscillations (up to 50 K). It has been demonstrated that a well-developed MBE technology enables one to grow strained Hg1-xCdx Te layers on GaAs/CdTe substrates with a well-defined composition near the 3D Dirac point and consequently allows one to produce a 3D topological Dirac semimetal - 3D analogy of graphene - for future applications.

  20. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  1. Subnanosecond linear GaAs photoconductive switching, revision 1

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.; Hofer, W. W.

    Research was conducted in photoconductive switching for the purpose of generating subnanosecond pulses in the 25 to 50kV range. The very fast recombination rates of Gallium Arsenide (GaAs) was exploited to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is (approx. 10(-14) sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. Switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm was observed. The illumination source was a Nd:YAG laser operating at 1.06 microns.

  2. Atmospheric spatial atomic-layer-deposition of Zn(O, S) buffer layer for flexible Cu(In, Ga)Se2 solar cells: From lab-scale to large area roll to roll processing

    NARCIS (Netherlands)

    Frijters, C.H.; Bolt, P.J.; Poodt, P.W.G.; Knaapen, R.; Brink, J. van den; Ruth, M.; Bremaud, D.; Illiberi, A.

    2016-01-01

    In this manuscript we present the first successful application of a spatial atomic-layer-deposition process to thin film solar cells. Zn(O,S) has been grown by spatial atomic layer deposition (S-ALD) at atmospheric pressure and applied as buffer layer in rigid and flexible CIGS cells by a lab-scale

  3. Abnormal optical behaviour of InAsSb quantum dots grown on GaAs substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Rihani, J.; Ben Sedrine, N.; Sallet, V.; Harmand, J.C.; Oueslati, M.; Chtourou, R.

    2008-01-01

    InAs(Sb) quantum dots (QDs) samples were grown on GaAs (001) substrate by Molecular Beam Epitaxy (MBE). The structural characterization by Atomic Force Microscopy (AFM) of samples shows that InAsSb islands size increases strongly with antimony incorporation in InAs/GaAs QDs and decreases with reducing the growth temperature from 520 deg. C to 490 deg. C. Abnormal optical behaviour was observed in room temperature (RT) photoluminescence (PL) spectra of samples grown at high temperature (520 deg. C). Temperature dependent PL study was investigated and reveals an anomalous evolution of emission peak energy (EPE) of InAsSb islands, well-known as 'S-inverted curve' and attributed to the release of confined carriers from the InAsSb QDs ground states to the InAsSb wetting layer (WL) states. With only decreasing the growth temperature, the S-inverted shape was suppressed indicating a fulfilled 3D-confinement of carriers in the InAsSb/GaAs QD sample

  4. Fabrication of GaAs/Al0.3Ga0.7As multiple quantum well nanostructures on (100) si substrate using a 1-nm InAs relief layer.

    Science.gov (United States)

    Oh, H J; Park, S J; Lim, J Y; Cho, N K; Song, J D; Lee, W; Lee, Y J; Myoung, J M; Choi, W J

    2014-04-01

    Nanometer scale thin InAs layer has been incorporated between Si (100) substrate and GaAs/Al0.3Ga0.7As multiple quantum well (MQW) nanostructure in order to reduce the defects generation during the growth of GaAs buffer layer on Si substrate. Observations based on atomic force microscopy (AFM) and transmission electron microscopy (TEM) suggest that initiation and propagation of defect at the Si/GaAs interface could be suppressed by incorporating thin (1 nm in thickness) InAs layer. Consequently, the microstructure and resulting optical properties improved as compared to the MQW structure formed directly on Si substrate without the InAs layer. It was also observed that there exists some limit to the desirable thickness of the InAs layer since the MQW structure having thicker InAs layer (4 nm-thick) showed deteriorated properties.

  5. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  6. Many-electron effect in the Si K-LL resonant Auger-electron spectroscopy spectra of the Si delta layer in GaAs

    International Nuclear Information System (INIS)

    Ohno, Masahide

    2006-01-01

    The Si K-LL resonant Auger-electron spectroscopy (RAES) spectra of silicon delta dopped layers in GaAs with very thin capping layers show both normal Auger decay and resonant Auger decay, when the core-level electron is excited to the conduction band. The resonant Auger peak kinetic energy (KE) shows no dispersion with photon energy, except when excited by the highest energy photons [M.D. Jackson, J.M.C. Thornton, D. Lewis, A. Robinson, M. Fahy, A. Aviary, P. Weightman, Phys. Rev. B71 (2005) 075313]. The RAES spectra are analyzed using a many-body theory. The presence of resonant Auger decay and no dispersion of resonant Auger peak KE with photon energy is explained in terms of the relaxation of a metastable excited core-hole state to a stable one on the time scale of core-hole decay. The excited electron in the conduction band either delocalizes rapidly leaving the ionized Si to decay by a normal Auger decay or drops to a state localized in the Si delta layer before the core-hole decays so that the RAES spectrum has both normal Auger decay and resonant Auger decay. As a result of the relaxation, the resonant Auger peak KE does not show any dispersion with photon energy. The variations with photon energy of the normal or resonant Auger peak intensity, KE, and width are explained in a consistent manner by a many-body theory

  7. Singularities of 28Si electrical activation in a single crystal and epitaxial GaAs under radiation annealing

    International Nuclear Information System (INIS)

    Ardyshev, V.M.; Ardyshev, M.V.; Khludkov, S.S.

    2000-01-01

    Using the voltage-capacitance characteristics method, the concentration profiles of 28 Si that is implanted in monocrystal and epitaxial GaAs after fast thermal annealing (FTA) (825, 870, 950 deg C, 12 s) have been studied; using Van-der-Paw method, the electron Hall mobility temperature dependence in the range of 70-400 K has been measured. Unlike thermal annealing (800 deg C, 30 min), the silicon diffusion depth redistribution into GaAs is shown to occur for both types of material. The coefficient of diffusion of Si in the single crystal is 2 times greater, but the electrical activation efficiency is somewhat less than in the epitaxial GaAs for each of the temperatures of FTA. The analysis of the temperature dependence of the electron mobility in ion-implanted layers after FTA gives the evidence about the significantly lower concentration of defects restricting the mobility in comparison with results obtained at thermal annealing during 30 min [ru

  8. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  9. Electrodeposition of Metal on GaAs Nanowires

    Science.gov (United States)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  10. Diffusion of $^{52}$Mn in GaAs

    CERN Multimedia

    2002-01-01

    Following our previous diffusion studies performed with the modified radiotracer technique, we propose to determine the diffusion of Mn in GaAs under intrinsic conditions in a previously un-investigated temperature region. The aim of the presently proposed experiments is twofold. \\begin{itemize} \\item A quantitative study of Mn diffusion in GaAs at low Mn concentrations would be decisive in providing new information on the diffusion mechanism involved. \\item As Ga vacancies are expected to be involved in the Mn diffusion process it can be predicted that also the GaAs material growth technique most likely plays a role. To clarify this assumption diffusion experiments will be conducted for GaAs material grown by two different techniques. \\end{itemize} For such experiments we ask for two runs of 3 shifts (total of 6 shifts) with $^{52}$Mn$^{+}$ ion beam.

  11. Photoluminescence characterization of GaAs/GaAs0.64P0.19Sb0.17/GaAs heterostructure

    International Nuclear Information System (INIS)

    Chen, J.Y.; Chen, B.H.; Huang, Y.S.; Chin, Y.C.; Tsai, H.S.; Lin, H.H.; Tiong, K.K.

    2013-01-01

    Interfacial characteristics of GaAs/GaAs 0.64 P 0.19 Sb 0.17 GaAs heterostructures and emission properties of a quaternary GaAs 0.64 P 0.19 Sb 0.17 layer were studied by excitation-power- and temperature-dependent photoluminescence (PL) measurements. The GaAs-to-GaAsPSb upper interface related emission feature and signals from GaAsPSb and GaAs were observed and characterized. The upper interface related emission peak was attributed to the radiative recombination of spatially separated electron–hole pairs and suggesting the type-II alignment at the GaAs/GaAsPSb interface. The localized excitonic emission feature of GaAsPSb revealed a blueshift due to the saturation effect of localized states and showed a fast thermal-quench with the increase of temperature. The temperature variation of the band edge emission signal of GaAsPSb was found to follow that of GaAs closely. -- Highlights: ► PL characterization of GaAs/GaAsPSb/GaAs heterostructure. ► Type-II alignment at the GaAs/GaAsPSb interface. ► Near-band-edge emission lines of GaAsPSb

  12. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  13. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao; Hedhili, Mohamed N.; Li, Jingqi; Wang, Qingxiao; Yang, Yang; Chen, Long; LI, LIANG

    2013-01-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing

  14. Performances of epitaxial GaAs p/i/n structures for X-ray imaging

    CERN Document Server

    Sun, G C; Haguet, V; Pesant, J C; Montagne, J P; Lenoir, M; Bourgoin, J C

    2002-01-01

    We have realized 150 mu mx150 mu m pixels using ion implantation followed by photolithography, metallic contact evaporation and chemical etching on about 200 mu m thick GaAs epitaxial layers. These layers were grown on n sup + and p sup + substrates by an already described Chemical Reaction technique, which is economical, non-polluting and can attain growth rates of several microns per minute. The mesa p sup + /i/n sup + pixel were characterized using current-voltage and capacitance-voltage measurements. The charge collection efficiency was evaluated by photoconductivity measurements under typical conditions of standard radiological examinations.

  15. GaAs structures with InAs and As quantum dots produced in a single molecular beam epitaxy process

    International Nuclear Information System (INIS)

    Nevedomskii, V. N.; Bert, N. A.; Chaldyshev, V. V.; Preobrazhenskii, V. V.; Putyato, M. A.; Semyagin, B. R.

    2009-01-01

    Epitaxial GaAs layers containing InAs semiconductor quantum dots and As metal quantum dots are grown by molecular beam epitaxy. The InAs quantum dots are formed by the Stranskii-Krastanow mechanism, whereas the As quantum dots are self-assembled in the GaAs layer grown at low temperature with a large As excess. The microstructure of the samples is studied by transmission electron microscopy. It is established that the As metal quantum dots formed in the immediate vicinity of the InAs semiconductor quantum dots are larger in size than the As quantum dots formed far from the InAs quantum dots. This is apparently due to the effect of strain fields of the InAs quantum dots upon the self-assembling of As quantum dots. Another phenomenon apparently associated with local strains around the InAs quantum dots is the formation of V-like defects (stacking faults) during the overgrowth of the InAs quantum dots with the GaAs layer by low-temperature molecular beam epitaxy. Such defects have a profound effect on the self-assembling of As quantum dots. Specifically, on high-temperature annealing needed for the formation of large-sized As quantum dots by Ostwald ripening, the V-like defects bring about the dissolution of the As quantum dots in the vicinity of the defects. In this case, excess arsenic most probably diffuses towards the open surface of the sample via the channels of accelerated diffusion in the planes of stacking faults.

  16. Subnanosecond linear GaAs photoconductive switching: Revision 1

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.; Hofer, W.W.

    1989-01-01

    We are conducting research in photoconductive switching for the purpose of generating subnanosecond pulses in the 25--50kV range. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is /approximately/10/sup /minus/14/ sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. We have observed switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm. The illumination source was a Nd:YAG laser operating at 1.06 /mu/m. 4 refs., 11 figs.

  17. Atomic layer deposition of TiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Tallarida, Massimo; Dessmann, Nils; Staedter, Matthias; Friedrich, Daniel; Michling, Marcel; Schmeisser, Dieter [BTU-Cottbus, Konrad-Wachsmann-Allee 17, 03046 Cottbus (Germany)

    2011-07-01

    We present a study of the initial growth of TiO{sub 2} on Si(111) by atomic layer deposition (ALD). The Si substrate was etched with NH{sub 4}F before ALD to remove the native oxide film and to produce a Si-H termination. In-situ experiments by means of photoemission and X-ray absorption spectroscopy were conducted with synchrotron radiation on Ti-oxide films produced using Ti-tetra-iso-propoxide (TTIP) and water as precursors. O 1s, Ti 2p, C 1s, and S i2p core level, and O 1s and Ti 2p absorption edges show the transition of the Ti-oxide properties during the first layers. The growth starts with a very small growth rate (0.03 nm/cycle) due to the growth inhibition of the Si-H termination and proceeds with higher growth rate (0.1 nm/cycle) after 1.5 nm Ti-oxide has been deposited.

  18. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  19. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  20. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    Science.gov (United States)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  1. Atomic layer deposition and post-growth thermal annealing of ultrathin MoO3 layers on silicon substrates: Formation of surface nanostructures

    Science.gov (United States)

    Liu, Hongfei; Yang, Ren Bin; Yang, Weifeng; Jin, Yunjiang; Lee, Coryl J. J.

    2018-05-01

    Ultrathin MoO3 layers have been grown on Si substrates at 120 °C by atomic layer deposition (ALD) using molybdenum hexacarbonyl [Mo(CO)6] and ozone (O3) as the Mo- and O-source precursors, respectively. The ultrathin films were further annealed in air at Tann = 550-750 °C for 15 min. Scanning-electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray photoelectron spectroscopy have been employed to evaluate the morphological and elemental properties as well as their evolutions upon annealing of the thin films. They revealed an interfacial SiOx layer in between the MoO3 layer and the Si substrate; this SiOx layer converted into SiO2 during the annealing; and the equivalent thickness of the MoO3 (SiO2) layer decreased (increased) with the increase in Tann. Particles with diameters smaller than 50 nm emerged at Tann = 550 °C and their sizes (density) were reduced (increased) by increasing Tann to 650 °C. A further increase of Tann to 750 °C resulted in telephone-cord-like MoO3 structures, initiated from isolated particles on the surface. These observations have been discussed and interpreted based on temperature-dependent atomic interdiffusions, surface evaporations, and/or melting of MoO3, which shed new light on ALD MoO3 towards its electronic applications.

  2. Preparation of metastable bcc permalloy epitaxial thin films on GaAs(011){sub B3} single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru, E-mail: ohtake@futamoto.elect.chuo-u.ac.jp [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Higuchi, Jumpei; Yabuhara, Osamu [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan); Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2011-09-30

    Permalloy (Py) single-crystal films with bcc structure were obtained on GaAs(011){sub B3} single-crystal substrates by ultra high vacuum rf magnetron sputtering. The film growth and the detailed film structures were investigated by refection high energy electron diffraction and pole figure X-ray diffraction. bcc-Py films epitaxially grow on the substrates in the orientation relationship of Py(011)[011-bar]{sub bcc} || GaAs(011)[011-bar]{sub B3}. The lattice constant of bcc-Py film is determined to be a = 0.291 nm. With increasing the film thickness, parts of the bcc crystal transform into more stable fcc structure by atomic displacement parallel to the bcc{l_brace}011{r_brace} close-packed planes. The resulting film thus consists of a mixture of bcc and fcc crystals. The phase transformation mechanism is discussed based on the experimental results. The in-plane magnetization properties reflecting the magnetocrystalline anisotropy of bcc-Py crystal are observed for the Py films grown on GaAs(011){sub B3} substrates.

  3. Atomic layer deposition for photovoltaics : applications and prospects for solar cell manufacturing

    NARCIS (Netherlands)

    van Delft, J.A.; Garcia-Alonso Garcia, D.; Kessels, W.M.M.

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells;

  4. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  5. Subnanometer Ga 2 O 3 Tunnelling Layer by Atomic Layer Deposition to Achieve 1.1 V Open-Circuit Potential in Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar; Tetreault, Nicolas; Humphry-Baker, Robin; Kessler, Florian; Baranoff, Etienne; Yi, Chenyi; Nazeeruddin, Mohammad Khaja; Grä tzel, Michael

    2012-01-01

    Herein, we present the first use of a gallium oxide tunnelling layer to significantly reduce electron recombination in dye-sensitized solar cells (DSC). The subnanometer coating is achieved using atomic layer deposition (ALD) and leading to a new

  6. Mobility-lifetime product in epitaxial GaAs X-ray detectors

    Energy Technology Data Exchange (ETDEWEB)

    Sun, G.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)]. E-mail: guocsun@ccr.jussieu.fr; Zazoui, M. [LPMC, Faculte des Sciences et Techniques-Mohammedia, B.P. 146 Bd Hassan II, Mohammedia, Maroc (Morocco); Talbi, N. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Khirouni, K. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Bourgoin, J.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)

    2007-04-01

    Self-supported thick (200-500 {mu}m), non-intentionally doped, epitaxial GaAs layers are good candidates for X-ray imaging for the following reasons. Their electronic properties are homogeneous over large areas, they can be grown at low cost, the technology to realize pixel detectors of various size is standard, the defect concentration is low and the fluorescence yield is small. Here, we characterize the defects present in the material and evaluate the mobility-lifetime product, using Deep Level Transient Spectroscopy combined with current-voltage and charge collection measurements.

  7. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    International Nuclear Information System (INIS)

    Belay, K.B.; Ridgway, M.C.; Llewellyn, D.J.

    1996-01-01

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs

  8. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Belay, K.B.; Ridgway, M.C.; Llewellyn, D.J. [Australian National Univ., Canberra, ACT (Australia). Dept. of Physics

    1996-12-31

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs.

  9. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Belay, K B; Ridgway, M C; Llewellyn, D J [Australian National Univ., Canberra, ACT (Australia). Dept. of Physics

    1997-12-31

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs.

  10. Fluorescence extended X-ray absorption fine structure analysis of half-metallic ferromagnet 'zinc-blende CrAs' grown on GaAs by molecular beam epitaxy

    CERN Document Server

    Ofuchi, H; Ono, K; Oshima, M; Akinaga, H; Manago, T

    2003-01-01

    In this work, geometric structures for a half-metallic ferromagnet 'zinc-blende CrAs', which showed ferromagnetic behavior beyond room temperature, were investigated using fluorescence extended X-ray absorption fine structure (EXAFS) measurement. The EXAFS measurements revealed that As atoms around Cr atoms in the 2 nm CrAs film grown on a GaAs(0 0 1) substrate were coordinated tetrahedrally, indicating formation of zinc-blende CrAs. The Cr-As bond length in the zinc-blende CrAs is 2.49 A. This value is close to that which was estimated from the lattice constant (5.82 A) of ferromagnetic zinc-blende CrAs calculated by full-potential linearized augmented-plane wave method. The EXAFS analysis show that the theoretically predicted zinc-blende CrAs can be fabricated on GaAs(0 0 1) substrate by low-temperature molecular-beam epitaxy.

  11. Fluorescence extended X-ray absorption fine structure analysis of half-metallic ferromagnet 'zinc-blende CrAs' grown on GaAs by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ofuchi, H.; Mizuguchi, M.; Ono, K.; Oshima, M.; Akinaga, H.; Manago, T.

    2003-01-01

    In this work, geometric structures for a half-metallic ferromagnet 'zinc-blende CrAs', which showed ferromagnetic behavior beyond room temperature, were investigated using fluorescence extended X-ray absorption fine structure (EXAFS) measurement. The EXAFS measurements revealed that As atoms around Cr atoms in the 2 nm CrAs film grown on a GaAs(0 0 1) substrate were coordinated tetrahedrally, indicating formation of zinc-blende CrAs. The Cr-As bond length in the zinc-blende CrAs is 2.49 A. This value is close to that which was estimated from the lattice constant (5.82 A) of ferromagnetic zinc-blende CrAs calculated by full-potential linearized augmented-plane wave method. The EXAFS analysis show that the theoretically predicted zinc-blende CrAs can be fabricated on GaAs(0 0 1) substrate by low-temperature molecular-beam epitaxy

  12. Annealing-induced Fe oxide nanostructures on GaAs

    OpenAIRE

    Lu, Y X; Ahmad, E; Xu, Y B; Thompson, S M

    2005-01-01

    We report the evolution of Fe oxide nanostructures on GaAs(100) upon pre- and post-growth annealing conditions. GaAs nanoscale pyramids were formed on the GaAs surface due to wet etching and thermal annealing. An 8.0-nm epitaxial Fe film was grown, oxidized, and annealed using a gradient temperature method. During the process the nanostripes were formed, and the evolution has been demonstrated using transmission and reflection high energy electron diffraction, and scanning electron microscopy...

  13. Scalable control program for multiprecursor flow-type atomic layer deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Selvaraj, Sathees Kannan [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States); Takoudis, Christos G., E-mail: takoudis@uic.edu [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 and Department of Bioengineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States)

    2015-01-01

    The authors report the development and implementation of a scalable control program to control flow type atomic layer deposition (ALD) reactor with multiple precursor delivery lines. The program logic is written and tested in LABVIEW environment to control ALD reactor with four precursor delivery lines to deposit up to four layers of different materials in cyclic manner. The programming logic is conceived such that to facilitate scale up for depositing more layers with multiple precursors and scale down for using single layer with any one precursor in the ALD reactor. The program takes precursor and oxidizer exposure and purging times as input and controls the sequential opening and closing of the valves to facilitate the complex ALD process in cyclic manner. The program could be used to deposit materials from any single line or in tandem with other lines in any combination and in any sequence.

  14. X-ray in-situ study of copper electrodeposition on UHV prepared GaAs(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Gruender, Yvonne

    2008-06-02

    For this work a unique setup for in-situ electrochemical studies was employed and improved. This setup permits UHV preparation of the GaAs(001) surface with a defined surface termination (arsenic-rich or gallium-rich) and its characterization by SXRD in UHV, under ambient pressure in inert gas and in electrolyte under potential control without passing through air. The GaAs(001) surfaces were capped by amorphous arsenic. This permitted to ship them through ambient air. Afterwards smooth well defined GaAs(001) surfaces could be recovered by thermal annealing in UHV. A first investigation of the arsenic capped sample was done by atomic force microscopy (AFM) and Surface X-Ray Diffraction (SXRD). The non bulk like termination of the arsenic buried GaAs(001) surface was revealed. For the electrochemical metal deposition, arsenic terminated (2 x 4) reconstructed and gallium terminated (4 x 2) reconstructed GaAs(001) surfaces were employed. These surfaces were characterized by STM, LEED and a first time by SXRD. The surfaces are smooth, however, a higher degree of disorder than for MBE prepared reconstructed GaAs(001) is found. After exposure of the sample to nitrogen, the surfaces were then again studied by SXRD. These two steps characterizing the bare GaAs(001) surfaces permitted us to get a better knowledge of the starting surface and its influence on the later electrodeposited copper. At ambient pressure both reconstructions are lifted, but the surface is not bulk-like terminated as can be deduced from the crystal truncation rods. Epitaxial copper clusters grow upon electrodeposition on the UHV prepared GaAs(001) surface. The copper lattice is rotated and inclined with respect to the GaAs substrate lattice, leading to eight symmetry equivalent domains. The influence of the surface termination as well as the nucleation potential on the structure of the electrodeposited copper were investigated. The tilt and rotation angles do not depend on the deposition potential but

  15. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    Science.gov (United States)

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  16. Analysis of the modified optical properties and band structure of GaAs1-xSbx-capped InAs/GaAs quantum dots

    NARCIS (Netherlands)

    Ulloa, J.M.; Llorens, J.M.; Moral, del M.; Bozkurt, M.; Koenraad, P.M.; Hierro, A.

    2012-01-01

    The origin of the modified optical properties of InAs/GaAs quantum dots (QD) capped with a thin GaAs1-xSbx layer is analyzed in terms of the band structure. To do so, the size, shape, and composition of the QDs and capping layer are determined through cross-sectional scanning tunnelling microscopy

  17. Tungsten atomic layer deposition on polymers

    Energy Technology Data Exchange (ETDEWEB)

    Wilson, C.A. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); McCormick, J.A. [Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States); Cavanagh, A.S. [Department of Physics, University of Colorado, Boulder, Colorado 80309-0390 (United States); Goldstein, D.N. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); Weimer, A.W. [Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States); George, S.M. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States)], E-mail: Steven.George@Colorado.Edu

    2008-07-31

    Tungsten (W) atomic layer deposition (ALD) was investigated on a variety of polymer films and polymer particles. These polymers included polyethylene, polyvinyl chloride, polystyrene, polycarbonate, polypropylene and polymethylmethacrylate. The W ALD was performed at 80 {sup o}C using WF{sub 6} and Si{sub 2}H{sub 6} as the gas phase reactants. W ALD on flat polymer films can eventually nucleate and grow after more than 60 AB cycles. X-ray photoelectron spectroscopy studies of W ALD on polystyrene after 50 AB cycles suggested that tungsten nanoclusters are present in the W ALD nucleation regime. The W ALD nucleation is greatly facilitated by a few cycles of Al{sub 2}O{sub 3} ALD. W ALD films were grown at 80 {sup o}C on spin-coated polymers on silicon wafers after 10 AB cycles of Al{sub 2}O{sub 3} ALD. The W ALD film was observed to grow linearly with a growth rate of 3.9 A per AB cycle on the polymer films treated with the Al{sub 2}O{sub 3} ALD seed layer. The W ALD films displayed an excellent, mirror-like optical reflectivity. The resistivity was 100-400 {mu}{omega} cm for W ALD films with thicknesses from 95-845 A. W ALD was also observed on polymer particles after W ALD in a rotary reactor. Without the Al{sub 2}O{sub 3} ALD seed layer, the nucleation of W ALD directly on the polymer particles at 80 {sup o}C required > 50 AB cycles. In contrast, the polymer particles treated with only 5 AB cycles of Al{sub 2}O{sub 3} ALD were observed to blacken after 25 AB cycles of W ALD. W ALD on polymers may have applications for flexible optical mirrors, electromagnetic interference shielding and gas diffusion barriers.

  18. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  19. Properties of InSbN grown on GaAs by radio frequency nitrogen plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lim, K P; Yoon, S F; Pham, H T

    2009-01-01

    We report the growth of InSbN on a lattice-mismatched GaAs substrate using radio frequency nitrogen plasma-assisted molecular beam epitaxy. The effects of a two-step thin InSb buffer layer grown at 330 and 380 deg. C and substrate temperature (270-380 deg. C) on the properties of the InSbN are studied. The crystalline quality of the InSbN is significantly improved by the two-step buffer layer due to defect suppression. The shifting in the absorption edge of the InSbN from ∼5 to 8 μm following an increase in the substrate temperature is correlated with the reduction in free carrier concentration from ∼10 18 to 10 16 cm -3 and increase in concentration of N substituting Sb from ∼0.2 to 1%. These results will be beneficial to those working on the pseudo-monolithic integration of InSbN detectors on a GaAs platform.

  20. Inference on carbon atom arrangement in the turbostatic graphene layers in Tikak coal (India) by X-ray pair distribution function analysis

    Energy Technology Data Exchange (ETDEWEB)

    Saikia, Binoy K. [Indian Oil Corporation Ltd., West Bengal (India)

    2010-07-01

    This paper communicates the distribution of carbon atoms in a single poly-cyclic aromatic (PCA) layer (graphene) in Tikak coal from Assam, India. The pair distribution function (PDF) analysis performed indicates no evidence of any graphite like structure in this coal. The aromatic fraction is observed to be 74%; with the aliphatic fraction correspondingly estimated to be 26% in this coal. The average carbon atom has 2.5 nearest carbon atom neighbours at an average bond distance of 1.50{angstrom}. The average stacking height of the parallel aromatic layers (Lc) and the average diameter of the aromatic layers (La) are estimated to be 9.86 {angstrom} and 4.80 {angstrom} respectively. For this coal, the average number of stacking layers and the average number of atoms per layer are estimated to be four and eight respectively. In addition, the gamma band is observed at a d-value of 4.34{angstrom}. The comparison of the atom-pair correlation function to simulated one-dimensional structure function calculated for a model compound benzene (C{sub 6}H{sub 6}) also indicates that C{sub 6} unit is the major components in this coal. The average carbon atom has at least one and one nearest aryl and alkyl C-C atom pairs separated by 1.39 and 1.54{angstrom} respectively.

  1. The fabrication of a double-layer atom chip with through silicon vias for an ultra-high-vacuum cell

    International Nuclear Information System (INIS)

    Chuang, Ho-Chiao; Lin, Yun-Siang; Lin, Yu-Hsin; Huang, Chi-Sheng

    2014-01-01

    This study presents a double-layer atom chip that provides users with increased diversity in the design of the wire patterns and flexibility in the design of the magnetic field. It is more convenient for use in atomic physics experiments. A negative photoresist, SU-8, was used as the insulating layer between the upper and bottom copper wires. The electrical measurement results show that the upper and bottom wires with a width of 100 µm can sustain a 6 A current without burnout. Another focus of this study is the double-layer atom chips integrated with the through silicon via (TSV) technique, and anodically bonded to a Pyrex glass cell, which makes it a desired vacuum chamber for atomic physics experiments. Thus, the bonded glass cell not only significantly reduces the overall size of the ultra-high-vacuum (UHV) chamber but also conducts the high current from the backside to the front side of the atom chip via the TSV under UHV (9.5 × 10 −10  Torr). The TSVs with a diameter of 70 µm were etched through by the inductively coupled plasma ion etching and filled by the bottom-up copper electroplating method. During the anodic bonding process, the electroplated copper wires and TSVs on atom chips also need to pass the examination of the required bonding temperature of 250 °C, under an applied voltage of 1000 V. Finally, the UHV test of the double-layer atom chips with TSVs at room temperature can be reached at 9.5 × 10 −10  Torr, thus satisfying the requirements of atomic physics experiments under an UHV environment. (paper)

  2. Charge collection efficiency of GaAs detectors studied with low-energy heavy charged particles

    CERN Document Server

    Bates, R; Linhart, V; O'Shea, V; Pospísil, S; Raine, C; Smith, K; Sinor, M; Wilhelm, I

    1999-01-01

    Epitaxially grown GaAs layers have recently been produced with sufficient thickness and low enough free carrier concentration to permit their use as radiation detectors. Initial tests have shown that the epi-material behaves as a classical semiconductor as the depletion behaviour follows the square root dependency on the applied bias. This article presents the results of measurements of the growth of the active depletion depth with increasing bias using low-energy protons and alpha particles as probes for various depths and their comparison to values extrapolated from capacitance measurements. From the proton and alpha particle spectroscopic measurements, an active depth of detector material that collects 100% of the charge generated inside it was determined. The consistency of these results with independent capacitance measurements supports the idea that the GaAs epi-material behaves as a classical semiconductor. (author)

  3. Ti–Al–O nanocrystal charge trapping memory cells fabricated by atomic layer deposition

    International Nuclear Information System (INIS)

    Cao, Zheng-Yi; Li, Ai-Dong; Li, Xin; Cao, Yan-Qiang; Wu, Di

    2014-01-01

    Charge trapping memory cells using Ti–Al–O (TAO) film as charge trapping layer and amorphous Al 2 O 3 as the tunneling and blocking layers were fabricated on Si substrates by atomic layer deposition method. As-deposited TAO films were annealed at 700 °C, 800 °C and 900 °C for 3 min in N 2 with a rapid thermal annealing process to form nanocrystals. High-resolution transmission electron microscopy and X-ray photoelectron spectroscopy were used to characterize the microstructure and band diagram of the heterostructures. The electrical characteristics and charge storage properties of the Al 2 O 3 /TAO/Al 2 O 3 /Si stack structures were also evaluated. Compared to 700 °C and 900 °C samples, the memory cells annealed at 800 °C exhibit better memory performance with larger memory window of 4.8 V at ± 6 V sweeping, higher program/erase speed and excellent endurance. - Highlights: • The charge trapping memory cells were fabricated by atomic layer deposition method. • The anneal temperature plays a key role in forming nanocrystals. • The memory cells annealed at 800 °C exhibit better memory performance. • The band alignment is beneficial to enhance the retention characteristics

  4. Atomic Layer-Deposited TiO2 Coatings on NiTi Surface

    Science.gov (United States)

    Vokoun, D.; Racek, J.; Kadeřávek, L.; Kei, C. C.; Yu, Y. S.; Klimša, L.; Šittner, P.

    2018-02-01

    NiTi shape-memory alloys may release poisonous Ni ions at the alloys' surface. In an attempt to prepare a well-performing surface layer on an NiTi sample, the thermally grown TiO2 layer, which formed during the heat treatment of NiTi, was removed and replaced with a new TiO2 layer prepared using the atomic layer deposition (ALD) method. Using x-ray photoelectron spectroscopy, it was found that the ALD layer prepared at as low a temperature as 100 °C contained Ti in oxidation states + 4 and + 3. As for static corrosion properties of the ALD-coated NiTi samples, they further improved compared to those covered by thermally grown oxide. The corrosion rate of samples with thermally grown oxide was 1.05 × 10-5 mm/year, whereas the corrosion rate of the ALD-coated samples turned out to be about five times lower. However, cracking of the ALD coating occurred at about 1.5% strain during the superelastic mechanical loading in tension taking place via the propagation of a localized martensite band.

  5. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng

    2018-05-11

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  6. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng; Wang, Zhenwei; Firdaus, Yuliar; Babics, Maxime; Alshareef, Husam N.; Beaujuge, Pierre

    2018-01-01

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  7. Transient photoluminescence decay investigations of LPE GaAs heteroface solar cells

    International Nuclear Information System (INIS)

    Wettling, W.; Ehrhardt, A.; Brett, A.; Lutz, F.

    1990-01-01

    The transient photoluminescence decay (PLD) is investigated as a technique for the quality control of GaAs solar cells. An analytic expression for the PL intensity is derived from the time dependent continuity equation for minority carrier concentration in the emitter by the Fourier transform method. On both sides of the emitter, i.e. at the interface to the window layer and to the space charge region, surface recombination velocities that can vary between 0 and ∞ are allowed as boundary conditions. Experiments were performed using a mode-locked and cavity dumped laser as excitation source and an optical sampling oscilloscope as detector for the transient PL. PLD from GaAs wafers and solar cells was measured with time resolution of down to 20 ps for various intensities of laser excitation and (for the cells) under open-circuit and short-circuit condition. The results are discussed in respect to the theory together with a model of local internal boundary conditions at the junction near the exciting laser beam

  8. Textured strontium titanate layers on platinum by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Blomberg, T., E-mail: tom.blomberg@asm.com [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Anttila, J.; Haukka, S.; Tuominen, M. [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Lukosius, M.; Wenger, Ch. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Saukkonen, T. [Aalto University, Puumiehenkuja 3, 02150 Espoo (Finland)

    2012-08-31

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2-1 {mu}m) and low X-ray reflectivity roughness ({approx} 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu{sub 3}Cp){sub 2}, Ti(OMe){sub 4} and O{sub 3} precursors at 250 Degree-Sign C were used to deposit Sr rich STO on Pt/Ti/SiO{sub 2}/Si Empty-Set 200 mm substrates. After crystallization post deposition annealing at 600 Degree-Sign C in air, most of the STO grains showed a preferential orientation of the {l_brace}001{r_brace} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {l_brace}111{r_brace} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O{sub 3}) shows a promising path towards the formation of single oriented STO film. - Highlights: Black-Right-Pointing-Pointer Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. Black-Right-Pointing-Pointer Single crystal domains in 60 nm STO film were 0.2-1 {mu}m wide. Black-Right-Pointing-Pointer Most STO grains were {l_brace}001{r_brace} oriented.

  9. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  10. Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low T{sub e} plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Jagtiani, Ashish V.; Miyazoe, Hiroyuki; Chang, Josephine; Farmer, Damon B.; Engel, Michael; Neumayer, Deborah; Han, Shu-Jen; Engelmann, Sebastian U., E-mail: suengelm@us.ibm.com; Joseph, Eric A. [IBM, T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Boris, David R.; Hernández, Sandra C.; Walton, Scott G. [Plasma Physics Division, Naval Research Laboratory, Washington, DC 20375 (United States); Lock, Evgeniya H. [Materials Science and Technology Division, Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-01-15

    The ability to achieve atomic layer precision is the utmost goal in the implementation of atomic layer etch technology. Carbon-based materials such as carbon nanotubes (CNTs) and graphene are single atomic layers of carbon with unique properties and, as such, represent the ultimate candidates to study the ability to process with atomic layer precision and assess impact of plasma damage to atomic layer materials. In this work, the authors use these materials to evaluate the atomic layer processing capabilities of electron beam generated plasmas. First, the authors evaluate damage to semiconducting CNTs when exposed to beam-generated plasmas and compare these results against the results using typical plasma used in semiconductor processing. The authors find that the beam generated plasma resulted in significantly lower current degradation in comparison to typical plasmas. Next, the authors evaluated the use of electron beam generated plasmas to process graphene-based devices by functionalizing graphene with fluorine, nitrogen, or oxygen to facilitate atomic layer deposition (ALD). The authors found that all adsorbed species resulted in successful ALD with varying impact on the transconductance of the graphene. Furthermore, the authors compare the ability of both beam generated plasma as well as a conventional low ion energy inductively coupled plasma (ICP) to remove silicon nitride (SiN) deposited on top of the graphene films. Our results indicate that, while both systems can remove SiN, an increase in the D/G ratio from 0.08 for unprocessed graphene to 0.22 to 0.26 for the beam generated plasma, while the ICP yielded values from 0.52 to 1.78. Generally, while some plasma-induced damage was seen for both plasma sources, a much wider process window as well as far less damage to CNTs and graphene was observed when using electron beam generated plasmas.

  11. Bistable Si dopants in the GaAs (1 1 0) surface

    International Nuclear Information System (INIS)

    Smakman, E P; Koenraad, P M

    2015-01-01

    In this review, recent work is discussed on bistable Si dopants in the GaAs (1 1 0) surface, studied by scanning tunneling microscopy (STM). The bistability arises because the dopant atom can switch between a positive and a negative charge state, which are associated with two different lattice configurations. Manipulation of the Si atom charge configuration is achieved by tuning the local band bending with the STM tip. Furthermore, illuminating the sample with a laser also influences the charge state, allowing the operation of the dopant atom as an optical switch. The switching dynamics without illumination is investigated in detail as a function of temperature, lateral tip position, and applied tunneling conditions. A physical model is presented that independently describes the thermal and quantum tunneling contributions to the switching frequency and charge state occupation of a single Si atom. The basic functionality of a memory cell is demonstrated employing a single bistable Si dopant as the active element, using the STM tip as a gate to write and read the information. (topical review)

  12. Static and dynamical valence-charge-density properties of GaAs

    International Nuclear Information System (INIS)

    Pietsch, U.

    1993-01-01

    Owing to the close neighbourhood of Ga and As in Mendeleev's table, GaAs shows two fundamental classes of X-ray structure amplitudes distinguished by their extremely different scattering power. They are differently sensitive to the valence electron density (VED) redistribution caused by the chemical bond and must be measured by different experimental methods. Using such data, both the VED and the difference electron densities (DED) are calculated here. Comparison with theoretical densities shows that the VED is characterized by covalent, ionic and metallic contributions. The DED constructed from GaAs and Ge data demonstrates the electronic response caused by a ''protonic'' charge transfer between both f.c.c. sublattices as well as the transition from a purely covalent to a mixed covalent-ionic bond. Especially the charge-density accumulation between nearest neighbours (bond charge (BC)) depends on the distance between the bonding atoms and changes under the influence of any lattice deformation. This phenomenon is described by a BC-transfer model. Its direct experimental proof is given by measuring the variation of the scattering power of weak reflections under the influence of an external electric field. This experiment demonstrates that the ionicity of the bond changes in addition to the BC variation. (orig.)

  13. Enhanced Performance of Nanowire-Based All-TiO2 Solar Cells using Subnanometer-Thick Atomic Layer Deposited ZnO Embedded Layer

    International Nuclear Information System (INIS)

    Ghobadi, Amir; Yavuz, Halil I.; Ulusoy, T. Gamze; Icli, K. Cagatay; Ozenbas, Macit; Okyay, Ali K.

    2015-01-01

    In this paper, the effect of angstrom-thick atomic layer deposited (ALD) ZnO embedded layer on photovoltaic (PV) performance of Nanowire-Based All-TiO 2 solar cells has been systematically investigated. Our results indicate that by varying the thickness of ZnO layer the efficiency of the solar cell can be significantly changed. It is shown that the efficiency has its maximum for optimal thickness of 1 ALD cycle in which this ultrathin ZnO layer improves device performance through passivation of surface traps without hampering injection efficiency of photogenerated electrons. The mechanisms contributing to this unprecedented change in PV performance of the cell have been scrutinized and discussed

  14. Tuning the mechanical properties of vertical graphene sheets through atomic layer deposition

    International Nuclear Information System (INIS)

    Davami, Keivan; Jiang, Yijie; Cortes, John; Lin, Chen; Turner, Kevin T; Bargatin, Igor; Shaygan, Mehrdad

    2016-01-01

    We report the fabrication and characterization of graphene nanostructures with mechanical properties that are tuned by conformal deposition of alumina. Vertical graphene (VG) sheets, also called carbon nanowalls (CNWs), were grown on copper foil substrates using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) technique and conformally coated with different thicknesses of alumina (Al_2O_3) using atomic layer deposition (ALD). Nanoindentation was used to characterize the mechanical properties of pristine and alumina-coated VG sheets. Results show a significant increase in the effective Young’s modulus of the VG sheets with increasing thickness of deposited alumina. Deposition of only a 5 nm thick alumina layer on the VG sheets nearly triples the effective Young’s modulus of the VG structures. Both energy absorption and strain recovery were lower in VG sheets coated with alumina than in pure VG sheets (for the same peak force). This may be attributed to the increase in bending stiffness of the VG sheets and the creation of connections between the sheets after ALD deposition. These results demonstrate that the mechanical properties of VG sheets can be tuned over a wide range through conformal atomic layer deposition, facilitating the use of VG sheets in applications where specific mechanical properties are needed. (paper)

  15. Enhanced Efficiency of GaAs Single-Junction Solar Cells with Inverted-Cone-Shaped Nanoholes Fabricated Using Anodic Aluminum Oxide Masks

    Directory of Open Access Journals (Sweden)

    Kangho Kim

    2013-01-01

    Full Text Available The GaAs solar cells are grown by low-pressure metalorganic chemical vapor deposition (LP-MOCVD and fabricated by photolithography, metal evaporation, annealing, and wet chemical etch processes. Anodized aluminum oxide (AAO masks are prepared from an aluminum foil by a two-step anodization method. Inductively coupled plasma dry etching is used to etch and define the nanoarray structures on top of an InGaP window layer of the GaAs solar cells. The inverted-cone-shaped nanoholes with a surface diameter of about 50 nm are formed on the top surface of the solar cells after the AAO mask removal. Photovoltaic and optical characteristics of the GaAs solar cells with and without the nanohole arrays are investigated. The reflectance of the AAO nanopatterned samples is lower than that of the planar GaAs solar cell in the measured range. The short-circuit current density increased up to 11.63% and the conversion efficiency improved from 10.53 to 11.57% under 1-sun AM 1.5 G conditions by using the nanohole arrays. Dependence of the efficiency enhancement on the etching depth of the nanohole arrays is also investigated. These results show that the nanohole arrays fabricated with an AAO technique may be employed to improve the light absorption and, in turn, the conversion efficiency of the GaAs solar cell.

  16. Interface structure and composition of MoO3/GaAs(0 0 1)

    Science.gov (United States)

    Sarkar, Anirban; Ashraf, Tanveer; Grafeneder, Wolfgang; Koch, Reinhold

    2018-04-01

    We studied growth, structure, stress, oxidation state as well as surface and interface structure and composition of thermally-evaporated thin MoO3 films on the technologically important III/V-semiconductor substrate GaAs(0 0 1). The MoO3 films grow with Mo in the 6+  oxidation state. The electrical resistance is tunable by the oxygen partial pressure during deposition from transparent insulating to semi-transparant halfmetallic. In the investigated growth temperature range (room temperature to 200 °C) no diffraction spots are detected by x-ray diffraction. However, high resolution transmission electron microscopy reveals the formation of MoO3 nanocrystal grains with diameters of 5–8 nm. At the interface a  ≈3 nm-thick intermediate layer has formed, where the single-crystal lattice of GaAs gradually transforms to the nanocrystalline MoO3 structure. This interpretation is corroborated by our in situ and real-time stress measurements evidencing a two-stage growth process as well as by elemental interface analysis revealing coexistance of Ga, As, Mo, and oxygen in a intermediate layer of 3–4 nm.

  17. Electrode pattern design for GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyang; Yin Jianhua; Li Darang

    2011-01-01

    The sensitivities of betavoltaic batteries and photovoltaic batteries to series and parallel resistance are studied. Based on the study, an electrode pattern design principle of GaAs betavoltaic batteries is proposed. GaAs PIN junctions with and without the proposed electrode pattern are fabricated and measured under the illumination of 63 Ni. Results show that the proposed electrode can reduce the backscattering and shadowing for the beta particles from 63 Ni to increase the GaAs betavoltaic battery short circuit currents effectively but has little impact on the fill factors and ideal factors.

  18. Fabrication of Nanolaminates with Ultrathin Nanolayers Using Atomic Layer Deposition: Nucleation & Growth Issues

    Science.gov (United States)

    2009-02-01

    Tecnologia de Superficies y Materiales (SMCTSM), XXVII Congreso Nacional, Oaxaca, Oaxaca, Mexico, September 26, 2007. 26. "Atomic Layer Deposition of...Nanolaminates: Fabrication and Properties" (Plenary Lecture), Sociedad Mexicana de Ciencia y Tecnologia de Superficies y Materiales (SMCTSM), XXVII

  19. Electron microscopic and optical investigations of the indium distribution GaAs capped InxGa1-xAs islands

    DEFF Research Database (Denmark)

    Woggon, U.; Langbein, Wolfgang Werner; Hvam, Jørn Märcher

    1997-01-01

    Results from a structural and optical analysis of buried InxGa1-xAs islands carried out after the process of GaAs overgrowth are presented. It is found that during the growth process, the indium concentration profile changes and the thickness of the wetting layer emanating from a Stranski-Krastan...

  20. In-situ atomic layer deposition growth of Hf-oxide

    International Nuclear Information System (INIS)

    Karavaev, Konstantin

    2010-01-01

    We have grown HfO 2 on Si(001) by atomic layer deposition (ALD) using HfCl 4 , TEMAHf, TDMAHf and H 2 O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl 4 experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO 2 . The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO 2 : contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  1. In-situ atomic layer deposition growth of Hf-oxide

    Energy Technology Data Exchange (ETDEWEB)

    Karavaev, Konstantin

    2010-06-17

    We have grown HfO{sub 2} on Si(001) by atomic layer deposition (ALD) using HfCl{sub 4}, TEMAHf, TDMAHf and H{sub 2}O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl{sub 4} experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO{sub 2}. The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO{sub 2}: contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  2. From Single Atoms to Nanoparticles : Autocatalysis and Metal Aggregation in Atomic Layer Deposition of Pt on TiO2 Nanopowder

    NARCIS (Netherlands)

    Grillo, Fabio; Van Bui, Hao; La Zara, Damiano; Aarnink, Antonius A.I.; Kovalgin, Alexey Y.; Kooyman, Patricia; Kreutzer, Michiel T.; van Ommen, Jan Rudolf

    2018-01-01

    A fundamental understanding of the interplay between ligand-removal kinetics and metal aggregation during the formation of platinum nanoparticles (NPs) in atomic layer deposition of Pt on TiO2 nanopowder using trimethyl(methylcyclo-pentadienyl)platinum(IV) as the precursor and O2 as the coreactant

  3. The growth of various buffer layer structures and their influence on the quality of (CdHg)Te epilayers

    CSIR Research Space (South Africa)

    Gouws, GJ

    1993-05-01

    Full Text Available The suitability of various buffer layer structures on (100) GaAs for (CdHg)Te growth by organometallic vapour phase epitaxy (OMVPE) was investigated. The preferred epitaxial orientation of (100) GaAs/ (lll) CdTe was found to be unsuitable due...

  4. Atomic layer deposition of two dimensional MoS{sub 2} on 150 mm substrates

    Energy Technology Data Exchange (ETDEWEB)

    Valdivia, Arturo; Conley, John F., E-mail: jconley@eecs.oregonstate.edu [School of EECS, Oregon State University, Corvallis, Oregon 97331 (United States); Tweet, Douglas J. [Sharp Labs of America, Camas, Washington 98607 (United States)

    2016-03-15

    Low temperature atomic layer deposition (ALD) of monolayer to few layer MoS{sub 2} uniformly across 150 mm diameter SiO{sub 2}/Si and quartz substrates is demonstrated. Purge separated cycles of MoCl{sub 5} and H{sub 2}S precursors are used at reactor temperatures of up to 475 °C. Raman scattering studies show clearly the in-plane (E{sup 1}{sub 2g}) and out-of-plane (A{sub 1g}) modes of MoS{sub 2}. The separation of the E{sup 1}{sub 2g} and A{sub 1g} peaks is a function of the number of ALD cycles, shifting closer together with fewer layers. X-ray photoelectron spectroscopy indicates that stoichiometry is improved by postdeposition annealing in a sulfur ambient. High resolution transmission electron microscopy confirms the atomic spacing of monolayer MoS{sub 2} thin films.

  5. Atomic layer deposition of Al-doped ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit; Okazaki, Ryuji; Terasaki, Ichiro [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland); Department of Physics, Nagoya University, Nagoya 464-8602 (Japan)

    2013-01-15

    Atomic layer deposition has been used to fabricate thin films of aluminum-doped ZnO by depositing interspersed layers of ZnO and Al{sub 2}O{sub 3} on borosilicate glass substrates. The growth characteristics of the films have been investigated through x-ray diffraction, x-ray reflection, and x-ray fluorescence measurements, and the efficacy of the Al doping has been evaluated through optical reflectivity and Seebeck coefficient measurements. The Al doping is found to affect the carrier density of ZnO up to a nominal Al dopant content of 5 at. %. At nominal Al doping levels of 10 at. % and higher, the structure of the films is found to be strongly affected by the Al{sub 2}O{sub 3} phase and no further carrier doping of ZnO is observed.

  6. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in ``avalanche`` mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into ``avalanche`` mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  7. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  8. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1990-01-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential of GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into an avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large are (1 sq cm) and small area (<1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs., 11 figs.

  9. Subnanosecond photoconductive switching in GaAs

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in 'avalanche' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into 'avalanche' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (less than 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300-1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on, and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation.

  10. Phase time delay and Hartman effect in a one-dimensional photonic crystal with four-level atomic defect layer

    Science.gov (United States)

    Jamil, Rabia; Ali, Abu Bakar; Abbas, Muqaddar; Badshah, Fazal; Qamar, Sajid

    2017-08-01

    The Hartman effect is revisited using a Gaussian beam incident on a one-dimensional photonic crystal (1DPC) having a defect layer doped with four-level atoms. It is considered that each atom of the defect layer interacts with three driving fields, whereas a Gaussian beam of width w is used as a probe light to study Hartman effect. The atom-field interaction inside the defect layer exhibits electromagnetically induced transparency (EIT). The 1DPC acts as positive index material (PIM) and negative index material (NIM) corresponding to the normal and anomalous dispersion of the defect layer, respectively, via control of the phase associated with the driving fields and probe detuning. The positive and negative Hartman effects are noticed for PIM and NIM, respectively, via control of the relative phase corresponding to the driving fields and probe detuning. The advantage of using four-level EIT system is that a much smaller absorption of the transmitted beam occurs as compared to three-level EIT system corresponding to the anomalous dispersion, leading to negative Hartman effect.

  11. Atomic emission spectroscopic investigations for determining depth profiles at boride layers on iron materials

    International Nuclear Information System (INIS)

    Danzer, K.; Marx, G.

    1980-01-01

    A combination of atomic emission spectroscopic surface analysis and mechanical removement of defined surface areas in layers by grinding yields information about the depth distribution of boron in iron. In addition, the evaluation with the aid of the two-dimensional variance analysis leads to statements on the homogeneous distribution within individual layers at different depth. The results obtained in this way are in agreement with those of other methods

  12. Panel fabrication utilizing GaAs solar cells

    Science.gov (United States)

    Mardesich, N.

    1984-01-01

    The development of the GaAs solar cells for space applications is described. The activities in the fabrication of GaAs solar panels are outlined. Panels were fabricated while introducing improved quality control, soldering laydown and testing procedures. These panels include LIPS II, San Marco Satellite, and a low concentration panel for Rockwells' evaluation. The panels and their present status are discussed.

  13. Observation of anomalous Stokes versus anti-Stokes ratio in MoTe2 atomic layers

    Science.gov (United States)

    Goldstein, Thomas; Chen, Shao-Yu; Xiao, Di; Ramasubramaniam, Ashwin; Yan, Jun

    We grow hexagonal molybdenum ditelluride (MoTe2), a prototypical transition metal dichalcogenide (TMDC) semiconductor, with chemical vapor transport methods and investigate its atomic layers with Stokes and anti-Stokes Raman scattering. We report observation of all six types of zone center optical phonons. Quite remarkably, the anti-Stokes Raman intensity of the low energy layer-breathing mode becomes more intense than the Stokes peak under certain experimental conditions, creating an illusion of 'negative temperature'. This effect is tunable, and can be switched from anti-Stokes enhancement to suppression by varying the excitation wavelength. We interpret this observation to be a result of resonance effects arising from the C excitons in the vicinity of the Brillouin zone center, which are robust even for multiple layers of MoTe2. The intense anti-Stokes Raman scattering provides a cooling channel for the crystal and opens up opportunities for laser cooling of atomically thin TMDC semiconductor devices. Supported by the University of Massachusetts Amherst, the National Science Foundation Center for Hierarchical Manufacturing (CMMI-1025020) and Office of Emerging Frontiers in Research and Innovation (EFRI-1433496).

  14. TiO2 nanosheets synthesized by atomic layer deposition for photocatalysis

    Directory of Open Access Journals (Sweden)

    Riyanto Edy

    2016-10-01

    Full Text Available Two-dimensional TiO2 nanosheets were synthesized by atomic layer deposition (ALD on dissolvable sacrificial polymer layer. The photocatalytic performance of free-standing TiO2 nanosheets prepared with different numbers of ALD cycles (100, 300, 500, and 1000 were investigated by evaluating the degradation rates of methyl orange solutions. It is shown that the photocatalytic activity increases due to Ti3+ defect and the locally ordered structures in amorphous TiO2 nanosheets. The difference in the surface areas of nanosheets may also play a crucial role in the photocatalytic activity. The results obtained in this work can have potential applications in fields like water splitting and dye-sensitized solar cells.

  15. Superconductivity and its pressure variation in GaAs

    International Nuclear Information System (INIS)

    Nirmala Louis, C.; Jayam, Sr. Gerardin; Amalraj, A.

    2005-01-01

    The electronic band structure, metallization, phase transition and superconducting transition of gallium arsenide under pressure are studied using TB-LMTO method. Metallization occurs via indirect closing of band gap between Γ and X points. GaAs becomes superconductor under high pressure but before that it undergoes structural phase transition from ZnS phase to NaCl phase. The ground state properties are analyzed by fitting the calculated total energies to the Birch-Murnaghan's equation of state. The superconducting transition temperatures (T c ) obtained as a function of pressure for both the ZnS and NaCl structures and GaAs comes under the class of pressure induced superconductor. When pressure is increased T c increases in both the normal and high pressure structures. The dependence of T c on electron-phonon mass enhancement factor λ shows that GaAs is an electron-phonon-mediated superconductor. Also it is found that GaAs retained in their normal structure under high pressure give appreciably high T c . (author)

  16. Near-field scanning optical microscopy cross-sectional measurements of crystalline GaAs solar cells

    International Nuclear Information System (INIS)

    Herndon, M. K.; Bradford, W. C.; Collins, R. T.; Hawkins, B. E.; Kuech, T. F.; Friedman, D. J.; Kurtz, S. R.

    2000-01-01

    Near-field scanning optical microscopy (NSOM) was used to study cleaved edges of GaAs solar cell devices. Using visible light for excitation, the NSOM acquired spatially resolved traces of the photocurrent response across the various layers in the device. For excitation energies well above the band gap, carrier recombination at the cleaved surface had a strong influence on the photocurrent signal. Decreasing the excitation energy, which increased the optical penetration depth, allowed the effects of surface recombination to be separated from collection by the pn junction. Using this approach, the NSOM measurements directly observed the effects of a buried minority carrier reflector/passivation layer. (c) 2000 American Institute of Physics

  17. Enhanced mixing characteristics of GaAs/3,4,9,10-perylenetetracarboxylic dianhydride Schottky diodes

    International Nuclear Information System (INIS)

    Ginev, G; Riedl, T; Parashkov, R; Johannes, H-H; Kowalsky, W

    2003-01-01

    The influences on the mixing properties of GaAs Schottky diodes containing an organic 3,4,9,10-perylenetetracarboxylic dianhydride layer were investigated. The frequency conversion ability of the devices was determined by considering the I-V characteristics and high frequency reflection parameters by using a mixing technique operated in the microwave range. The results show that an organic layer with 20 nm thickness enhances the diode conversion gain for mixing applications by 3 dB and lowers the device operating bias voltage by 0.1 V. This process is related to the specific properties of the organic semiconductor and resulting organic-inorganic interface

  18. Effect of H+ implantation on the optical properties of semi-insulating GaAs crystals in the IR spectral region

    International Nuclear Information System (INIS)

    Klyui, N. I.; Lozinskii, V. B.; Liptuga, A. I.; Dikusha, V. N.; Oksanych, A. P.; Kogdas’, M. G.; Perekhrest, A. L.; Pritchin, S. E.

    2017-01-01

    The optical properties of semi-insulating GaAs crystals subjected to multienergy hydrogen-ion implantation and treatment in a high-frequency electromagnetic field are studied in the infrared spectral region. It is established that such combined treatment provides a means for substantially increasing the transmittance of GaAs crystals to values characteristic of crystals of high optical quality. On the basis of analysis of the infrared transmittance and reflectance data, Raman spectroscopy data, and atomic-force microscopy data on the surface morphology of the crystals, a physical model is proposed to interpret the effects experimentally observed in the crystals. The model takes into account the interaction of radiation defects with the initial structural defects in the crystals as well as the effect of compensation of defect centers by hydrogen during high-frequency treatment.

  19. Effect of the lower and upper interfaces on the quality of InAs/GaAs quantum dots

    International Nuclear Information System (INIS)

    Hospodková, Alice; Pangrác, Jiří; Zíková, Markéta; Oswald, Jiří; Vyskočil, Jan; Komninou, Philomela; Kioseoglou, Joseph; Florini, Nikoleta; Hulicius, Eduard

    2014-01-01

    Highlights: • The QD size distribution was improved by lowering the buffer layer growth rate. • The abrupt In concentration gradient was achieved on the InAs/GaAsSb interface. • Enhanced surfacting of In atoms was observed in situ for GaAsSb capping. • The optimal GaAsSb composition profile is suggested to prevent InAs QD dissolution. • Results are important for preparation of multiple QD structures (laser, solar cell). - Abstract: The aim of this work is to influence quantum dot (QD) formation by improving the lower and upper InAs/GaAs QD interface quality. QD properties were studied by reflectance anisotropy spectroscopy, atomic force microscopy and high resolution transmission electron microscopy. All structures were prepared by low pressure metal organic vapor phase epitaxy. Concerning the lower interface, a good epitaxial surface planarity is required for QD formation with high QD density and narrow size distribution. Therefore the growth conditions of the QD buffer layer are very important. We demonstrate the improvement of the QD size distribution and homogeneity, when the growth rate of the buffer layer was decreased. The upper QD interface is formed during the covering process. InAs quantum dots were capped by GaAs or by GaAsSb. The presence of Sb atoms in covering layer strongly influences the interface abruptness. In the case of GaAs covering layer, an InGaAs layer with gradual decrease of In concentration is unintentionally formed at the interface between InAs and GaAs. The presence of Sb in GaAsSb covering layer helps to form abrupt interface between InAs and covering layer. However, enhanced surfacting of In atoms was observed for GaAsSb SRL. An optimal GaAsSb composition profile is suggested to prevent dissolution of QDs during the covering process and to minimize the amount of surfacting In atoms

  20. Possibility of a quasi-liquid layer of As on GaAs substrate grown by MBE as observed by enhancement of Ga desorption at high As pressure

    Science.gov (United States)

    Asai, K.; Feng, J. M.; Vaccaro, P. O.; Fujita, K.; Ohachi, T.

    2000-06-01

    The As vapor pressure dependence of the Ga desorption rate during molecular beam epitaxy (MBE) growth on GaAs( n11)A ( n=1-4 hereafter) substrates was studied by photoluminescence (PL) measurements at 12 K for undoped AlGaAs/GaAs asymmetric double quantum wells (ADQWs). Reflection high energy electron diffraction (RHEED) oscillation measurements on a GaAs(100) surface were also used. Two K-cells of As solid sources (corresponding to beam equivalent pressures (BEPs) of 9.0×10 -6 and 4.5×10 -5 Torr) were used to change the As pressure rapidly. The Ga flux and substrate temperature were kept constant at 0.76 ML/s and 12 K, respectively, while the As flux changed from 7.6 (BEP 9.0×10 -6 Torr) to 32 ML/s (4.5×10 -5 Torr). With increasing As pressure, two separated PL peaks for the wide well (WW) of high index substrates were observed. This peak separation is attributed to a reduced well depth from an increasing Ga desorption rate. The energy differences of the PL peak depending on the off-angle from (111)A to (100) plane indicates an orientation-dependent Ga desorption rate. Moreover, amongst all ( n11)A and (100) planes, the Ga desorption rate was smallest from the (111)A surface. The increase of Ga desorption from the surface at high As pressures probably arose from an increasing coverage with a quasi-liquid layer (QLL).

  1. GaMnAs on patterned GaAs(001) substrates: Growth and magnetotransport

    Energy Technology Data Exchange (ETDEWEB)

    Daeubler, Joachim; Glunk, Michael; Hummel, Thomas; Schoch, Wladimir; Limmer, Wolfgang; Sauer, Rolf [Institut fuer Halbleiterphysik, Universitaet Ulm, 89069 Ulm (Germany)

    2007-07-01

    A new type of GaMnAs microstructures with laterally confined electronic and magnetic properties has been realized in a bottom-up procedure by growing GaMnAs films on [1 anti 10]-oriented ridge structures with (113)A sidewalls and (001) top layers prepared on GaAs(001) substrates. Previous studies on planar GaMnAs samples have revealed different incorporation of Mn and excess As in (001) and (113)A layers. Accordingly, temperature- and field-dependent magnetotransport measurements on the overgrown ridge structures clearly demonstrate the coexistence of electronic and magnetic properties specific for (001) and (113)A GaMnAs in one single sample. This introduces an additional degree of freedom in the development of new functional structures.

  2. Growth-interruption-induced low-density InAs quantum dots on GaAs

    International Nuclear Information System (INIS)

    Li, L. H.; Alloing, B.; Chauvin, N.; Fiore, A.; Patriarche, G.

    2008-01-01

    We investigate the use of growth interruption to obtain low-density InAs quantum dots (QDs) on GaAs. The process was realized by Ostwald-type ripening of a thin InAs layer. It was found that the optical properties of the QDs as a function of growth interruption strongly depend on InAs growth rate. By using this approach, a low density of QDs (4 dots/μm 2 ) with uniform size distribution was achieved. As compared to QDs grown without growth interruption, a larger energy separation between the QD confined levels was observed, suggesting a situation closer to the ideal zero-dimensional system. Combining with an InGaAs capping layer such as In-rich QDs enable 1.3 μm emission at 4 K

  3. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  4. Radioluminescent nuclear batteries with different phosphor layers

    International Nuclear Information System (INIS)

    Hong, Liang; Tang, Xiao-Bin; Xu, Zhi-Heng; Liu, Yun-Peng; Chen, Da

    2014-01-01

    Highlights: • We present and test the electrical properties of the nuclear battery. • The best thickness range for ZnS:Cu phosphor layer is 12–14 mg cm −2 for 147 Pm radioisotope. • The best thickness range for Y 2 O 2 S:Eu phosphor layer is 17–21 mg cm −2147 Pm radioisotope. • The battery with ZnS:Cu phosphor layer can provide higher energy conversion efficiency. • The mechanism affecting the nuclear battery output performance is revealed. - Abstract: A radioluminescent nuclear battery based on the beta radioluminescence of phosphors is presented, and which consists of 147 Pm radioisotope, phosphor layers, and GaAs photovoltaic cell. ZnS:Cu and Y 2 O 2 S:Eu phosphor layers for various thickness were fabricated. To investigate the effect of phosphor layer parameters on the battery, the electrical properties were measured. Results indicate that the optimal thickness ranges for the ZnS:Cu and Y 2 O 2 S:Eu phosphor layers are 12 mg cm −2 to 14 mg cm −2 and 17 mg cm −2 to 21 mg cm −2 , respectively. ZnS:Cu phosphor layer exhibits higher fluorescence efficiency compared with the Y 2 O 2 S:Eu phosphor layer. Its spectrum properly matches the spectral response of GaAs photovoltaic cell. As a result, the battery with ZnS:Cu phosphor layer indicates higher energy conversion efficiency than that with Y 2 O 2 S:Eu phosphor layer. Additionally, the mechanism of the phosphor layer parameters that influence the output performance of the battery is discussed through the Monte Carlo method and transmissivity test

  5. Comparisons of single event vulnerability of GaAs SRAMS

    Science.gov (United States)

    Weatherford, T. R.; Hauser, J. R.; Diehl, S. E.

    1986-12-01

    A GaAs MESFET/JFET model incorporated into SPICE has been used to accurately describe C-EJFET, E/D MESFET and D MESFET/resistor GaAs memory technologies. These cells have been evaluated for critical charges due to gate-to-drain and drain-to-source charge collection. Low gate-to-drain critical charges limit conventional GaAs SRAM soft error rates to approximately 1E-6 errors/bit-day. SEU hardening approaches including decoupling resistors, diodes, and FETs have been investigated. Results predict GaAs RAM cell critical charges can be increased to over 0.1 pC. Soft error rates in such hardened memories may approach 1E-7 errors/bit-day without significantly reducing memory speed. Tradeoffs between hardening level, performance and fabrication complexity are discussed.

  6. Influence of titanium-substrate roughness on Ca–P–O thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ananda Sagari, A.R., E-mail: arsagari@gmail.com [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Malm, Jari [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Laitinen, Mikko [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Rahkila, Paavo [Department of Biology of Physical Activity, P.O. Box 35, FIN-40014 University of Jyväskylä (Finland); Hongqiang, Ma [Department of Health Sciences, P.O. Box 35 (L), FIN-40014 University of Jyväskylä (Finland); Putkonen, Matti [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Beneq Oy, P.O. Box 262, FI-01511 Vantaa (Finland); Karppinen, Maarit [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Whitlow, Harry J.; Sajavaara, Timo [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland)

    2013-03-01

    Amorphous Ca–P–O films were deposited on titanium substrates using atomic layer deposition, while maintaining a uniform Ca/P pulsing ratio of 6/1 with varying number of atomic layer deposition cycles starting from 10 up to 208. Prior to film deposition the titanium substrates were mechanically abraded using SiC abrasive paper of 600, 1200, 2000 grit size and polished with 3 μm diamond paste to obtain surface roughness R{sub rms} values of 0.31 μm, 0.26 μm, 0.16 μm, and 0.10 μm, respectively. The composition and film thickness of as-deposited amorphous films were studied using Time-Of-Flight Elastic Recoil Detection Analysis. The results showed that uniform films could be deposited on rough metal surfaces with a clear dependence of substrate roughness on the Ca/P atomic ratio of thin films. The in vitro cell-culture studies using MC3T3 mouse osteoblast showed a greater coverage of cells on the surface polished with diamond paste in comparison to rougher surfaces after 24 h culture. No statistically significant difference was observed between Ca–P–O coated and un-coated Ti surfaces for the measured roughness value. The deposited 50 nm thick films did not dissolve during the cell culture experiment. - Highlights: ► Atomic layer deposition of Ca–P–O films on abraded Ti substrate ► Surface analysis using Time-Of-Flight Elastic Recoil Detection Analysis ► Dependence of substrate roughness on the Ca/P atomic ratio of thin films ► An increase in Ca/P atomic ratio with decreasing roughness ► Mouse osteoblast showed greater coverage of cells in polished surface.

  7. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    International Nuclear Information System (INIS)

    Leonhardt, Darin; Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S.; Han, Sang M.

    2010-01-01

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10 7 /cm 2 in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H 2 O 2 and H 2 SO 4 . Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10 11 /cm 2 . Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO 2 in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10 7 /cm 2 . Additionally, coalescence results in films of 3 μm thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H 2 O 2 results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO 2 interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the subsequent growth morphology of GaAs deposited by metal-organic chemical vapor

  8. Ab-initio study of the electronic structure of sup 1 sup 9 F implanted in GaAs and GaN crystals

    CERN Document Server

    Park, J H; Cho, H S; Shin, Y N

    1998-01-01

    We have studied the nuclear quadrupole interaction of a fluorine atom implanted in gallium arsenide and gallium nitride cluster models using the ab-initio Hartree-Fock theory. For the three possible fluorine sites in GaAs and GaN, we have determined the location of the implanted fluorine atom by using a self-consistent calculation, the electric field gradient at the implanted atom, and the electronic structure. Good agreement is found with experimental data wherever they are available. Predictions are made for the implanted fluorine site associated with the total energy and the electric field gradient which are expected to be measurable by a variety of experimental techniques.

  9. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    Science.gov (United States)

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  10. Measurement of Young’s modulus and residual stress of atomic layer deposited Al2O3 and Pt thin films

    Science.gov (United States)

    Purkl, Fabian; Daus, Alwin; English, Timothy S.; Provine, J.; Feyh, Ando; Urban, Gerald; Kenny, Thomas W.

    2017-08-01

    The accurate measurement of mechanical properties of thin films is required for the design of reliable nano/micro-electromechanical devices but is increasingly challenging for thicknesses approaching a few nanometers. We apply a combination of resonant and static mechanical test structures to measure elastic constants and residual stresses of 8-27 nm thick Al2O3 and Pt layers which have been fabricated through atomic layer deposition. Young’s modulus of poly-crystalline Pt films was found to be reduced by less than 15% compared to the bulk value, whereas for amorphous Al2O3 it was reduced to about half of its bulk value. We observed no discernible dependence of the elastic constant on thickness or deposition method for Pt, but the use of plasma-enhanced atomic layer deposition was found to increase Young’s modulus of Al2O3 by 10% compared to a thermal atomic layer deposition. As deposited, the Al2O3 layers had an average tensile residual stress of 131 MPa. The stress was found to be higher for thinner layers and layers deposited without the help of a remote plasma. No residual stress values could be extracted for Pt due to insufficient adhesion of the film without an underlying layer to promote nucleation.

  11. Two-Dimensional SnO Anodes with a Tunable Number of Atomic Layers for Sodium Ion Batteries

    KAUST Repository

    Zhang, Fan

    2017-01-18

    We have systematically changed the number of atomic layers stacked in 2D SnO nanosheet anodes and studied their sodium ion battery (SIB) performance. The results indicate that as the number of atomic SnO layers in a sheet decreases, both the capacity and cycling stability of the Na ion battery improve. The thinnest SnO nanosheet anodes (two to six SnO monolayers) exhibited the best performance. Specifically, an initial discharge and charge capacity of 1072 and 848 mAh g-1 were observed, respectively, at 0.1 A g-1. In addition, an impressive reversible capacity of 665 mAh g-1 after 100 cycles at 0.1 A g-1 and 452 mAh g-1 after 1000 cycles at a high current density of 1.0 A g-1 was observed, with excellent rate performance. As the average number of atomic layers in the anode sheets increased, the battery performance degraded significantly. For example, for the anode sheets with 10-20 atomic layers, only a reversible capacity of 389 mAh g-1 could be obtained after 100 cycles at 0.1 A g-1. Density functional theory calculations coupled with experimental results were used to elucidate the sodiation mechanism of the SnO nanosheets. This systematic study of monolayer-dependent physical and electrochemical properties of 2D anodes shows a promising pathway to engineering and mitigating volume changes in 2D anode materials for sodium ion batteries. It also demonstrates that ultrathin SnO nanosheets are promising SIB anode materials with high specific capacity, stable cyclability, and excellent rate performance.

  12. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin; Liu, Jiabin; Li, Qianqian; Cheng, Yingchun; Dong, Cezhou; Zhou, Wu; Wang, Pengfei; Wang, Qingxiao; Yang, Yang; Zhu, Yihan; Zeng, Yuewu; Wang, Hongtao

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations

  13. Atomic layer deposition of zirconium dioxide from zirconium tetrachloride and ozone

    Energy Technology Data Exchange (ETDEWEB)

    Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Kemell, Marianna; Köykkä, Joel [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [Accelerator Laboratory, Department of Physics, University of Helsinki, P.O. Box 43, FI-00014 Helsinki (Finland); Vehkamäki, Marko; Ritala, Mikko; Leskelä, Markku [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2015-08-31

    ZrO{sub 2} films were grown by atomic layer deposition using ZrCl{sub 4} and O{sub 3} as precursors. The films were grown on silicon substrates in the temperature range of 220–500 °C. The ALD rate was monotonously decreasing from 0.085 to 0.060 nm/cycle in this temperature range towards the highest temperatures studied. The content of chlorine in the films did not exceed 0.2 at.% as measured by elastic recoil detection analysis. The content of hydrogen was 0.30 and 0.14 at.% in the films grown at 300 and 400 °C, respectively. Structural studies revealed the films consisting of mixtures of stable monoclinic and metastable tetragonal/cubic polymorphs of ZrO{sub 2}, and dominantly metastable phases of ZrO{sub 2} below and above 300 °C, respectively. Permittivity of dielectric layers in Al/Ti/ZrO{sub 2}/(TiN/)Si capacitors with 15–40 nm thick ZrO{sub 2} ranged between 12 and 25 at 100 kHz and the dielectric breakdown fields were in the range of 1.5–3.0 MV/cm. - Highlights: • ZrO{sub 2} thin films were grown by atomic layer deposition from ZrCl{sub 4} and O{sub 3}. • Relatively high substrate temperatures promoted growth of metastable ZrO{sub 2} phases. • ZrO{sub 2} films exhibited electric properties characteristic of dielectric metal oxides. • ZrO{sub 2} grown in hydrogen- and carbon free process contained low amounts of impurities.

  14. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  15. Compositional analysis of silicon nitride films on Si and GaAs by backscattering spectrometry and nuclear resonance reaction analysis

    International Nuclear Information System (INIS)

    Kumar, Sanjiv; Raju, V.S.

    2004-01-01

    This paper describes the application of proton and α-backscattering spectrometry for the determination of atomic ratio of Si to N in 1100-5000 A silicon nitride films on Si and GaAs. The conventional α-Rutherford backscattering spectrometry is suitable for the analysis of films on Si; it is rather inadequate for films on GaAs due to higher background from the substrate. It is shown that these films can be analysed by 14 N(α,α) 14 N scattering with 3.5 MeV α-particles. Proton elastic scattering with enhanced cross sections for 28 Si(p,p) 28 Si and 14 N(p,p) 14 N scatterings, is also suitable for analysing films on GaAs. However, the analysis of films on Si by this technique is difficult due to interferences between the signals of Si from the film and the substrate. In addition, the hydrogen content in films is determined by 1 H( 19 F,αγ) 16 O nuclear reaction analysis using the resonance at 6.4 MeV. The combination of backscattering spectrometry with nuclear reaction analysis provides compositional analysis of ternary Si 1-(x+y) N x H y films

  16. Atom-scale depth localization of biologically important chemical elements in molecular layers.

    Science.gov (United States)

    Schneck, Emanuel; Scoppola, Ernesto; Drnec, Jakub; Mocuta, Cristian; Felici, Roberto; Novikov, Dmitri; Fragneto, Giovanna; Daillant, Jean

    2016-08-23

    In nature, biomolecules are often organized as functional thin layers in interfacial architectures, the most prominent examples being biological membranes. Biomolecular layers play also important roles in context with biotechnological surfaces, for instance, when they are the result of adsorption processes. For the understanding of many biological or biotechnologically relevant phenomena, detailed structural insight into the involved biomolecular layers is required. Here, we use standing-wave X-ray fluorescence (SWXF) to localize chemical elements in solid-supported lipid and protein layers with near-Ångstrom precision. The technique complements traditional specular reflectometry experiments that merely yield the layers' global density profiles. While earlier work mostly focused on relatively heavy elements, typically metal ions, we show that it is also possible to determine the position of the comparatively light elements S and P, which are found in the most abundant classes of biomolecules and are therefore particularly important. With that, we overcome the need of artificial heavy atom labels, the main obstacle to a broader application of high-resolution SWXF in the fields of biology and soft matter. This work may thus constitute the basis for the label-free, element-specific structural investigation of complex biomolecular layers and biological surfaces.

  17. Piezoelectricity of single-atomic-layer MoS2 for energy conversion and piezotronics.

    Science.gov (United States)

    Wu, Wenzhuo; Wang, Lei; Li, Yilei; Zhang, Fan; Lin, Long; Niu, Simiao; Chenet, Daniel; Zhang, Xian; Hao, Yufeng; Heinz, Tony F; Hone, James; Wang, Zhong Lin

    2014-10-23

    The piezoelectric characteristics of nanowires, thin films and bulk crystals have been closely studied for potential applications in sensors, transducers, energy conversion and electronics. With their high crystallinity and ability to withstand enormous strain, two-dimensional materials are of great interest as high-performance piezoelectric materials. Monolayer MoS2 is predicted to be strongly piezoelectric, an effect that disappears in the bulk owing to the opposite orientations of adjacent atomic layers. Here we report the first experimental study of the piezoelectric properties of two-dimensional MoS2 and show that cyclic stretching and releasing of thin MoS2 flakes with an odd number of atomic layers produces oscillating piezoelectric voltage and current outputs, whereas no output is observed for flakes with an even number of layers. A single monolayer flake strained by 0.53% generates a peak output of 15 mV and 20 pA, corresponding to a power density of 2 mW m(-2) and a 5.08% mechanical-to-electrical energy conversion efficiency. In agreement with theoretical predictions, the output increases with decreasing thickness and reverses sign when the strain direction is rotated by 90°. Transport measurements show a strong piezotronic effect in single-layer MoS2, but not in bilayer and bulk MoS2. The coupling between piezoelectricity and semiconducting properties in two-dimensional nanomaterials may enable the development of applications in powering nanodevices, adaptive bioprobes and tunable/stretchable electronics/optoelectronics.

  18. Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells

    NARCIS (Netherlands)

    Macco, B.; Vos, M.; Thissen, N.F.W.; Bol, A.A.; Kessels, W.M.M.

    2015-01-01

    The preparation of high-quality molybdenum oxide (MoOx) is demonstrated by plasma-enhanced atomic layer deposition (ALD) at substrate temperatures down to 50 °C. The films are amorphous, slightly substoichiometric with respect to MoO3, and free of other elements apart from hydrogen (&11 at%). The

  19. Development of GaAs Detectors for Physics at the LHC

    CERN Multimedia

    Chu, Zhonghua; Krais, R; Rente, C; Syben, O; Tenbusch, F; Toporowsky, M; Xiao, Wenjiang; Cavallini, A; Fiori, F; Edwards, M; Geppert, R; Goppert, R; Haberla, C; Hornung, M F; Irsigler, R; Rogalla, M; Beaumont, S; Raine, C; Skillicorn, I; Margelevicius, J; Meshkinis, S; Smetana, S; Jones, B; Santana, J; Sloan, T; Zdansky, K; Alexiev, D; Donnelly, I J; Canali, C; Chiossi, C; Nava, F; Pavan, P; Kubasta, J; Tomiak, Z; Tchmil, V; Tchountonov, A; Tsioupa, I; Dogru, M; Gray, R; Hou, Yuqian; Manolopoulos, S; Walsh, S; Aizenshtadt, G; Budnitsky, D L; Gossen, A; Khludkov, S; Koretskaya, O B; Okaevitch, L; Potapov, A; Stepanov, V E; Tolbanov, O; Tyagev, A; Matulionis, A; Pozela, J; Kavaliauskiene, G; Kazukauskas, V; Kiliulis, R; Rinkevicius, V; Slenys, S; Storasta, J V

    2002-01-01

    % RD-8 Development of GaAs Detectors for Physics at the LHC \\\\ \\\\The aims of the collaboration are to investigate the available material options, performance and limitations of simple pad, pixel and microstrip GaAs detectors for minimum ionising particles with radiation hardness and speed which are competitive with silicon detectors. This new technology was originally developed within our university laboratories but now benefits from increasing industrial interest and collaboration in detector fabrication. Initial steps have also been taken towards the fabrication of GaAs preamplifiers to match the detectors in radiation hardness. The programme of work aims to construct a demonstration detector module for an LHC forward tracker based on GaAs.

  20. Growth of high purity semiconductor epitaxial layers by liquid phase ...

    Indian Academy of Sciences (India)

    Unknown

    semiconductor materials in high purity form by liquid phase epitaxy (LPE) technique. Various possible sources of impurities in such ... reference to the growth of GaAs layers. The technique of growing very high purity layers ... the inner walls of the gas lines and (e) the containers for storing, handling and cleaning of the mate-.

  1. Atomic Layer Deposition of SnO2 on MXene for Li-Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal; Anjum, Dalaver H.; Gogotsi, Yury; Alshareef, Husam N.

    2017-01-01

    In this report, we show that oxide battery anodes can be grown on two-dimensional titanium carbide sheets (MXenes) by atomic layer deposition. Using this approach, we have fabricated a composite SnO2/MXene anode for Li-ion battery applications

  2. TEM and ellipsometry studies of nanolaminate oxide films prepared using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Attard, D.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Finnie, K.S. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Triani, G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Barbe, C.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Depagne, C. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Bartlett, J.R. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2005-04-30

    Nanolaminate oxide layers consisting of TiO{sub 2} and Al{sub 2}O{sub 3} have been deposited on silicon using atomic layer deposition (ALD). Characterisation of these films has been achieved by use of a range of modern transmission electron microscopy (TEM)-based techniques, including plasmon loss imaging, energy filtered imaging and scanning TEM (STEM) X-ray line profiling. These have shown that the target thickness of the individual layers in the nanolaminate structures (20 nm) has been met with a high degree of accuracy, that the layers are extremely flat and parallel and that the interfaces between the layers are compositionally abrupt. Localised crystallisation within the stacks, and responses to electron beam irradiation point to the presence of a stress gradient within the layers. The performance of ellipsometry in characterising multilayer stacks has been benchmarked against the TEM measurements. Errors in determination of individual layer thicknesses were found to increase with growing stack size, as expected given the increasing number of interfaces incorporated in each model. The most sophisticated model gave maximum deviations of {+-}4 nm from the TEM determined values for the 5- and 10-layer stacks.

  3. Atomic layer deposited TiO2 for implantable brain-chip interfacing devices

    International Nuclear Information System (INIS)

    Cianci, E.; Lattanzio, S.; Seguini, G.; Vassanelli, S.; Fanciulli, M.

    2012-01-01

    In this paper we investigated atomic layer deposition (ALD) TiO 2 thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 °C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al 2 O 3 buffer layer between TiO 2 and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  4. Effect of H{sup +} implantation on the optical properties of semi-insulating GaAs crystals in the IR spectral region

    Energy Technology Data Exchange (ETDEWEB)

    Klyui, N. I.; Lozinskii, V. B., E-mail: lvb@isp.kiev.ua [Jilin University, College of Physics (China); Liptuga, A. I.; Dikusha, V. N. [National Academy of Sciences of Ukraine, Lashkaryov Institute of Semiconductor Physics (Ukraine); Oksanych, A. P.; Kogdas’, M. G.; Perekhrest, A. L.; Pritchin, S. E. [Kremenchug National University (Ukraine)

    2017-03-15

    The optical properties of semi-insulating GaAs crystals subjected to multienergy hydrogen-ion implantation and treatment in a high-frequency electromagnetic field are studied in the infrared spectral region. It is established that such combined treatment provides a means for substantially increasing the transmittance of GaAs crystals to values characteristic of crystals of high optical quality. On the basis of analysis of the infrared transmittance and reflectance data, Raman spectroscopy data, and atomic-force microscopy data on the surface morphology of the crystals, a physical model is proposed to interpret the effects experimentally observed in the crystals. The model takes into account the interaction of radiation defects with the initial structural defects in the crystals as well as the effect of compensation of defect centers by hydrogen during high-frequency treatment.

  5. Photoelectric characteristics of metal-Ga{sub 2}O{sub 3}-GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Kalygina, V. M., E-mail: Kalygina@ngs.ru; Vishnikina, V. V.; Petrova, Yu. S.; Prudaev, I. A.; Yaskevich, T. M. [National Research Tomsk State University (Russian Federation)

    2015-03-15

    We investigate the effect of thermal annealing in argon and of oxygen plasma processing on the photoelectric properties of GaAs-Ga{sub 2}O{sub 3}-Me structures. Gallium-oxide films are fabricated by photostimulated electrochemical oxidation of epitaxial gallium-arsenide layers with n-type conductivity. The as-deposited films were amorphous, but their processing in oxygen plasma led to the nucleation of β-Ga{sub 2}O{sub 3} crystallites. The unannealed films are nontransparent in the visible and ultraviolet (UV) ranges and there is no photocurrent in structures based on them. After annealing at 900°C for 30 min, the gallium-oxide films contain only β-Ga{sub 2}O{sub 3} crystallites and become transparent. Under illumination of the Ga{sub 2}O{sub 3}-GaAs structures with visible light, the photocurrent appears. This effect can be attributed to radiation absorption in GaAs. The photocurrent and its voltage dependence are determined by the time of exposure to the oxygen plasma. In the UV range, the sensitivity of the structures increases with decreasing radiation wavelength, starting at λ ≤ 230 nm. This is due to absorption in the Ga{sub 2}O{sub 3} film. Reduction in the structure sensitivity with an increase in the time of exposure to oxygen plasma can be caused by the incorporation of defects both at the Ga{sub 2}O{sub 3}-GaAs interface and in the Ga{sub 2}O{sub 3} film.

  6. 35-kV GaAs subnanosecond photoconductive switches

    Science.gov (United States)

    Pocha, Michael D.; Druce, Robert L.

    1990-12-01

    High-voltage, fast-pulse generation using GaAs photoconductive switches is investigated. It is possible to to generate 35-kV pulses with risetimes as short as 135 ps using 5-mm gap switches, and electric field hold-off of greater than 100 kV/cm is achieved. An approximately 500-ps FWHM on/off electrical pulse is generated with an amplitude of approximately 3 kV using neutron-irradiated GaAs having short carrier lifetimes. Experimental results are described, and fabrication of switches and the diagnostics used to measure these fast signals are discussed. Experience with the nonlinear lock-on and avalanche modes of operation observed in GaAs is also described.

  7. Molecular beam epitaxial growth of Bi2Te3 and Sb2Te3 topological insulators on GaAs (111 substrates: a potential route to fabricate topological insulator p-n junction

    Directory of Open Access Journals (Sweden)

    Zhaoquan Zeng

    2013-07-01

    Full Text Available High quality Bi2Te3 and Sb2Te3 topological insulators films were epitaxially grown on GaAs (111 substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111 substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111 substrate is better than a vicinal substrate to provide high quality Bi2Te3 and Sb2Te3 films. Hall and magnetoresistance measurements indicate that p type Sb2Te3 and n type Bi2Te3 topological insulator films can be directly grown on a GaAs (111 substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  8. Buffer layer between a planar optical concentrator and a solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Solano, Manuel E. [Departamento de Ingeniería Matemática and CI" 2 MA, Universidad de Concepción, Concepción, Casilla 160-C (Chile); Barber, Greg D. [Penn State Institute of Energy and the Environment, Pennsylvania State University, University Park, PA 16802 (United States); Department of Chemistry, Pennsylvania State University, University Park, PA 16802 (United States); Lakhtakia, Akhlesh [Department of Engineering Science and Mechanics, Pennsylvania State University, University Park, PA 16802 (United States); Faryad, Muhammad [Department of Physics, Lahore University of Management Sciences, Lahore 54792 (Pakistan); Monk, Peter B. [Department of Mathematical Sciences, University of Delaware, Newark, DE 19716 (United States); Mallouk, Thomas E. [Department of Chemistry, Pennsylvania State University, University Park, PA 16802 (United States)

    2015-09-15

    The effect of inserting a buffer layer between a periodically multilayered isotropic dielectric (PMLID) material acting as a planar optical concentrator and a photovoltaic solar cell was theoretically investigated. The substitution of the photovoltaic material by a cheaper dielectric material in a large area of the structure could reduce the fabrication costs without significantly reducing the efficiency of the solar cell. Both crystalline silicon (c-Si) and gallium arsenide (GaAs) were considered as the photovoltaic material. We found that the buffer layer can act as an antireflection coating at the interface of the PMLID and the photovoltaic materials, and the structure increases the spectrally averaged electron-hole pair density by 36% for c-Si and 38% for GaAs compared to the structure without buffer layer. Numerical evidence indicates that the optimal structure is robust with respect to small changes in the grating profile.

  9. Photoelectric properties of GaAs materials studied by pulsed laser techniques

    International Nuclear Information System (INIS)

    Aguir, Khalifa

    1981-01-01

    This research thesis addressed the photoelectric properties of single-crystal or epitaxial GaAs (N doped or P doped) materials. The objective is to characterize and to improve the electric quality of these materials and associated components, notably for the production of high performance solar cells for ground-based or space-based applications. More particularly, this research aimed at using an excitation by a pulsed laser to analyse recombination and trapping properties of carriers created by photo-excitation, and also at studying the effect of low doses of particle irradiation on the carrier properties. Thus, the author describes conduction characteristics of two different N-type epitaxial layers, discusses carrier excitation and recombination processes which may occur in semiconductors, and proposes an overview of trapping phenomena. Photoelectric properties of the considered epitaxial layers are then studied and discussed

  10. TiN films by Atomic Layer Deposition: Growth and electrical characterization down to sub-nm thickness

    NARCIS (Netherlands)

    Van Hao, B.; Wolters, Robertus A.M.; Kovalgin, Alexeij Y.

    2012-01-01

    This study reports on the growth and characterization of TiN thib films obtained by atomic layer deposition at 350-425 ◦C. We observe a growth of the continuous layers from the very beginning of the process, i.e. for a thickness of 0.65 nm, which is equivalent to 3 monolayers of TiN. The film growth

  11. Optical pumping of hot phonons in GaAs

    International Nuclear Information System (INIS)

    Collins, C.L.; Yu, P.Y.

    1982-01-01

    Optical pumping of hot LO phonons in GaAs has been studied as a function of the excitation photon frequency. The experimental results are in good agreement with a model calculation which includes both inter- and intra-valley electron-phonon scatterings. The GAMMA-L and GAMMA-X intervalley electron-phonon interactions in GaAs have been estimated

  12. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  13. (Invited) Atomic Layer Deposition for Novel Dye-Sensitized Solar Cells

    KAUST Repository

    Tétreault, Nicolas

    2011-01-01

    Herein we present the latest fabrication and characterization techniques for atomic layer deposition of Al 2O 3, ZnO, SnO 2, Nb 2O 5, HfO 2, Ga 2O 3 and TiO 2 for research on dye-sensitized solar cell. In particular, we review the fabrication of state-of-the-art 3D host-passivation-guest photoanodes and ZnO nanowires as well as characterize the deposited thin films using spectroscopic ellipsometry, X-ray diffraction, Hall effect, J-V curves and electrochemical impedance spectroscopy. ©The Electrochemical Society.

  14. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati; Shahid, Muhammad; Chen, Wei; Hedhili, Mohamed N.; Reuter, Mark C.; Ross, Frances M.; Alshareef, Husam N.

    2014-01-01

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery

  15. History of atomic layer deposition and its relationship with the American Vacuum Society

    NARCIS (Netherlands)

    Parsons, G.N.; Elam, J.W.; George, S.M.; Haukka, S.; Jeon, H.; Kessels, W.M.M.; Leskelä, M.; Poodt, P.; Ritala, M.; Rossnagel, S.M.

    2013-01-01

    This article explores the history of atomic layer deposition (ALD) and its relationship with the American Vacuum Society (AVS). The authors describe the origin and history of ALD science in the 1960s and 1970s. They also report on how the science and technology of ALD progressed through the 1990s

  16. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  17. Surface-related reduction of photoluminescence in GaAs quantum wires and its recovery by new passivation

    International Nuclear Information System (INIS)

    Shiozaki, Nanako; Anantathanasarn, Sanguan; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    Etched GaAs quantum wires (QWRs) and selectively grown (SG) QWRs were fabricated, and dependence of their photoluminescence (PL) properties on QWR width (W) and QWR distance to surface (d) were investigated. PL intensity greatly reduced with reduction of W and d, due to non-radiative recombination through surface states. Surface passivation by growing a Si interface control layer (Si-ICL) on group III-terminated surfaces greatly improved PL properties

  18. Plasma-enhanced atomic-layer-deposited MoO{sub x} emitters for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ziegler, Johannes; Schneider, Thomas; Sprafke, Alexander N. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Mews, Mathias; Korte, Lars [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH, Institute for Silicon-Photovoltaics, Berlin (Germany); Kaufmann, Kai [Fraunhofer Center for Silicon Photovoltaics CSP, Halle (Germany); University of Applied Sciences, Hochschule Anhalt Koethen, Koethen (Germany); Wehrspohn, Ralf B. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Fraunhofer Institute for Mechanics of Materials IWM Halle, Halle (Germany)

    2015-09-15

    A method for the deposition of molybdenum oxide (MoO{sub x}) with high growth rates at temperatures below 200 C based on plasma-enhanced atomic layer deposition is presented. The stoichiometry of the over-stoichiometric MoO{sub x} films can be adjusted by the plasma parameters. First results of these layers acting as hole-selective contacts in silicon heterojunction solar cells are presented and discussed. (orig.)

  19. Evaluation of atomic layer deposited alumina as a protective layer for domestic silver articles: Anti-corrosion test in artificial sweat

    Science.gov (United States)

    Park, Suk Won; Han, Gwon Deok; Choi, Hyung Jong; Prinz, Fritz B.; Shim, Joon Hyung

    2018-05-01

    This study evaluated the effectiveness of alumina fabricated by atomic layer deposition (ALD) as a protective coating for silver articles against the corrosion caused by body contact. An artificial sweat solution was used to simulate body contact. ALD alumina layers of varying thicknesses ranging from 20 to 80 nm were deposited on sputtered silver samples. The stability of the protective layer was evaluated by immersing the coated samples in the artificial sweat solution at 25 and 35 °C for 24 h. We confirmed that a sufficiently thick layer of ALD alumina is effective in protecting the shape and light reflectance of the underlying silver, whereas the uncoated bare silver is severely degraded by the artificial sweat solution. Inductively coupled plasma mass spectrometry and X-ray photoelectron spectroscopy were used for in-depth analyses of the chemical stability of the ALD-coated silver samples after immersion in the sweat solution.

  20. CVD synthesis of large-area, highly crystalline MoSe2 atomic layers on diverse substrates and application to photodetectors.

    Science.gov (United States)

    Xia, Jing; Huang, Xing; Liu, Ling-Zhi; Wang, Meng; Wang, Lei; Huang, Ben; Zhu, Dan-Dan; Li, Jun-Jie; Gu, Chang-Zhi; Meng, Xiang-Min

    2014-08-07

    Synthesis of large-area, atomically thin transition metal dichalcogenides (TMDs) on diverse substrates is of central importance for the large-scale fabrication of flexible devices and heterojunction-based devices. In this work, we successfully synthesized a large area of highly-crystalline MoSe2 atomic layers on SiO2/Si, mica and Si substrates using a simple chemical vapour deposition (CVD) method at atmospheric pressure. Atomic force microscopy (AFM) and Raman spectroscopy reveal that the as-grown ultrathin MoSe2 layers change from a single layer to a few layers. Photoluminescence (PL) spectroscopy demonstrates that while the multi-layer MoSe2 shows weak emission peaks, the monolayer has a much stronger emission peak at ∼ 1.56 eV, indicating the transition from an indirect to a direct bandgap. Transmission electron microscopy (TEM) analysis confirms the single-crystallinity of MoSe2 layers with a hexagonal structure. In addition, the photoresponse performance of photodetectors based on MoSe2 monolayer was studied for the first time. The devices exhibit a rapid response of ∼ 60 ms and a good photoresponsivity of ∼ 13 mA/W (using a 532 nm laser at an intensity of 1 mW mm(-2) and a bias of 10 V), suggesting that MoSe2 monolayer is a promising material for photodetection applications.

  1. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States); Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Han, Sang M., E-mail: meister@unm.ed [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States)

    2010-08-31

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10{sup 7}/cm{sup 2} in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H{sub 2}O{sub 2} and H{sub 2}SO{sub 4}. Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10{sup 11}/cm{sup 2}. Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO{sub 2} in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10{sup 7}/cm{sup 2}. Additionally, coalescence results in films of 3 {mu}m thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H{sub 2}O{sub 2} results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO{sub 2} interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the

  2. Electrochemical formation of GaAs honeycomb structure using a fluoride-containing (NH{sub 4}){sub 2}SO{sub 4} solution

    Energy Technology Data Exchange (ETDEWEB)

    Morishita, Yoshitaka, E-mail: morisita@cc.tuat.ac.jp; Yamamoto, Hitoshi; Yokobori, Kuniyuki

    2014-04-01

    GaAs substrates were anodized in the (NH{sub 4}){sub 2}SO{sub 4} electrolyte with various fluoride concentrations. Scanning electron microscope (SEM) observation showed that highly regular honeycomb hollows were formed on the substrates anodized in the (NH{sub 4}){sub 2}SO{sub 4} electrolyte with a small amount of HF concentration. The regularity of hollows decreased with the increase of HF concentration. The average diameter of hollows increased with increasing anodizing voltage. The regularity of hollow diameters increased with the increase of anodizing time, irrespective of the anodizing voltage. Cross-sectional SEM image showed that the average depth of regular hollows was about 5 nm. In addition to the peak in the region of fundamental adsorption of GaAs with the peak wavelength at about 870 nm, photoluminescence spectra of samples anodized in the (NH{sub 4}){sub 2}SO{sub 4} electrolyte with HF concentration of 0.5 ml showed several peaks at about 610, 635, 670 and 720 nm. - Highlights: • We report on the electrochemical formation of GaAs honeycomb structure. • High regular hollows were formed by anodization in HF-containing (NH{sub 4}){sub 2}SO{sub 4} solution. • A thin porous layer was formed by anodization in HF-containing (NH{sub 4}){sub 2}SO{sub 4} solution. • This process is useful for preparing patterned substrate with a thin porous layer.

  3. Coking- and sintering-resistant palladium catalysts achieved through atomic layer deposition.

    Science.gov (United States)

    Lu, Junling; Fu, Baosong; Kung, Mayfair C; Xiao, Guomin; Elam, Jeffrey W; Kung, Harold H; Stair, Peter C

    2012-03-09

    We showed that alumina (Al(2)O(3)) overcoating of supported metal nanoparticles (NPs) effectively reduced deactivation by coking and sintering in high-temperature applications of heterogeneous catalysts. We overcoated palladium NPs with 45 layers of alumina through an atomic layer deposition (ALD) process that alternated exposures of the catalysts to trimethylaluminum and water at 200°C. When these catalysts were used for 1 hour in oxidative dehydrogenation of ethane to ethylene at 650°C, they were found by thermogravimetric analysis to contain less than 6% of the coke formed on the uncoated catalysts. Scanning transmission electron microscopy showed no visible morphology changes after reaction at 675°C for 28 hours. The yield of ethylene was improved on all ALD Al(2)O(3) overcoated Pd catalysts.

  4. Atomic Layer Deposition of Electron Selective SnOx and ZnO Films on Mixed Halide Perovskite: Compatibility and Performance.

    Science.gov (United States)

    Hultqvist, Adam; Aitola, Kerttu; Sveinbjörnsson, Kári; Saki, Zahra; Larsson, Fredrik; Törndahl, Tobias; Johansson, Erik; Boschloo, Gerrit; Edoff, Marika

    2017-09-06

    The compatibility of atomic layer deposition directly onto the mixed halide perovskite formamidinium lead iodide:methylammonium lead bromide (CH(NH 2 ) 2 , CH 3 NH 3 )Pb(I,Br) 3 (FAPbI 3 :MAPbBr 3 ) perovskite films is investigated by exposing the perovskite films to the full or partial atomic layer deposition processes for the electron selective layer candidates ZnO and SnO x . Exposing the samples to the heat, the vacuum, and even the counter reactant of H 2 O of the atomic layer deposition processes does not appear to alter the perovskite films in terms of crystallinity, but the choice of metal precursor is found to be critical. The Zn precursor Zn(C 2 H 5 ) 2 either by itself or in combination with H 2 O during the ZnO atomic layer deposition (ALD) process is found to enhance the decomposition of the bulk of the perovskite film into PbI 2 without even forming ZnO. In contrast, the Sn precursor Sn(N(CH 3 ) 2 ) 4 does not seem to degrade the bulk of the perovskite film, and conformal SnO x films can successfully be grown on top of it using atomic layer deposition. Using this SnO x film as the electron selective layer in inverted perovskite solar cells results in a lower power conversion efficiency of 3.4% than the 8.4% for the reference devices using phenyl-C 70 -butyric acid methyl ester. However, the devices with SnO x show strong hysteresis and can be pushed to an efficiency of 7.8% after biasing treatments. Still, these cells lacks both open circuit voltage and fill factor compared to the references, especially when thicker SnO x films are used. Upon further investigation, a possible cause of these losses could be that the perovskite/SnO x interface is not ideal and more specifically found to be rich in Sn, O, and halides, which is probably a result of the nucleation during the SnO x growth and which might introduce barriers or alter the band alignment for the transport of charge carriers.

  5. Growth and characteristics of p-type doped GaAs nanowire

    Science.gov (United States)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  6. Resonant metallic nanostructure for enhanced two-photon absorption in a thin GaAs p-i-n diode

    Energy Technology Data Exchange (ETDEWEB)

    Portier, Benjamin; Pardo, Fabrice; Péré-Laperne, Nicolas; Steveler, Emilie; Dupuis, Christophe; Bardou, Nathalie; Lemaître, Aristide; Pelouard, Jean-Luc, E-mail: jean-luc.pelouard@lpn.cnrs.fr [Laboratoire de Photonique et de Nanostructures (LPN-CNRS), Route de Nozay, 91460 Marcoussis (France); Vest, Benjamin; Jaeck, Julien; Rosencher, Emmanuel [ONERA The French Aerospace Lab, Chemin de la Hunière, F-91760 Palaiseau (France); Haïdar, Riad [ONERA The French Aerospace Lab, Chemin de la Hunière, F-91760 Palaiseau (France); École Polytechnique, Département de Physique, F-91128 Palaiseau (France)

    2014-07-07

    Degenerate two-photon absorption (TPA) is investigated in a 186 nm thick gallium arsenide (GaAs) p-i-n diode embedded in a resonant metallic nanostructure. The full device consists in the GaAs layer, a gold subwavelength grating on the illuminated side, and a gold mirror on the opposite side. For TM-polarized light, the structure exhibits a resonance close to 1.47 μm, with a confined electric field in the intrinsic region, far from the metallic interfaces. A 109 times increase in photocurrent compared to a non-resonant device is obtained experimentally, while numerical simulations suggest that both gain in TPA-photocurrent and angular dependence can be further improved. For optimized grating parameters, a maximum gain of 241 is demonstrated numerically and over incidence angle range of (−30°; +30°).

  7. Resonant metallic nanostructure for enhanced two-photon absorption in a thin GaAs p-i-n diode

    International Nuclear Information System (INIS)

    Portier, Benjamin; Pardo, Fabrice; Péré-Laperne, Nicolas; Steveler, Emilie; Dupuis, Christophe; Bardou, Nathalie; Lemaître, Aristide; Pelouard, Jean-Luc; Vest, Benjamin; Jaeck, Julien; Rosencher, Emmanuel; Haïdar, Riad

    2014-01-01

    Degenerate two-photon absorption (TPA) is investigated in a 186 nm thick gallium arsenide (GaAs) p-i-n diode embedded in a resonant metallic nanostructure. The full device consists in the GaAs layer, a gold subwavelength grating on the illuminated side, and a gold mirror on the opposite side. For TM-polarized light, the structure exhibits a resonance close to 1.47 μm, with a confined electric field in the intrinsic region, far from the metallic interfaces. A 109 times increase in photocurrent compared to a non-resonant device is obtained experimentally, while numerical simulations suggest that both gain in TPA-photocurrent and angular dependence can be further improved. For optimized grating parameters, a maximum gain of 241 is demonstrated numerically and over incidence angle range of (−30°; +30°).

  8. Electron-stimulated desorption of cesium atoms from cesium layers adsorbed on gold-covered tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Ageev, V N; Kuznetsov, Yu A; Potekhina, N D, E-mail: kuznets@ms.ioffe.r [A F Ioffe Physico-Technical Institute, Russian Academy of Sciences, 194021, St Petersburg (Russian Federation)

    2010-03-03

    The electron-stimulated desorption (ESD) yields and energy distributions (ED) for neutral cesium atoms have been measured from cesium layers adsorbed on a gold-covered tungsten surface as a function of electron energy, gold film thickness, cesium coverage and substrate temperature. The measurements have been carried out using a time-of-flight method and surface ionization detector in the temperature range 160-300 K. A measurable ESD yield for Cs atoms is observed only after deposition of more than one monolayer of gold and cesium on a tungsten surface at a temperature T = 300 K, which is accompanied by the formation of a CsAu semiconductor film covered with a cesium atom monolayer. The Cs atom ESD yield as a function of incident electron energy has a resonant character and consists of two peaks, the appearance of which depends on both electron energy and substrate temperature. The first peak has an appearance threshold at an electron energy of 57 eV and a substrate temperature of 300 K that is due to Au 5p{sub 3/2} core level excitation in the substrate. The second peak appears at an electron energy of 24 eV and a substrate temperature of 160 K. It is associated with a Cs 5s core level excitation in the Cs adsorbed layer. The Au 5p{sub 3/2} level excitation corresponds to a single broad peak in the ED with a maximum at a kinetic energy of 0.45 eV at a substrate temperature T = 300 K, which is split into two peaks with maxima at kinetic energies of 0.36 and 0.45 eV at a substrate temperature of 160 K, associated with different Cs atom ESD channels. The Cs 5s level excitation leads to an ED for Cs atoms with a maximum at a kinetic energy of approx 0.57 eV which exists only at T < 240 K and low Cs concentrations. The mechanisms for all the Cs atom ESD channels are proposed and compared with the Na atom ESD channels in the Na-Au-W system.

  9. Atomic ordering in GaAsP

    Science.gov (United States)

    Chen, G. S.; Jaw, D. H.; Stringfellow, G. B.

    1991-04-01

    CuPt type ordering, which consists of a monolayer compositional modulation along one of the 4 directions in the lattice, was studied using transmission electron microscopy for GaAs1-xPx with values of x extending from 0.25 to 0.85. The samples were grown by organometallic vapor phase epitaxy on nominal (001) GaAs substrates that were misoriented by varying amounts in three directions. No CuPt type ordering was observed for GaAs1-xPx with x ≤0.35, while ordering was found to occur for 0.4≤x≤0.85. The direction of substrate misorientation has a major effect on the determination of which of the four possible CuPt variants are formed for 0.4≤x≤0.85. Two variants, with ordering on the (1¯11) and (11¯1) planes, appear for epilayers grown on substrates oriented exactly on the (001) plane and for substrates misoriented by 6° towards the [110] direction. Only one variant, with ordering on the (1¯11) plane, appears for epilayers grown on substrates misoriented by 6° towards [1¯10]. These ordering-induced spots observed in transmission electron diffraction (TED) patterns for GaAsP occur only for the [110] cross section. From TED studies of GaInP grown on similar substrates, we conclude that the CuPt variants in GaAsP are exactly the same as for GaInP. Further evidence supporting this conclusion was obtained by growing first a layer of GaInP followed by a layer of GaAsP. High-resolution dark field electron micrographs show domains of the same variants in both layers. A mechanism describing the formation of the specific ordered variant for both GaAsP and GaInP is proposed. From studies of ordering in a strain-layer superlattice, the strain due to lattice mismatch was found to play no significant role in the propagation of ordered domains. Microtwins, also generated due to lattice mismatch, can act as domain boundaries and prevent the propagation of the ordered domains.

  10. Model experiments on growth modes and interface electronics of CuInS{sub 2}: Ultrathin epitaxial films on GaAs(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Calvet, Wolfram [Institute for Heterogeneous Materials Systems, Helmholtz-Zentrum Berlin, Hahn-Meitner-Platz 1, 14109, Berlin (Germany); Lewerenz, Hans-Joachim [Joint Center for Artificial Photosynthesis, California Institute of Technology, 1200 E. California Blvd., Pasadena, CA, 91101 (United States); Pettenkofer, Christian [Institute Silicon Photovoltaics, Helmholtz-Zentrum Berlin, Kekulestrasse 5, 12489, Berlin (Germany)

    2014-09-15

    The heterojunction formation between GaAs(100) and CuInS{sub 2} is investigated using ultraviolet photoelectron spectroscopy (UPS), X-ray photoelectron spectroscopy (XPS), and low energy electron diffraction (LEED). Thin layers of CuInS{sub 2} films were deposited in a step-by-step process on wet chemically pre-treated GaAs(100) surfaces by molecular beam epitaxy (MBE) with a total upper thickness limit of the films of 60 nm. The film growth starts from a sulfur-rich GaAs(100) surface. XPS core level analysis of the substrate and film reveals initially a transitory growth regime with the formation of a Ga containing chalcopyrite phase. With increasing film thickness, a change in stoichiometry from Cu-poor to Cu-rich composition is observed. The evaluation of the LEED data shows the occurrence of a recrystallization process where the film orientation follows that of the substrate with the epitaxial relation GaAs{100} parallel CuInS{sub 2}{001}. On the completed junction with a CuInS{sub 2} film thickness of 60 nm, the band discontinuities of the GaAs(100)/CuInS{sub 2} structure measured with XPS and UPS were determined as ΔE{sub V} = 0.1 ± 0.1 eV and ΔE{sub C} = 0.0 ± 0.1 eV, thus showing a type II band alignment. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Electrocatalytic activity of atomic layer deposited Pt-Ru catalysts onto N-doped carbon nanotubes

    NARCIS (Netherlands)

    Johansson, A.-C.; Larsen, J.V.; Verheijen, M.A.; Haugshøj, K.B.; Clausen, H.; Kessels, W.M.M.; Christensen, L.H.; Thomsen, E.V.

    2014-01-01

    Pt-Ru catalysts of various compositions, between 0 and 100 at.% of Ru, were deposited onto N-doped multi-walled carbon nanotubes (N-CNTs) by atomic layer deposition (ALD) at 250 C. The Pt and Ru precursors were trimethyl(methylcyclopentadienyl)platinum (MeCpPtMe3) and

  12. Terahertz emission from semi-insulating GaAs with octadecanthiol-passivated surface

    International Nuclear Information System (INIS)

    Wu, Xiaojun; Xu, Xinlong; Lu, Xinchao; Wang, Li

    2013-01-01

    Terahertz (THz) emission from octadecanthiol (ODT) passivated (1 0 0) surface of the semi-insulating GaAs was measured, and compared with those from the native oxidized and the fresh surfaces. It was shown that the self-assembled ODT monolayer can stabilize the GaAs (1 0 0) surface, and maintain a THz surface emission 1.4 times as efficient as the native oxidized surface under equal conditions. Surface passivation can reduce the built-in electric field in the depletion region of the GaAs (1 0 0), resulting in the suppression of the THz radiation to a different extent. Oxidation of GaAs surface reduces the THz amplitude mainly in the low-frequency region. These results indicate that GaAs can be made a more effective THz source by choosing molecular passivation technique. Conversely, the THz emission features such as polarity, amplitude, and phase from molecule-passivated surfaces may be used to characterize the attached molecules.

  13. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    Science.gov (United States)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  14. Evolution of microstructure and related optical properties of ZnO grown by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Adib Abou Chaaya

    2013-10-01

    Full Text Available A study of transmittance and photoluminescence spectra on the growth of oxygen-rich ultra-thin ZnO films prepared by atomic layer deposition is reported. The structural transition from an amorphous to a polycrystalline state is observed upon increasing the thickness. The unusual behavior of the energy gap with thickness reflected by optical properties is attributed to the improvement of the crystalline structure resulting from a decreasing concentration of point defects at the growth of grains. The spectra of UV and visible photoluminescence emissions correspond to transitions near the band-edge and defect-related transitions. Additional emissions were observed from band-tail states near the edge. A high oxygen ratio and variable optical properties could be attractive for an application of atomic layer deposition (ALD deposited ultrathin ZnO films in optical sensors and biosensors.

  15. Early stages of Cs adsorption mechanism for GaAs nanowire surface

    Science.gov (United States)

    Diao, Yu; Liu, Lei; Xia, Sihao; Feng, Shu

    2018-03-01

    In this study, the adsorption mechanism of Cs adatoms on the (100) surface of GaAs nanowire with [0001] growth direction is investigated utilizing first principles method based on density function theory. The adsorption energy, work function, atomic structure and electronic property of clean surface and Cs-covered surfaces with different coverage are discussed. Results show that when only one Cs is adsorbed on the surface, the most favorable adsorption site is BGa-As. With increasing Cs coverage, work function gradually decreases and gets its minimum at 0.75 ML, then rises slightly when Cs coverage comes to 1 ML, indicating the existence of 'Cs-kill' phenomenon. According to further analysis, Cs activation process can effectively reduce the work function due to the formation of a downward band bending region and surface dipole moment directing from Cs adatom to the surface. As Cs coverage increases, the conduction band minimum and valence band maximum both shift towards lower energy side, contributed by the orbital hybridization between Cs-5s, Cs-5p states and Ga-4p, As-4s, As-4p states near Fermi level. The theoretical calculations and analysis in this study can improve the Cs activation technology for negative electron affinity optoelectronic devices based on GaAs nanowires, and also provide a reference for the further Cs/O or Cs/NF3 activation process.

  16. A new theoretical approach to adsorption desorption behavior of Ga on GaAs surfaces

    Science.gov (United States)

    Kangawa, Y.; Ito, T.; Taguchi, A.; Shiraishi, K.; Ohachi, T.

    2001-11-01

    We propose a new theoretical approach for studying adsorption-desorption behavior of atoms on semiconductor surfaces. The new theoretical approach based on the ab initio calculations incorporates the free energy of gas phase; therefore we can calculate how adsorption and desorption depends on growth temperature and beam equivalent pressure (BEP). The versatility of the new theoretical approach was confirmed by the calculation of Ga adsorption-desorption transition temperatures and transition BEPs on the GaAs(0 0 1)-(4×2)β2 Ga-rich surface. This new approach is feasible to predict how adsorption and desorption depend on the growth conditions.

  17. Ab initio atomistic thermodynamics calculations of the initial deposition of epitaxial MgO film on GaAs(001)-β2(2 × 4)

    International Nuclear Information System (INIS)

    Tamarany, Rizcky; Lee, Seung-Cheol; Kim, Hyung-Jun; Choi, Jung-Hae

    2013-01-01

    Ab initio calculations were performed to investigate the initial deposition of epitaxial MgO on GaAs(001)-β2(2 × 4). The differences between the chemical bonding of Mg-As and O-As were characterized by the adsorption energies of atomic O and Mg at several symmetrically distinct sites, and O bonding was substantially stronger than that of Mg. Thermodynamics were analyzed through the introduction of environmental chemical potentials simulating in situ growth conditions by the sputtering of a stoichiometric MgO target. A surface phase diagram was generated under Mg and O environments with constrained equilibrium, and the results explained the initial formation of an epitaxial MgO phase on GaAs(001)-β2(2 × 4).

  18. Optimization studies of HgSe thin film deposition by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-06-01

    Full Text Available Studies of the optimization of HgSe thin film deposition using electrochemical atomic layer epitaxy (EC-ALE) are reported. Cyclic voltammetry was used to obtain approximate deposition potentials for each element. These potentials were then coupled...

  19. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    Science.gov (United States)

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  20. Two-Photon Pumped Synchronously Mode-Locked Bulk GaAs Laser

    Science.gov (United States)

    Cao, W. L.; Vaucher, A. M.; Ling, J. D.; Lee, C. H.

    1982-04-01

    Pulses 7 picoseconds or less in duration have been generated from a bulk GaAs crystal by a synchronous mode-locking technique. The GaAs crystal was optically pumped by two-photon absorption of the emission from a mode-locked Nd:glass laser. Two-photon absorption as the means of excitation increases the volume of the gain medium by increasing the pene-tration depth of the pump intensity, enabling generation of intra-cavity pulses with peak power in the megawatt range. Tuning of the wavelength of the GaAs emission is achieved by varying the temperature. A tuning range covering 840 nm to 885 nm has been observed over a temperature range from 97°K to 260°K. The intensity of the GaAs emission has also been observed to decrease as the temperature of the crystal is increased.

  1. Texture variations and atomic dislocations by Ar-irradiation in Au and NbN sputtered layers

    International Nuclear Information System (INIS)

    Jung, V.

    1988-02-01

    Irradiation of Au and NbN sputtered layers with Ar ++ ions of 600 keV leads to a narrower orientation distribution of the [111] direction of the Au layers from 12 0 FWHM to 6 0 and to only very small FWHM changes in texture distributions of the NbN layers. But the FWHM of the reflex distribution of the irradiated NbN layers is increased significantly from ΔΘ = 0.65 0 to 1.17 0 for one sample position. This is caused by small atomic dislocations in the NbN lattice. The FWHM of reflex distribution of the Au layers increased only from ΔΘ = 0.60 0 to 0.65 0 after irradiation. Oblique incidence of Ar ++ ions causes, by absence of channeling, stronger distortions than perpendicular incidence. (orig.) [de

  2. Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy

    NARCIS (Netherlands)

    Kessels, W.M.M.; Knoops, H.C.M.; Dielissen, S.A.F.; Mackus, A.J.M.; Sanden, van de M.C.M.

    2009-01-01

    Infrared spectroscopy was used to obtain absolute number information on the reaction products during atomic layer deposition of Pt from (methylcyclopentadienyl)trimethylplatinum [(MeCp)PtMe3] and O2. From the detection of CO2 and H2O it was established that the precursor ligands are oxidatively

  3. Magnetic resonance of rubidium atoms passing through a multi-layered transmission magnetic grating

    International Nuclear Information System (INIS)

    Nagata, Y; Kurokawa, S; Hatakeyama, A

    2017-01-01

    We measured the magnetic resonance of rubidium atoms passing through periodic magnetic fields generated by two types of multi-layered transmission magnetic grating. One of the gratings reported here was assembled by stacking four layers of magnetic films so that the direction of magnetization alternated at each level. The other grating was assembled so that the magnetization at each level was aligned. For both types of grating, the experimental results were in good agreement with our calculations. We studied the feasibility of extending the frequency band of the grating and narrowing its resonance linewidth by performing calculations. For magnetic resonance precision spectroscopy, we conclude that the multi-layered transmission magnetic grating can generate periodic fields with narrower linewidths at higher frequencies when a larger number of layers are assembled at a shorter period length. Moreover, the frequency band of this type of grating can potentially achieve frequencies of up to hundreds of PHz. (paper)

  4. Conduction mechanisms in thin atomic layer deposited Al2O3 layers

    International Nuclear Information System (INIS)

    Spahr, Holger; Montzka, Sebastian; Reinker, Johannes; Hirschberg, Felix; Kowalsky, Wolfgang; Johannes, Hans-Hermann

    2013-01-01

    Thin Al 2 O 3 layers of 2–135 nm thickness deposited by thermal atomic layer deposition at 80 °C were characterized regarding the current limiting mechanisms by increasing voltage ramp stress. By analyzing the j(U)-characteristics regarding ohmic injection, space charge limited current (SCLC), Schottky-emission, Fowler-Nordheim-tunneling, and Poole-Frenkel-emission, the limiting mechanisms were identified. This was performed by rearranging and plotting the data in a linear scale, such as Schottky-plot, Poole-Frenkel-plot, and Fowler-Nordheim-plot. Linear regression then was applied to the data to extract the values of relative permittivity from Schottky-plot slope and Poole-Frenkel-plot slope. From Fowler-Nordheim-plot slope, the Fowler-Nordheim-energy-barrier was extracted. Example measurements in addition to a statistical overview of the results of all investigated samples are provided. Linear regression was applied to the region of the data that matches the realistic values most. It is concluded that ohmic injection and therefore SCLC only occurs at thicknesses below 12 nm and that the Poole-Frenkel-effect is no significant current limiting process. The extracted Fowler-Nordheim-barriers vary in the range of up to approximately 4 eV but do not show a specific trend. It is discussed whether the negative slope in the Fowler-Nordheim-plot could in some cases be a misinterpreted trap filled limit in the case of space charge limited current

  5. Temperature dependent magnetic properties of the GaAs substrate of spin-LEDs

    International Nuclear Information System (INIS)

    Ney, A; Harris, J S Jr; Parkin, S S P

    2006-01-01

    The temperature dependence of the magnetization of a light emitting diode having a ferromagnetic contact (spin-LED) is measured from 2 to 300 K in magnetic fields from 30 to 70 kOe and it is found that it originates from the GaAs substrate. The magnetization of GaAs comprises a van Vleck-type paramagnetic contribution to the susceptibility which scales inversely with the band gap of the semiconductor. Thus, the temperature dependence of the band gap of GaAs accounts for the non-linear temperature dependent magnetic susceptibility of GaAs and thus, at large magnetic fields, for the spin-LED

  6. Nonstoichiometric defects in GaAs and the EL2 bandwagon

    Science.gov (United States)

    Lagowski, J.; Gatos, H. C.

    1985-09-01

    In the present paper, an attempt is made to formulate a common framework for a discussion of nonstoichiometric defects, especially EL2 and dislocations. An outline is provided of the most important settled and unsettled issues, taking into account not only fundamental interests, but also urgent needs in advancing IC technology. Attention is given to stoichiometry-controlled compensation, the expected role of melt stoichiometry in electrical conductivity for the basic atomic disorders, defect equilibria-dislocations and EL2, and current issues pertaining to the identification of EL2. It is concluded that nonstoichiometric defects play a critical role in the electronic properties of GaAs and its electronic applications. Very significant progress has been recently made in learning how to adjust melt stoichiometry in order to maximize its beneficial effects and minimize its detrimental ones.

  7. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  8. Fiscal 1997 R and D project on industrial science and technology under a consignment from NEDO. R and D of the ultimate manipulation technology of atoms and molecules (R and D of the formation of advanced materials for power generation environment); 1997 nendo sangyo kagaku gijutsu kenkyu kaihatsu jigyo Shin energy Sangyo Gijutsu Sogo Kaihatsu Kiko itaku. Genshi bunshi kyokugen sosa gijutsu no kenkyu kaihatsu seika hokokusho (hatsuden kankyoyo kokino sozai keisei gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    This paper describes R and D of the ultimate manipulation technology of atoms and molecules (atom technology). Ten nanoscale Ge islands were successfully formed in proper positions on an Si substrate surface by using mask technology of nanoscale atomic layers. Growth of less-defect ZnSe films on a GaAs(110) surface was possible under various conditions. The magnetic transfer mechanism of Mn oxide with huge reluctance was clarified. Through study on selective-area deposition of Si on plasma-oxidized ultrathin SiO2 mask layers patterned by direct electron-beam exposure and an idea of SiO2/SiNx bilayer mask, direct use of the ultrathin mask layer as insulating layer in device structure was achieved. The superior property as electron beam resist of methano- fullerene composed of fullerene C60 with side chains including oxygen was clarified. The initial oxidation process of an Si(100) surface was analyzed, and the observation result by SREM was theoretically explained. Development of a high-resolution spin polarization electron microscope was also mentioned. 48 refs., 145 figs., 20 tabs.

  9. Laser-assisted atom-atom collisions

    International Nuclear Information System (INIS)

    Roussel, F.

    1984-01-01

    The basic layer-assisted atom-atom collision processes are reviewed in order to get a simpler picture of the main physical facts. The processes can be separated into two groups: optical collisions where only one atom is changing state during the collision, the other acting as a spectator atom, and radiative collisions where the states of the two atoms are changing during the collision. All the processes can be interpreted in terms of photoexcitation of the quasimolecule formed during the collisional process. (author)

  10. Hollow inorganic nanospheres and nanotubes with tunable wall thicknesses by atomic layer deposition on self-assembled polymeric templates

    NARCIS (Netherlands)

    Ras, Robin H. A.; Kemell, Marianna; de Wit, Joost; Ritala, Mikko; ten Brinke, Gerrit; Leskela, Markku; Ikkala, Olli; Leskelä, Markku

    2007-01-01

    The construction of inorganic nanostructures with hollow interiors is demonstrated by coating self-assembled polymeric nano-objects with a thin Al2O3 layer by atomic layer deposition (ALD), followed by removal of the polymer template upon heating. The morphology of the nano-object (i.e., spherical

  11. Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing

    International Nuclear Information System (INIS)

    Van Delft, J A; Garcia-Alonso, D; Kessels, W M M

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells; hence, ALD for photovoltaics (PV) has attracted great interest in academic and industrial research in recent years. In this review, the recent progress of ALD layers applied to various solar cell concepts and their future prospects are discussed. Crystalline silicon (c-Si), copper indium gallium selenide (CIGS) and dye-sensitized solar cells (DSSCs) benefit from the application of ALD surface passivation layers, buffer layers and barrier layers, respectively. ALD films are also excellent moisture permeation barriers that have been successfully used to encapsulate flexible CIGS and organic photovoltaic (OPV) cells. Furthermore, some emerging applications of the ALD method in solar cell research are reviewed. The potential of ALD for solar cells manufacturing is discussed, and the current status of high-throughput ALD equipment development is presented. ALD is on the verge of being introduced in the PV industry and it is expected that it will be part of the standard solar cell manufacturing equipment in the near future. (paper)

  12. Adsorption of Hydrogen and Potassium on GaAs(110) Studied by Time-of-Flight Scattering and Recoiling Spectrometry; Espectrometria de Iones Aplicada al Estudio de la Adsorcion de H y K en GaAs(110)

    Energy Technology Data Exchange (ETDEWEB)

    Gayone, J E [Comision Nacional de Energia Atomica, Centro Atomico Bariloche (Argentina)

    2000-07-01

    (with ISS) as a function of the exposure indicate that the initial strong decrease in the rate of unrelaxation is mainly a consequence of the variation of the sticking coefficient. Below 100 L, most of the H atoms participate in the unrelaxation process. However, above 500 L, it is necessary to increase strongly the H coverage to produce small changes in the atomic structure of the surface. The measurements of the As and Ga direct recoils intensities change with the incident direction of the projectile in accordance with the crystallographic structure of the surface. On the other hand, the H recoil intensity is almost independent of the crystallographic sample orientation, indicating that an important fraction of the H atoms are not adsorbed in well ordered sites.Measurements as a function of the sample temperature show a continuous decrease of the H DR intensity for both low and high exposures. The combined results of forward recoiled atom and scattered projectile intensities suggest that an important fraction of the adsorbed H atoms is not bonded in a well ordered layer and may be forming molecules since the beginning of the adsorption process. The adsorption of K on GaAs(110) is mainly studied by DRS. The analysis of K direct recoil intensity indicates that at room temperature, the adsorption of K saturates at 0.5 ML, which corresponds to an atom density of {approx} 4.4x10{sup 1}4 at/cm{sup -2}. The adsorption process proceeds in two stages, which depend on the K coverage ({theta} (K)). At low coverages, {theta} (K)<0.1 ML, the K atoms are adsorbed exclusively on the [001] Ga rows and close to the sites of a new As layer, with a local crystallographic order. At high coverages the K atoms start to adsorb also along the As rows, although with a lower probability than on the Ga rows. At saturation, the K layer does not form an ordered structure. The dependence of the direct-recoil ion fractions on the K coverage is consistent with the structural information obtained

  13. Atomic layer deposited TiO{sub 2} for implantable brain-chip interfacing devices

    Energy Technology Data Exchange (ETDEWEB)

    Cianci, E., E-mail: elena.cianci@mdm.imm.cnr.it [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (MB) (Italy); Lattanzio, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Dipartimento di Ingegneria dell' Informazione, Universita di Padova, 35131 Padova (Italy); Seguini, G. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Vassanelli, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Fanciulli, M. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano-Bicocca, 20126 Milano (Italy)

    2012-05-01

    In this paper we investigated atomic layer deposition (ALD) TiO{sub 2} thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 Degree-Sign C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al{sub 2}O{sub 3} buffer layer between TiO{sub 2} and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  14. Intrinsic electron traps in atomic-layer deposited HfO{sub 2} insulators

    Energy Technology Data Exchange (ETDEWEB)

    Cerbu, F.; Madia, O.; Afanas' ev, V. V.; Houssa, M.; Stesmans, A. [Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, 3001 Leuven (Belgium); Andreev, D. V. [Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, 3001 Leuven (Belgium); Bauman Moscow State Technical University—Kaluga Branch, 248000 Kaluga, Moscow obl. (Russian Federation); Fadida, S.; Eizenberg, M. [Department of Materials Science and Engineering, Technion-Israel Institute of Technology, 32000 Haifa (Israel); Breuil, L. [imec, 3001 Leuven (Belgium); Lisoni, J. G. [imec, 3001 Leuven (Belgium); Institute of Physics and Mathematics, Faculty of Science, Universidad Austral de Chile, Valdivia (Chile); Kittl, J. A. [Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, 3001 Leuven (Belgium); Advanced Logic Lab, Samsung Semiconductor, Inc., Austin, 78754 Texas (United States); Strand, J.; Shluger, A. L. [Department of Physics and Astronomy, University College London, London WC1E 6BT (United Kingdom)

    2016-05-30

    Analysis of photodepopulation of electron traps in HfO{sub 2} films grown by atomic layer deposition is shown to provide the trap energy distribution across the entire oxide bandgap. The presence is revealed of two kinds of deep electron traps energetically distributed at around E{sub t} ≈ 2.0 eV and E{sub t} ≈ 3.0 eV below the oxide conduction band. Comparison of the trapped electron energy distributions in HfO{sub 2} layers prepared using different precursors or subjected to thermal treatment suggests that these centers are intrinsic in origin. However, the common assumption that these would implicate O vacancies cannot explain the charging behavior of HfO{sub 2}, suggesting that alternative defect models should be considered.

  15. Photon counting microstrip X-ray detectors with GaAs sensors

    Science.gov (United States)

    Ruat, M.; Andrä, M.; Bergamaschi, A.; Barten, R.; Brückner, M.; Dinapoli, R.; Fröjdh, E.; Greiffenberg, D.; Lopez-Cuenca, C.; Lozinskaya, A. D.; Mezza, D.; Mozzanica, A.; Novikov, V. A.; Ramilli, M.; Redford, S.; Ruder, C.; Schmitt, B.; Shi, X.; Thattil, D.; Tinti, G.; Tolbanov, O. P.; Tyazhev, A.; Vetter, S.; Zarubin, A. N.; Zhang, J.

    2018-01-01

    High-Z sensors are increasingly used to overcome the poor efficiency of Si sensors above 15 keV, and further extend the energy range of synchrotron and FEL experiments. Detector-grade GaAs sensors of 500 μm thickness offer 98% absorption efficiency at 30 keV and 50% at 50 keV . In this work we assess the usability of GaAs sensors in combination with the MYTHEN photon-counting microstrip readout chip developed at PSI. Different strip length and pitch are compared, and the detector performance is evaluated in regard of the sensor material properties. Despite increased leakage current and noise, photon-counting strips mounted with GaAs sensors can be used with photons of energy as low as 5 keV, and exhibit excellent linearity with energy. The charge sharing is doubled as compared to silicon strips, due to the high diffusion coefficient of electrons in GaAs.

  16. Substrate Misorientation Effects On (A1,Ga)As And (Al,Ga)As/GaAs Structures Grown By Molecular Beam Epitaxy

    Science.gov (United States)

    Tsui, Raymond K.; Kramer, Gary D.; Curless, J. A.; Peffley, Marilyn S.

    1987-04-01

    (Al,Ga)As layers have rough surface morphologies when deposited under certain growth conditions in molecular beam epitaxy (MBE). This leads to poor interfaces between (A1,Ga)- As and GaAs and degraded performance in heterojunction devices. We have observed that by misorienting the substrate slightly from (100), in a manner specific to the growth conditions, smooth (Al,Ga)As layers 3-4 μm thick can be grown at a rate of ≍ 1 μm/h for various AlAs mole fractions, x. Similar conditions for nominal (100) result in a rough, textured morphology. Experiments were carried out using flat substrates of specific misorientations as well as lens-shaped substrates. The lenticular substrates allowed all orientations within 14° of (100) [i.e., out to (511)] to be evaluated in one growth run. Deposition conditions that were varied included x, substrate temperature, and V/III beam flux ratio. Smooth layers obtained using optimal misorientations showed superior optical characteris-tics as determined from low-temperature photoluminescence (PL) measurements. The 4.2K PL spectra of smooth layers exhibit well-resolved exciton-related peaks, and do not have the deeper-level defect-related peaks observed in the spectra of rough layers. Single quantum well structures with A10.3Ga0.7As barriers and a 100 A-wide GaAs well deposited on mis-oriented substrates also have superior optical properties compared to a structure grown on nominal (100). Such findings may have significant implications for the performance of heterojunction device structures grown by MBE.

  17. Atomic scale characterization of ion-induced amorphization of GaAs and InAs using PAC spectroscopy

    International Nuclear Information System (INIS)

    Dogra, R.; Byrne, A.P.; Ridgway, M.C.

    2005-01-01

    Single crystals of GaAs (100) and InAs (100) were implanted with 1-7 MeV 74 Ge ions over a wide dose range at liquid nitrogen temperature. The implanted substrates were investigated with respect to the damage production by means of perturbed angular correlation spectroscopy based upon hyperfine interactions of nuclear electromagnetic moments of probe nuclei with extra-nuclear fields. The perturbed angular correlation measurements were performed at room temperature utilizing the 111 In/Cd radioisotope probe nuclei. The crystalline, disordered and amorphous probe environments were identified from the measurements. The defect production is described within the framework of different amorphization models. (author). 6 refs., 2 figs

  18. Nanostructuring-induced modification of optical properties of p-GaAs (1 0 0)

    Science.gov (United States)

    Naddaf, M.; Saloum, S.

    2009-10-01

    A pulsed anodic etching method has been utilized for nanostructuring of p-type GaAs (1 0 0) surface, using HCl-based solution as electrolyte. The resulting porous GaAs layer is characterized by atomic force microscopy (AFM), room temperature photoluminescence (PL), Raman spectroscopy and optical reflectance measurements. AFM imaging reveals that the porous GaAs layer is consisted of a pillar-like of few nm in width distributed between more-reduced size nanostructures. In addition to the “infrared” PL band of un-etched GaAs, a strong “green” PL band is observed in the etched sample. The broad visible PL band of a high-energy (3.82 eV) excitation is found to compose of two PL band attributed to excitons confinement in two different sizes distribution of GaAs nanocrystals. The quantum confinement effects in GaAs nanocrystallites is also evidenced from Raman spectroscopy through the pronounced appearance of the transverse optical (TO) phonon line in the spectra of the porous sample. Porosity-induced a significant reduction of the specular reflection, in the spectral range (400-800 nm), is also demonstrated.

  19. Linearity of photoconductive GaAs detectors to pulsed electrons

    International Nuclear Information System (INIS)

    Ziegler, L.H.

    1995-01-01

    The response of neutron damaged GaAs photoconductor detectors to intense, fast (50 psec fwhm) pulses of 16 MeV electrons has been measured. Detectors made from neutron damaged GaAs are known to have reduced gain, but significantly improved bandwidth. An empirical relationship between the observed signal and the incident electron fluence has been determined

  20. Electron microscopy observation of TiO2 nanocrystal evolution in high-temperature atomic layer deposition.

    Science.gov (United States)

    Shi, Jian; Li, Zhaodong; Kvit, Alexander; Krylyuk, Sergiy; Davydov, Albert V; Wang, Xudong

    2013-01-01

    Understanding the evolution of amorphous and crystalline phases during atomic layer deposition (ALD) is essential for creating high quality dielectrics, multifunctional films/coatings, and predictable surface functionalization. Through comprehensive atomistic electron microscopy study of ALD TiO2 nanostructures at designed growth cycles, we revealed the transformation process and sequence of atom arrangement during TiO2 ALD growth. Evolution of TiO2 nanostructures in ALD was found following a path from amorphous layers to amorphous particles to metastable crystallites and ultimately to stable crystalline forms. Such a phase evolution is a manifestation of the Ostwald-Lussac Law, which governs the advent sequence and amount ratio of different phases in high-temperature TiO2 ALD nanostructures. The amorphous-crystalline mixture also enables a unique anisotropic crystal growth behavior at high temperature forming TiO2 nanorods via the principle of vapor-phase oriented attachment.