WorldWideScience

Sample records for fluorine 16 target

  1. Fluorination methods in drug discovery

    OpenAIRE

    Yerien, Damián Emilio; Bonesi, Sergio Mauricio; Postigo, Jose Alberto

    2017-01-01

    Fluorination reactions of medicinal and biologically-active compounds will be discussed. Late stage fluorination strategies of medicinal targets have recently attracted considerable attention on account of the influence that the fluorine atom can impart to targets of medicinal importance, such as a modulation of lipophilicity, electronegativity, basicity and bioavailability, this latter as a consequence of membrane permeability. Therefore, the recourse to late-stage fluorine substitution on c...

  2. Analysis of fluorine by nuclear reactions and applications to human dental enamel

    International Nuclear Information System (INIS)

    Stroobants, J.; Bodart, F.; Deconninck, G.; Demortier, G.; Nicolas, G.

    Nuclear reactions induced on Fluorine by low energy protons are investigated, thick target excitation yield curves and tables for 19 F(p,p'γ) 19 F and 19 F(p,αγ) 16 O reactions are given between 0.3 and 2.5 MeV. Interferences from other nuclear reactions, detection limits and sensitivity for Fluorine detection are investigated. After a wide investigation of the repartition of Fluorine in tooth enamel it is concluded that there is an equilibrium of the concentrations between tooth and saliva which is rapidly restored after the perturbation introduced by the external treatments. (author)

  3. Effects of fluorine on the human fetus

    Energy Technology Data Exchange (ETDEWEB)

    He, H.; Cheng, Z.S.; Liu, W.Q. [Huaxi Medical University, Huaxi (China)

    2008-10-15

    In an endemic fluorosis area, 16 fetuses that were delivered during their sixth to eighth month of gestation by means of artificial abortion were collected and studied. The results (compared to 10 control fetuses from a non-endemic area) show that fluorine levels in tissues are obviously high, especially in brain, calvarium, and femur. The activity of alkaline phosphatase in femur and kidney was raised. By observation of the ultrastructure of samples, the number of mitochondria, rough-surfaced endoplasmic reticulum, and free ribosome in neurons of cerebral cortex were reduced, and the rough-surfaced endoplasmic reticulum was obviously dilated. These findings indicate that the neurons of the cerebral cortex in the developing brain may be one of the targets of fluorine.

  4. Fluorinated Nucleotide Modifications Modulate Allele Selectivity of SNP-Targeting Antisense Oligonucleotides

    Directory of Open Access Journals (Sweden)

    Michael E. Østergaard

    2017-06-01

    Full Text Available Antisense oligonucleotides (ASOs have the potential to discriminate between subtle RNA mismatches such as SNPs. Certain mismatches, however, allow ASOs to bind at physiological conditions and result in RNA cleavage mediated by RNase H. We showed that replacing DNA nucleotides in the gap region of an ASO with other chemical modification can improve allele selectivity. Herein, we systematically substitute every position in the gap region of an ASO targeting huntingtin gene (HTT with fluorinated nucleotides. Potency is determined in cell culture against mutant HTT (mtHTT and wild-type HTT (wtHTT mRNA and RNase H cleavage intensities, and patterns are investigated. This study profiled five different fluorinated nucleotides and showed them to have predictable, site-specific effects on RNase H cleavage, and the cleavage patterns were rationalized from a published X-ray structure of human RNase H1. The results herein can be used as a guide for future projects where ASO discrimination of SNPs is important.

  5. Impact on estrogen receptor binding and target tissue uptake of [18F]fluorine substitution at the 16α-position of fulvestrant (faslodex; ICI 182,780)

    International Nuclear Information System (INIS)

    Seimbille, Yann; Benard, Francois; Rousseau, Jacques; Pepin, Emilie; Aliaga, Antonio; Tessier, Guillaume; Lier, Johan E. van

    2004-01-01

    Fulvestrant (Faslodex; ICI 182,780) is a pure estrogen receptor (ER) antagonist recently approved for the treatment of hormone-sensitive breast cancer in post-menopausal women with disease progression following antiestrogen therapy. Fulvestrant strongly binds to the ER and its mode of action consists of inhibition of ER dimerization leading to a down regulation of ER protein cellular levels. With the aim to develop a probe for positron emission tomography (PET) imaging capable of predicting the potential therapeutic efficacy of selective ER modulators (SERM), we prepared three new 16α-[ 18 F]fluoro-fulvestrant derivatives. These new radiopharmaceuticals were evaluated for their binding affinity to the human ERα and for their target tissue uptake in immature female rats. Substitution of one of the side-chain F-atoms of fulvestrant for 18 F would have led to a product of low specific activity; instead we selected the 16α-position for 18 F-labeling, which at least in the case of estradiol (ES) is well tolerated by the ER. Radiochemical synthesis proceeds by stereoselective introduction of the [ 18 F]fluoride at the 16- 18 F-position of fulvestrant via opening of an intermediate O-cyclic sulfate followed by hydrolysis of the protecting methoxymethyl (MOM) ether and sulfate groups. Three analogs with different oxidation states of the side chain sulfur, i.e. sulfide, sulfone or sulfoxide (fulvestrant) were prepared. Introduction of the 16 18 F-fluorine led to a dramatic decrease of the apparent binding affinity for ER, as reported by Wakeling et al. (Cancer Res. 1991;51:3867-73). Likewise, in vivo ER-mediated uterus uptake values in immature female rats were disappointing. Overall, our findings suggest that these new PET radiopharmaceuticals are not suitable as tracers to predict ER(+) breast cancer response to hormonal therapy with selective ER modulators

  6. Production of 16N and obtaining of its gamma spectrum in order to calibrate detectors or determination of fluorine in geological specimens

    International Nuclear Information System (INIS)

    Rey-Ronco, M.A.; Alonso-Sanchez, T.; Castro-Garcia, M.P.

    2010-01-01

    In this paper, we show a procedure for producing 16 N and a method to obtain its gamma spectrum with a NaI(Tl) detector. We also demonstrate the interest of this radioactive element for the purpose of NaI(Tl) detector calibration and for the determination of fluorine in geological specimens using an Alpha Beryllium neutron source. This work consists of a theoretical study which analyzes the characteristics of 16 N and nuclear reactions that originate from an Americium Beryllium source of 1Ci activity. We justify our choice of reaction 19 F(n,α) 16 N and the use of fluorspar as a source of fluorine. The mathematical procedure followed to obtain the gamma rays spectrum produced by 16 N in a NaI(Tl) detector is shown.

  7. Impact on estrogen receptor binding and target tissue uptake of [{sup 18}F]fluorine substitution at the 16{alpha}-position of fulvestrant (faslodex; ICI 182,780)

    Energy Technology Data Exchange (ETDEWEB)

    Seimbille, Yann; Benard, Francois E-mail: francois.benard@USherbrooke.ca; Rousseau, Jacques; Pepin, Emilie; Aliaga, Antonio; Tessier, Guillaume; Lier, Johan E. van

    2004-08-01

    Fulvestrant (Faslodex; ICI 182,780) is a pure estrogen receptor (ER) antagonist recently approved for the treatment of hormone-sensitive breast cancer in post-menopausal women with disease progression following antiestrogen therapy. Fulvestrant strongly binds to the ER and its mode of action consists of inhibition of ER dimerization leading to a down regulation of ER protein cellular levels. With the aim to develop a probe for positron emission tomography (PET) imaging capable of predicting the potential therapeutic efficacy of selective ER modulators (SERM), we prepared three new 16{alpha}-[{sup 18}F]fluoro-fulvestrant derivatives. These new radiopharmaceuticals were evaluated for their binding affinity to the human ER{alpha} and for their target tissue uptake in immature female rats. Substitution of one of the side-chain F-atoms of fulvestrant for {sup 18}F would have led to a product of low specific activity; instead we selected the 16{alpha}-position for {sup 18}F-labeling, which at least in the case of estradiol (ES) is well tolerated by the ER. Radiochemical synthesis proceeds by stereoselective introduction of the [{sup 18}F]fluoride at the 16-{sup 18}F-position of fulvestrant via opening of an intermediate O-cyclic sulfate followed by hydrolysis of the protecting methoxymethyl (MOM) ether and sulfate groups. Three analogs with different oxidation states of the side chain sulfur, i.e. sulfide, sulfone or sulfoxide (fulvestrant) were prepared. Introduction of the 16{sup 18}F-fluorine led to a dramatic decrease of the apparent binding affinity for ER, as reported by Wakeling et al. (Cancer Res. 1991;51:3867-73). Likewise, in vivo ER-mediated uterus uptake values in immature female rats were disappointing. Overall, our findings suggest that these new PET radiopharmaceuticals are not suitable as tracers to predict ER(+) breast cancer response to hormonal therapy with selective ER modulators.

  8. Fluorination reaction uranium dioxide by fluorine

    International Nuclear Information System (INIS)

    Ogata, Shinji; Homma, Shunji; Koga, Jiro; Matsumoto, Shiro; Sasahira, Akira; Kawamura, Fumio

    2004-01-01

    Kinetics of the fluorination reaction of uranium dioxide is studied using un-reacted core model with shrinking particles. The model includes the film mass transfer of fluorine gas and its diffusion in the particle. The rate constants of the model are determined by fitting the experimental data for 370-450degC. The model successfully represents the fluorination in this temperature range. The rate control step is identified by examining the rate constants of the model for 300-1,800degC. For temperature range up to 900degC, the fluorination reaction is rate controlling. For over 900degC, both mechanisms of the mass transfer of fluorine and the fluorination reaction control the rate of the fluorination. With further increase of the temperature, however, the fluorination reaction becomes so fast that the mass transfer of fluorine eventually controls the rate of the fluorination. (author)

  9. Production of {sup 16}N and obtaining of its gamma spectrum in order to calibrate detectors or determination of fluorine in geological specimens

    Energy Technology Data Exchange (ETDEWEB)

    Rey-Ronco, M.A., E-mail: rey@uniovi.e [Departamento de Energia, Universidad de Oviedo, 33004 Oviedo (Spain); Alonso-Sanchez, T., E-mail: tjalonso@uniovi.e [Departamento de Explotacion y Prospeccion de Minas, Universidad de Oviedo, 33004 Oviedo (Spain); Castro-Garcia, M.P., E-mail: UO21947@uniovi.e [Departamento de Explotacion y Prospeccion de Minas, Universidad de Oviedo, 33004 Oviedo (Spain)

    2010-09-15

    In this paper, we show a procedure for producing {sup 16}N and a method to obtain its gamma spectrum with a NaI(Tl) detector. We also demonstrate the interest of this radioactive element for the purpose of NaI(Tl) detector calibration and for the determination of fluorine in geological specimens using an Alpha Beryllium neutron source. This work consists of a theoretical study which analyzes the characteristics of {sup 16}N and nuclear reactions that originate from an Americium Beryllium source of 1Ci activity. We justify our choice of reaction {sup 19}F(n,{alpha}){sup 16}N and the use of fluorspar as a source of fluorine. The mathematical procedure followed to obtain the gamma rays spectrum produced by {sup 16}N in a NaI(Tl) detector is shown.

  10. Single Photon Emission Computed Tomography/Positron Emission Tomography Imaging and Targeted Radionuclide Therapy of Melanoma: New Multimodal Fluorinated and Iodinated Radiotracers

    International Nuclear Information System (INIS)

    Maisonial, A.; Papon, J.; Bayle, M.; Vidal, A.; Auzeloux, Ph.; Rbah, L.; Bonnet-Duquennoy, M.; Miot-Noirault, E.; Galmier, M.J.; Borel, M.; Madelmont, J.C.; Moins, N.; Chezal, J.M.; Kuhnast, B.; Boisgard, R.; Dolle, F.; Tavitian, B.; Boisgard, R.; Tavitian, B.; Askienazy, S.

    2011-01-01

    This study reports a series of 14 new iodinated and fluorinated compounds offering both early imaging ( 123 I, 124 I, 18 F) and systemic treatment ( 131 I) of melanoma potentialities. The biodistribution of each 125 I-labeled tracer was evaluated in a model of melanoma B16F0-bearing mice, using in vivo serial γ scintigraphic imaging. Among this series, [ 125 I]56 emerged as the most promising compound in terms of specific tumoral uptake and in vivo kinetic profile. To validate our multimodality concept, the radiosynthesis of [ 18 F]56 was then optimized and this radiotracer has been successfully investigated for in vivo PET imaging of melanoma in B16F0- and B16F10-bearing mouse model. The therapeutic efficacy of [ 131 I]56 was then evaluated in mice bearing subcutaneous B16F0 melanoma, and a significant slow down in tumoral growth was demonstrated. These data support further development of 56 for PET imaging ( 18 F, 124 I) and targeted radionuclide therapy ( 131 I) of melanoma using a single chemical structure. (authors)

  11. Improving Photoconductance of Fluorinated Donors with Fluorinated Acceptors

    Energy Technology Data Exchange (ETDEWEB)

    Garner, Logan E.; Larson, Bryon; Oosterhout, Stefan; Owczarczyk, Zbyslaw; Olson, Dana C.; Kopidakis, Nikos; Boltalina, Olga V.; Strauss, Steven H.; Braunecker, Wade A.

    2016-11-21

    This work investigates the influence of fluorination of both donor and acceptor materials on the generation of free charge carriers in small molecule donor/fullerene acceptor BHJ OPV active layers. A fluorinated and non-fluorinated small molecule analogue were synthesized and their optoelectronic properties characterized. The intrinsic photoconductance of blends of these small molecule donors was investigated using time-resolved microwave conductivity. Blends of the two donor molecules with a traditional non-fluorinated fullerene (PC70BM) as well as a fluorinated fullerene (C60(CF3)2-1) were investigated using 5% and 50% fullerene loading. We demonstrate for the first time that photoconductance in a 50:50 donor:acceptor BHJ blend using a fluorinated fullerene can actually be improved relative to a traditional non-fluorinated fullerene by fluorinating the donor molecule as well.

  12. Quantitative monitoring of the fluorination process by neutron counting

    International Nuclear Information System (INIS)

    Russo, P.A.; Appert, Q.D.; Biddle, R.S.; Kelley, T.A.; Martinez, M.M.; West, M.H.

    1993-01-01

    Plutonium metal is produced by reducing PuF 4 prepared from PuO 2 by fluorination. Both fluorination and reduction are batch processes at the Los Alamos Plutonium Facility. The conversion of plutonium oxide to fluoride greatly increases the neutron yield, a result of the high cross section for alpha-neutron (α,n) reactions on fluorine targets compared to the (more than 100 times) smaller α,n yield on oxygen targets. Because of the increase, total neutron counting can be used to monitor the conversion process. This monitoring ability can lead to an improved metal product, reduced scrap for recycle, waste reduction, minimized reagent usage, and reduce personnel radiation exposures. A new stirred-bed fluorination process has been developed simultaneously with a recent evaluation of an automated neutron-counting instrument for quantitative process monitoring. Neutrons are counted with polyethylene-moderated 3 He-gas proportional counters. Results include a calibration of the real-time neutron-count-rate indicator for the extent of fluorination using reference values obtained from destructive analysis of samples from the blended fluoroinated batch

  13. GLUT1-mediated selective tumor targeting with fluorine containing platinum(II) glycoconjugates.

    Science.gov (United States)

    Liu, Ran; Fu, Zheng; Zhao, Meng; Gao, Xiangqian; Li, Hong; Mi, Qian; Liu, Pengxing; Yang, Jinna; Yao, Zhi; Gao, Qingzhi

    2017-06-13

    Increased glycolysis and overexpression of glucose transporters (GLUTs) are physiological characteristics of human malignancies. Based on the so-called Warburg effect, 18flurodeoxyglucose-positron emission tomography (FDG-PET) has successfully developed as clinical modality for the diagnosis and staging of many cancers. To leverage this glucose transporter mediated metabolic disparity between normal and malignant cells, in the current report, we focus on the fluorine substituted series of glucose, mannose and galactose-conjugated (trans-R,R-cyclohexane-1,2-diamine)-2-flouromalonato-platinum(II) complexes for a comprehensive evaluation on their selective tumor targeting. Besides highly improved water solubility, these sugar-conjugates presented improved cytotoxicity than oxaliplatin in glucose tranporters (GLUTs) overexpressing cancer cell lines and exhibited no cross-resistance to cisplatin. For the highly water soluble glucose-conjugated complex (5a), two novel in vivo assessments were conducted and the results revealed that 5a was more efficacious at a lower equitoxic dose (70% MTD) than oxaliplatin (100% MTD) in HT29 xenograft model, and it was significantly more potent than oxaliplatin in leukemia-bearing DBA/2 mice as well even at equimolar dose levels (18% vs 90% MTD). GLUT inhibitor mediated cell viability analysis, GLUT1 knockdown cell line-based cytotoxicity evaluation, and platinum accumulation study demonstrated that the cellular uptake of the sugar-conjugates was regulated by GLUT1. The higher intrinsic DNA reactivity of the sugar-conjugates was confirmed by kinetic study of platinum(II)-guanosine adduct formation. The mechanistic origin of the antitumor effect of the fluorine complexes was found to be forming the bifunctional Pt-guanine-guanine (Pt-GG) intrastrand cross-links with DNA. The results provide a rationale for Warburg effect targeted anticancer drug design.

  14. Fluorine 18 in tritium generator ceramic materials

    International Nuclear Information System (INIS)

    Jimenez-Becerril, J.; Bosch, P.; Bulbulian, S.

    1992-01-01

    At present time, the ceramic materials generators of tritium are very interesting mainly by the necessity of to found an adequate product for its application as fusion reactor shielding. The important element that must contain the ceramic material is the lithium and especially the isotope with mass=6. The tritium in these materials is generated by neutron irradiation, however, when the ceramic material contains oxygen, then is generated too fluorine 18 by the action of energetic atoms of tritium in recoil on the 16 O, as it is showed in the next reactions: 1) 6 Li (n, α) 3 H ; 2) 16 O( 3 H, n) 18 F . In the present work was studied the LiAlO 2 and the Li 2 O. The first was prepared in the laboratory and the second was used such as it is commercially expended. In particular the interest of this work is to study the chemical behavior of fluorine-18, since if it would be mixed with tritium it could be contaminate the fusion reactor fuel. The ceramic materials were irradiated with neutrons and also the chemical form of fluorine-18 produced was studied. It was determined the amount of fluorine-18 liberated by the irradiated materials when they were submitted to extraction with helium currents and argon-hydrogen mixtures and also it was investigated the possibility about the fluorine-18 was volatilized then it was mixed so with the tritium. Finally it was founded that the liberated amount of fluorine-18 depends widely of the experimental conditions, such as the temperature and the hydrogen amount in the mixture of dragging gas. (Author)

  15. Fluorination of polymers

    International Nuclear Information System (INIS)

    Du Toit, F.J.

    1991-01-01

    Polyethylene and polypropylene were reacted with elemental fluorine under carefully controlled conditions to produce fluorocarbon polymers. Fluorination of polymer films resulted in fluorination of only the outer surfaces of the films, while the reaction of elemental fluorine with powdered hydrocarbon polymers produced perfluorocarbon polymers. Existing and newly developed techniques were used to characterize the fluorinated polymers. It was shown that the degree of fluorination was influenced by the surface area of the hydrocarbon material, the concentration, of the fluorine gas, and the time and temperature of fluorination. A fluidized-bed reactor used for the fluorination of polymer powders effectively increased the reaction rate. The surface tension and the oxygen permeability of the fluorinated polymers were studied. The surface tension of hydrocarbon polymers was not influenced by different solvents, but the surface tension of fluorinated polymers was affected by the type of solvent that was used. There were indications that the surface tension was affected by oxygen introduced into the polymer surface during fluorination. Fluorination lowered the permeability of oxygen through hydrocarbon polymers. 55 refs., 51 figs., 26 tabs

  16. Synthetic biology approaches to fluorinated polyketides.

    Science.gov (United States)

    Thuronyi, Benjamin W; Chang, Michelle C Y

    2015-03-17

    The catalytic diversity of living systems offers a broad range of opportunities for developing new methods to produce small molecule targets such as fuels, materials, and pharmaceuticals. In addition to providing cost-effective and renewable methods for large-scale commercial processes, the exploration of the unusual chemical phenotypes found in living organisms can also enable the expansion of chemical space for discovery of novel function by combining orthogonal attributes from both synthetic and biological chemistry. In this context, we have focused on the development of new fluorine chemistry using synthetic biology approaches. While fluorine has become an important feature in compounds of synthetic origin, the scope of biological fluorine chemistry in living systems is limited, with fewer than 20 organofluorine natural products identified to date. In order to expand the diversity of biosynthetically accessible organofluorines, we have begun to develop methods for the site-selective introduction of fluorine into complex natural products by engineering biosynthetic machinery to incorporate fluorinated building blocks. To gain insight into how both enzyme active sites and metabolic pathways can be evolved to manage and select for fluorinated compounds, we have studied one of the only characterized natural hosts for organofluorine biosynthesis, the soil microbe Streptomyces cattleya. This information provides a template for designing engineered organofluorine enzymes, pathways, and hosts and has allowed us to initiate construction of enzymatic and cellular pathways for the production of fluorinated polyketides.

  17. Hydrogen and fluorine in the surfaces of lunar samples

    International Nuclear Information System (INIS)

    Leich, D.A.; Goldberg, R.H.; Burnett, D.S.; Tombrello, T.A.

    1974-04-01

    The resonant nuclear reaction F-19 (p, alpha gamma)O-16 was used to perform depth sensitive analyses for both fluorine and hydrogen in lunar samples. The resonance at 0.83 MeV (center-of-mass) in this reaction was applied to the measurement of the distribution of trapped solar protons in lunar samples to depths of about 1 / 2 micrometer. These results are interpreted in terms of terrestrial H 2 O surface contamination and a redistribution of the implanted solar H which has been influenced by heavy radiation damage in the surface region. Results are also presented for an experiment to test the penetration of H 2 O into laboratory glass samples which have been irradiated with O-16 to simulate the radiation damaged surfaces of lunar glasses. Fluorine determinations were performed in a 1 pm surface layer on lunar samples using the same F-19(alpha gamma)O-16 resonance. The data are discussed from the standpoint of lunar fluorine and Teflon contamination. (U.S.)

  18. Production of fluorine-18 from eithium carbonate in a research reactor

    International Nuclear Information System (INIS)

    Gasiglia, H.T.

    1978-01-01

    A method for the production of fluorine-18 in a research reactor, from irradiated lithium carbonate, is described. Fluorine-18 is separated from impurities in a alumina column, which is an appropriate procedure for its production as a carrier-free radioisotope for oral administration. Characteristics of the product, when fluorine is separated from irradiated target in an usual alumina column, are compared with those when fluorine is separated in a previously calcined(1000 0 C) alumina column: Yields of chemical separation and chemical forms of radioisotope obtained are studied. Fluorine elution is investigated for several eluant concentrations and the use of a lower concentrated eluant is emphasized. Purity degree of fluorine-18 solutions separated. A routine production procedure is determined by irradiating enriched lithium carbonate (95% 6 Li). Theoretical yields are compared with fluorine-18 production yields obtained in several irradiations [pt

  19. Fluorinated Compounds in US Fast Food Packaging | Science ...

    Science.gov (United States)

    Per- and polyfluoroalkyl substances (PFASs) are highly persistent synthetic chemicals, some of which have been associated with cancer, developmental toxicity, immunotoxicity, and other health effects. PFASs in grease-resistant food packaging can leach into food and increase dietary exposure. We collected ∼400 samples of food contact papers, paperboard containers, and beverage containers from fast food restaurants throughout the United States and measured total fluorine using particle-induced γ-ray emission (PIGE) spectroscopy. PIGE can rapidly and inexpensively measure total fluorine in solid-phase samples. We found that 46% of food contact papers and 20% of paperboard samples contained detectable fluorine (>16 nmol/cm2). Liquid chromatography/high-resolution mass spectrometry analysis of a subset of 20 samples found perfluorocarboxylates, perfluorosulfonates, and other known PFASs and/or unidentified polyfluorinated compounds (based on nontargeted analysis). The total peak area for PFASs was higher in 70% of samples (10 of 14) with a total fluorine level of >200 nmol/cm2 compared to six samples with a total fluorine level of food packaging demonstrates their potentially significant contribution to dietary PFAS exposure and envi

  20. Fluorine

    Science.gov (United States)

    Hayes, Timothy S.; Miller, M. Michael; Orris, Greta J.; Piatak, Nadine M.; Schulz, Klaus J.; DeYoung,, John H.; Seal, Robert R.; Bradley, Dwight C.

    2017-12-19

    is likely to become a source of commercially produced fluorine as a primary product as long as supplies from relatively thick and high-grade fluorite deposits continue to be available.At least seven classes (which include one subclass) of hydrothermal fluorite deposits are recognized; they are classified according to their tectonic and (or) magmatic settings, as follows: (1) carbonatite-related fluorspar deposits; (2) alkaline-intrusion-related fluorspar deposits; (3) alkaline-volcanic-related epithermal fluorspar deposits; (4) Mississippi Valley-type fluorspar deposits (and a subclass of salt-related carbonate-hosted fluorspar deposits); (5) fluorspar deposits related to strongly differentiated granites; (6) subalkaline-volcanic-related epithermal fluospar deposits; and (7) fluorspar deposits that appear to be conformable within tuffaceous limy lacustrine sediments. An eighth class (not hydrothermal) is that of fluorspar deposits concentrated in soils and weathered zones; that is, residual fluorspar deposits. Generally, fluorspar deposits related to strongly differentiated granites have larger tonnages and lower grades than carbonatite-related fluorspar deposits, which, in turn, have larger tonnages and lower grades than fluorspar vein deposits from various other classes.The United States has a few identified resources of fluorspar, most notably the Klondike II property in the Illinois- Kentucky fluorspar district located about 8 kilometers southwest of Salem, Kentucky, which has a large vein that contains at least 1.6 million metric tons at a grade of 60 percent CaF2 (Feytis, 2009). Additional fluorspar resources of lower grade but larger tonnage have been identified at Hicks Dome in the Illinois-Kentucky fluorspar district and at Lost River near the western tip of the Seward Peninsula in Alaska, along with a couple of dozen smaller, higher grade resources.Internationally, new mines that either opened before the beginning of 2013 or were scheduled to open soon

  1. Proton activation analysis for the measurement of fluorine in food stamples

    International Nuclear Information System (INIS)

    Shroy, R.E.; Kraner, H.W.; Jones, K.W.; Jacobson, J.S.; Heller, L.I.

    1982-01-01

    We have developed a proton activation method for the determination of 19 F in food samples based on the use of the 19 F(p,p'γ) 19 F reaction. Special techniques were used to obtain reproducible target conditions and low background values. Two calibration techniques not dependent on chemical analyses for fluorine gave values comparable to a third method which employed vegetation and cellulose containing from about 20 to 500 ppM (μg/g dry weight) of fluorine. Results are reported for FDA market basket food samples containing less than 10 ppM fluorine (dry weight) and are compared with the values obtained with two methods of chemical analysis for both vegetation and food samples. Proton activation and chemical methods gave values in excellent agreement for the fluorine content of the high fluorine vegetation samples; however, substantial disagreement remains for the low-fluorine food samples

  2. Fluorine determination in human healthy and carious teeth using the PIGE technique

    International Nuclear Information System (INIS)

    Carvalho, M.L.; Karydas, A.G.; Casaca, C.; Zarkadas, Ch.; Paradellis, Th.; Kokkoris, M.; Nsouli, B.; Cunha, A.S.

    2001-01-01

    The purpose of this study is to determine and compare the fluorine concentration in human teeth from two different populations, living in the Portuguese quite isolated islands of Acores: S. Miguel and Terceira. Both populations have similar dietary habits, similar occupational activities, mostly rural, and the age of both populations is more or less the same, around 40 years. No chronic diseases were registered in any of the donors. The two groups are exposed to different levels of fluorine in drinking water. Terceira island has moderate fluorine concentration levels (1-2 μg g -1 ) while S. Miguel island is known for the high fluorine concentration levels in its water (>3 μg g -1 ), especially in one area known as Furnas. Thirty-three teeth, 17 healthy and 16 carious without restoration (14 incisors and canines, 7 premolars and 12 molars), were collected and analyzed for the determination of fluorine concentration in the dentine region, using the nuclear reaction 19 F(p,αγ) 16 O. The teeth were cross-sectioned along the vertical plane and polished, in order to obtain a smooth and plane surface of about 1 mm thickness. In this work an association between caries prevalence and fluorine content of drinking water is discussed and the variation of fluorine concentration among different types of teeth (canines and incisors, premolars, molars) and physical state (carious and non-carious) is examined

  3. Fluorine Abundances of AGB Stars in Stellar Clusters

    Science.gov (United States)

    Hren, A.; Lebzelter, T.; Aringer, B.; Hinkle, K. H.; Nowotny, W.

    2015-08-01

    We have measured the abundance of fluorine, [F/Fe], in a number of AGB stars in stellar clusters have correlated the results with their C/O ratios. This allows us to investigate the change in the fluorine abundance along the evolution on the giant branch. The target list includes primarily O-rich stars in three LMC globular clusters - NGC 1806, NGC 1846 and NGC 1978 - as well as Rup 106 and 47 Tuc in our Galaxy. The observational data were obtained with the PHOENIX spectrograph, and the COMA code was used for modelling the synthetic spectra. Within individual clusters, we find consistent [F/Fe] values at similar C/O for most of our target stars.

  4. Depleted uranium processing and fluorine extraction

    International Nuclear Information System (INIS)

    Laflin, S.T.

    2010-01-01

    Since the beginning of the nuclear era, there has never been a commercial solution for the large quantities of depleted uranium hexafluoride generated from uranium enrichment. In the United States alone, there is already in excess of 1.6 billion pounds (730 million kilograms) of DUF_6 currently stored. INIS is constructing a commercial uranium processing and fluorine extraction facility. The INIS facility will convert depleted uranium hexafluoride and use it as feed material for the patented Fluorine Extraction Process to produce high purity fluoride gases and anhydrous hydrofluoric acid. The project will provide an environmentally friendly and commercially viable solution for DUF_6 tails management. (author)

  5. Determination by transfer reaction of alpha widths in fluorine for astrophysical interest

    International Nuclear Information System (INIS)

    Oliveira Santos, F. de

    1995-04-01

    The nucleosynthesis of fluorine is not known. Several astrophysical models predict the alpha radiative capture onto N 15 as the main fluorine production reaction. In the expression of the reaction rate, one parameter is missing: the alpha width of the resonance on the E = 4.377 MeV level in fluorine. A direct measurement is excluded due to the very low cross-section expected. We have determined this alpha width using a transfer reaction followed by analyses with FR-DWBA (Finite Range Distorted Wave Born Approximation) in a simple cluster alpha model. This experiment was carried out with a Li 7 beam with E = 28 MeV onto a N 15 gas target. The 16 first levels were studied. Spectroscopic factors were extracted for most of them. Alpha widths for unbound levels were determined. Many alpha width were compared with known values from direct reaction and the differences lie within the uncertainty range (factor 2). The alpha width for the E = 4.377 MeV level was determined (Γ α = 1.5*10 -15 MeV), its value is about 60 times weaker than the used value. The influence of our new rate was studied in AGB (Asymptotic Giant Branch) stars during thermal pulses. In this model the alteration is sensitive. (author)

  6. Clinical perspectives of hybrid proton-fluorine magnetic resonance imaging and spectroscopy.

    Science.gov (United States)

    Wolters, Martijn; Mohades, Seyede G; Hackeng, Tilman M; Post, Mark J; Kooi, Marianne E; Backes, Walter H

    2013-05-01

    The number of applications of fluorine 19 (19F) magnetic resonance (MR) imaging and spectroscopy in biomedical and clinical research is steadily growing. The 100% natural abundance of fluorine and its relatively high sensitivity for MR (83% to that of protons) make it an interesting nucleus for a wide range of MR applications. Fluorinated contrast media have a number of advantages over the conventionally used gadolinium-based or iron-based contrast agents. The absence of an endogenous fluorine background intensity in the human body facilitates reliable quantification of fluorinated contrast medium or drugs. Anatomy can be visualized separately with proton MR imaging, creating the application of hybrid hydrogen 1 (1H)/19F MR imaging. The availability of 2 channels (ie, the 1H and 19F channels) enables dual-targeted molecular imaging. Recently, novel developments have emerged on fluorine-based contrast media in preclinical studies and imaging techniques. The developments in fluorine MR seem promising for clinical applications, with contributions in therapy monitoring, assessment of lung function, angiography, and molecular imaging. This review outlines the translation from recent advances in preclinical MR imaging and spectroscopy to future perspectives of clinical hybrid 1H/19/F MR imaging applications.

  7. Diagnosis of fluorine damage. II. Estimation of fluorine-containing emission by demonstration of the storage of fluorine in the cortex of trees

    Energy Technology Data Exchange (ETDEWEB)

    Lampadius, F

    1960-01-01

    The thorium titration method was employed for estimating the fluorine content of the cortex. The question as to what fluorine content in the bark is to be regarded as natural has not yet been exactly established. Various indications in the literature lead to the assumption that the storage in the bark of cortex of the trees from an area without fluorine-containing emissions gave <0.2 mg. F/100 ml. distillate in all samples. This fluorine content was initially taken as the limit for the natural fluorine content of the cortex. The investigation of the fluorine content of the cortex extended only to the bark and was calculated in mg. of F in 5 g. of air-dry ground bark. The results show a clear relation between the quantity of fluorine stored in the bark and the distance of the point of sampling from the source of emission and its disposition to it. With high fluorine emission and unfavorable wind conditions in the affected area, fluorine was found in considerable quantities in the bark at places quite a long way from the source of emission. The qualitative estimation of the fluorine content of gassed leaves and needles by the crystal precipitation method, and the quantitative estimation of the fluorine content of gassed bark by the thorium titration method led to results that were in good agreement, so it was possible in this way to define the area in which damage may occur with reliable accuracy.

  8. Rapid synthesis of maleimide functionalized fluorine-18 labeled prosthetic group using "radio-fluorination on the Sep-Pak" method.

    Science.gov (United States)

    Basuli, Falguni; Zhang, Xiang; Jagoda, Elaine M; Choyke, Peter L; Swenson, Rolf E

    2018-03-25

    Following our recently published fluorine-18 labeling method, "Radio-fluorination on the Sep-Pak", we have successfully synthesized 6-[ 18 F]fluoronicotinaldehyde by passing a solution (1:4 acetonitrile: t-butanol) of its quaternary ammonium salt precursor, 6-(N,N,N-trimethylamino)nicotinaldehyde trifluoromethanesulfonate (2), through a fluorine-18 containing anion exchange cartridge (PS-HCO 3 ). Over 80% radiochemical conversion was observed using 10 mg of precursor within 1 minute. The [ 18 F]fluoronicotinaldehyde ([ 18 F]5) was then conjugated with 1-(6-(aminooxy)hexyl)-1H-pyrrole-2,5-dione to prepare the fluorine-18 labeled maleimide functionalized prosthetic group, 6-[ 18 F]fluoronicotinaldehyde O-(6-(2,5-dioxo-2,5-dihydro-1H-pyrrol-1-yl)hexyl) oxime, 6-[ 18 F]FPyMHO ([ 18 F]6). The current Sep-Pak method not only improves the overall radiochemical yield (50 ± 9%, decay-corrected, n = 9) but also significantly reduces the synthesis time (from 60-90 minutes to 30 minutes) when compared with literature methods for the synthesis of similar prosthetic groups. Published 2018. This article is a U.S. Government work and is in the public domain in the USA.

  9. Plants and fluorine

    Energy Technology Data Exchange (ETDEWEB)

    Garber, K

    1962-01-01

    A report is given about the contents of fluorine in soil and different plants. It is stated that spinach and several spice herbages are rich in fluorine (0.98 - 21.8 ppm) while in other plants are not more than 5 ppm maximum. An exception is found in Thea sinensis with 178 ppm and more. Tea is, therefore, a source of fluorine for contamination of the human body. An increase of the fluorine contents of plants by manuring with F-salts or mineral manure is possible but of long duration. Damage to plants by uptake of fluorine from soil as well as in a gaseous condition from the atmosphere are described. The rate of damage is related to the type of soil in which the plant is grown.

  10. Molten Fluoride Salts as Fluorine Source in the Production of Molecular Sidebands

    CERN Document Server

    Shoaib, Maryam

    2015-01-01

    The medically important isotopes Yttrium and Zirconium were selected for fluorination. After this, 30 $\\mu$g of NaF as fluorine source was put in mass marker in the target unit. It was heated and plasma ion source was used to ionize the vapors. The ion source efficiency was 27\\%. It was observed that the yield for fluorine was not enough for various mass marker temperatures (0 A - 40 A) and ion source temperatures (2000 $^{o}$C - 2150$^{o}$C) to be reacted with other elements. The optimum temperatures of mass marker was observed here as 35 A. The project can be proceeded for further high temperatures and for different fluorine sources.

  11. Effect of the fluorination technique on the surface-fluorination patterning of double-walled carbon nanotubes

    Directory of Open Access Journals (Sweden)

    Lyubov G. Bulusheva

    2017-08-01

    Full Text Available Double-walled carbon nanotubes (DWCNTs are fluorinated using (1 fluorine F2 at 200 °C, (2 gaseous BrF3 at room temperature, and (3 CF4 radio-frequency plasma functionalization. These have been comparatively studied using transmission electron microscopy and infrared, Raman, X-ray photoelectron, and near-edge X-ray absorption fine structure (NEXAFS spectroscopy. A formation of covalent C–F bonds and a considerable reduction in the intensity of radial breathing modes from the outer shells of DWCNTs are observed for all samples. Differences in the electronic state of fluorine and the C–F vibrations for three kinds of the fluorinated DWCNTs are attributed to distinct local surroundings of the attached fluorine atoms. Possible fluorine patterns realized through a certain fluorination technique are revealed from comparison of experimental NEXAFS F K-edge spectra with quantum-chemical calculations of various models. It is proposed that fluorination with F2 and BrF3 produces small fully fluorinated areas and short fluorinated chains, respectively, while the treatment with CF4 plasma results in various attached species, including single or paired fluorine atoms and –CF3 groups. The results demonstrate a possibility of different patterning of carbon surfaces through choosing the fluorination method.

  12. Fluorine content of Fukien teas

    Energy Technology Data Exchange (ETDEWEB)

    Wang, T H; Lin, C S; Wu, C; Liao, C E; Lin, H Y

    1949-01-01

    A study was made on the fluorine contents of Fukien teas and analytical results indicated the amount ranged from 5.7 to 35.5 mg. per 100 grams of dry tea. The high content of fluorine was found not to be due to contamination nor to the high fluorine content of the soil in which the tea plant was cultivated. Differences in the methods of manufacture had no effect on the fluorine content of the final products. Different varieties of tea plants have different powers to absorb fluorine from the soil. Of the two varieties of tea plants studied, Shui-Sen leaves possessed the lower fluorine content. Age of the tea leaves exerted an important influence on the fluorine content, the older leaves containing considerably more fluorine than the younger. The amount of fluorine that may be extracted in a two per cent infusion varies from 29.1 per cent for fresh leaves to 50.5 per cent for black tea. The process of roasting and rolling rendered the fluorine more soluble, hence the amount extracted increased in green tea. Fermentation further increased the extractability of the fluorine; thus the amount extracted was the highest in black tea, which was fermented, less in the semi-fermented oolong tea, and least in the unfermented green tea. The extractability of fluorine was also increased with age of the leaves.

  13. Fluorine determination in diet samples using cyclic NAA and PIGE analysis

    International Nuclear Information System (INIS)

    Farooqi, A.S.; Arshed, W.; Akanle, O.A.; Spyrou, N.M.

    1991-01-01

    Fluorine is an important trace element for life and human well-being. Food, in general, provides about 40% of the fluorine intake in the human body. In order to measure fluorine levels in human diet samples, Instrumental Neutron Activation Analysis (INAA) and Proton Induced Gamma-Ray Emission (PIGE) analysis were used. Thermal and epithermal cyclic NAA methods were applied, employing the 19 F(n,γ) 20 F and 19 F(n,p) 19 O nuclear reactions for the determination of fluorine, respectively. Corrections were made for the sodium matrix interference caused by the 23 Na(n,α) 20 F threshold reaction in the case of thermal cyclic NAA and for the oxygen interference via 18 O(n,γ) 19 O reaction when using the epithermal cyclic NAA method. The fluorine contents of diet samples were also determined by PIGE analysis making use of the resonance reaction 19 F(p,αγ) 16 O at 872 KeV. Thermal cyclic NAA was found to be most suitable for the determination of low concentrations of fluorine in the diet samples, with a detection limit of less than 10 μg/g

  14. Fluorination of uranium compounds by gaseous bromine trifluoride and a bromine-fluorine mixture

    International Nuclear Information System (INIS)

    Sakurai, Tsutomu

    1976-03-01

    This report summarizes the studies of fluorination of uranium compounds by gaseous BrF 3 and a Br 2 -F 2 mixture, which were carried out in Fluorine Chemistry Laboratory of JAERI in connection with the reprocessing method of nuclear fuels. Although thermodynamically more stable than F 2 , BrF 3 has higher reactivity at relatively low temperatures: fluorination of uranium compounds can be carried out at 100 0 -- 200 0 C by using gaseous BrF 3 . This fluorination temperature is lower than those of F 2 , BrF 5 , ClF and SF 4 , and close to that of ClF 3 . The usage of BrF 3 has however the drawbacks that it requires additional devices to heat the corrosive liquid and to remove Br 2 produced as a byproduct. In order to eliminate the difficulties indicated, a new method of fluorination was developed - the use of a Br 2 -F 2 mixture. Addition of small amounts of Br 2 to the fluorine flow (about 6% in relation to the fluorine concentration) gives marked effects on the rate of fluorination. (auth.)

  15. The rare fluorinated natural products and biotechnological prospects for fluorine enzymology.

    Science.gov (United States)

    Chan, K K Jason; O'Hagan, David

    2012-01-01

    Nature has hardly evolved a biochemistry of fluorine although there is a low-level occurrence of fluoroacetate found in selected tropical and subtropical plants. This compound, which is generally produced in low concentrations, has been identified in the plants due to its high toxicity, although to date the biosynthesis of fluoroacetate in plants remains unknown. After that, fluorinated entities in nature are extremely rare, and despite increasingly sophisticated screening and analytical methods applied to natural product extraction, it has been 25 years since the last bona fide fluorinated natural product was identified from an organism. This was the reported isolation of the antibiotic 4-fluorothreonine and the toxin fluoroacetate in 1986 from Streptomyces cattleya. This bacterium has proven amenable to biochemical investigation, the fluorination enzyme (fluorinase) has been isolated and characterized, and the biosynthetic pathway to these bacterial metabolites has been elucidated. Also the fluorinase gene has been cloned into a host bacterium (Salinispora tropica), and this has enabled the de novo production of a bioactive fluorinated metabolite from fluoride ion, by genetic engineering. Biotechnological manipulation of the fluorinase offers the prospects for the assembly of novel fluorinated metabolites by fermentation technology. This is particularly attractive, given the backdrop that about 15-20% of pharmaceuticals licensed each year (new chemical entities) contain a fluorine atom. Copyright © 2012 Elsevier Inc. All rights reserved.

  16. Study on characteristics of fluorine concentration profiles in fluorosis sheep teeth and bones

    International Nuclear Information System (INIS)

    Song Shizhan; Shui Yongqing; Wang Lianbin; Yang Huazhong; Zhai Xujiu; Wang Yuwen; Lei Guilin

    1990-01-01

    The deep-ward fluorine concentration profiles in fluorosis sheep teeth and bones were determined through 19 F(p, αγ) 16 O reaction with proton energy 3.2 MeV. The profiles show a diffusion characteristic, indicating that the fluorine ion diffusion may be one of the important factors that brought forth pathological changes of livestock teeth and bones

  17. Synthesis and tissue distribution of fluorine-18 labeled trifluorohexadecanoic acids. Considerations in the development of metabolically blocked myocardial imaging agents

    International Nuclear Information System (INIS)

    Pochapsky, S.S.; Katzenellenbogen, J.A.; VanBrocklin, H.F.; Welch, M.J.

    1990-01-01

    A versatile method for the synthesis of trifluoro fatty acids, potential metabolically blocked myocardial imaging agents, has been developed. Two trifluorohexadecanoic (palmitic) acids have been prepared [6,6,16-trifluorohexadecanoic acid (I) and 7,7,16-trifluorohexadecanoic acid (II)], each of which bears two of the fluorine atoms as a gem-difluoromethylene unit on the fatty acid chain (at C-6 or C-7) and the third at the ω (C-16) position. The metabolic stability of carbon-fluorine bonds suggests the gem-difluoro group may block the β-oxidation pathway, while the terminal fluorine could be the site for labeling with fluorine-18. The convergent synthetic approach utilizes a 2-lithio-1,3-dithiane derived from 10-undecenal or 9-decenal, which is alkylated with the OBO (oxabicyclooctyl) ester of 5-bromopentanoic acid or 6-bromohexanoic acid, respectively. Hydroboration-oxidation and alcohol protection are followed by halofluorination to convert the 1,3-dithiane system to a gem-difluoro group. The third fluorine is introduced by fluoride ion displacement of a trifluoromethanesulfonate. This synthesis is adapted to the labeling of these trifluoro fatty acids with the short-lived radionuclide fluorine-18 (t 1/2 = 110 min), with the third fluorine introduced as fluoride ion in the penultimate step. The radiochemical syntheses proceed in 3-34% radiochemical yield (decay corrected), with an overall synthesis and purification time of 90 min. Tissue distribution studies in rats were performed with I and II, as well as with 16-[ 18 F]fluoropalmitic acid (III), [ 11 C]palmitic acid, and [ 11 C]octanoic acid. The heart uptake of the fluoropalmitic acids decreases with substitution, the 2-min activity level for 16-fluoropalmitic acid being 65% and that for both 6,6,16-and 7,7,17-trifluoropalmitic acids being 30% that of palmitic acid

  18. Fluorinated Phosphorene: Electrochemical Synthesis, Atomistic Fluorination, and Enhanced Stability.

    Science.gov (United States)

    Tang, Xian; Liang, Weiyuan; Zhao, Jinlai; Li, Zhongjun; Qiu, Meng; Fan, Taojian; Luo, Crystal Shaojuan; Zhou, Ye; Li, Yu; Guo, Zhinan; Fan, Dianyuan; Zhang, Han

    2017-12-01

    Phosphorene has attracted great interest due to its unique electronic and optoelectronic properties owing to its tunable direct and moderate band-gap in association with high carrier mobility. However, its intrinsic instability in air seriously hinders its practical applications, and problems of technical complexity and in-process degradation exist in currently proposed stabilization strategies. A facile pathway in obtaining and stabilizing phosphorene through a one-step, ionic liquid-assisted electrochemical exfoliation and synchronous fluorination process is reported in this study. This strategy enables fluorinated phosphorene (FP) to be discovered and large-scale, highly selective few-layer FP (3-6 atomic layers) to be obtained. The synthesized FP is found to exhibit unique morphological and optical characteristics. Possible atomistic fluorination configurations of FP are revealed by core-level binding energy shift calculations in combination with spectroscopic measurements, and the results indicate that electrolyte concentration significantly modulates the fluorination configurations. Furthermore, FP is found to exhibit enhanced air stability thanks to the antioxidation and antihydration effects of the introduced fluorine adatoms, and demonstrate excellent photothermal stability during a week of air exposure. These findings pave the way toward real applications of phosphorene-based nanophotonics. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Fluorine in medicinal chemistry.

    Science.gov (United States)

    Swallow, Steven

    2015-01-01

    Since its first use in the steroid field in the late 1950s, the use of fluorine in medicinal chemistry has become commonplace, with the small electronegative fluorine atom being a key part of the medicinal chemist's repertoire of substitutions used to modulate all aspects of molecular properties including potency, physical chemistry and pharmacokinetics. This review will highlight the special nature of fluorine, drawing from a survey of marketed fluorinated pharmaceuticals and the medicinal chemistry literature, to illustrate key concepts exploited by medicinal chemists in their attempts to optimize drug molecules. Some of the potential pitfalls in the use of fluorine will also be highlighted. © 2015 Elsevier B.V. All rights reserved.

  20. Bacterial degradation of fluorinated compounds

    NARCIS (Netherlands)

    Ferreira, Maria Isabel Martins

    2007-01-01

    Fluorine was produced for the first time by Henri Moissan in 1886, for which he received the Nobel Prize in chemistry in 1906. The unique properties of fluorine have led to the development of fluorine chemistry and numerous synthetic fluorinated compounds have been prepared and tested for different

  1. Emergence of Dirac and quantum spin Hall states in fluorinated monolayer As and AsSb

    KAUST Repository

    Zhang, Qingyun

    2016-01-21

    Using first-principles calculations, we investigate the electronic and vibrational properties of monolayer As and AsSb. While the pristine monolayers are semiconductors (direct band gap at the Γ point), fluorination results in Dirac cones at the K points. Fluorinated monolayer As shows a band gap of 0.16 eV due to spin-orbit coupling, and fluorinated monolayer AsSb a larger band gap of 0.37 eV due to inversion symmetry breaking. Spin-orbit coupling induces spin splitting similar to monolayer MoS2. Phonon calculations confirm that both materials are dynamically stable. Calculations of the edge states of nanoribbons by the tight-binding method demonstrate that fluorinated monolayer As is topologically nontrivial in contrast to fluorinated monolayer AsSb.

  2. Versatile synthesis and biological evaluation of novel 3’-fluorinated purine nucleosides

    Directory of Open Access Journals (Sweden)

    Hang Ren

    2015-12-01

    Full Text Available A unified synthetic strategy accessing novel 3'-fluorinated purine nucleoside derivatives and their biological evaluation were achieved. Novel 3’-fluorinated analogues were constructed from a common 3’-deoxy-3’-fluororibofuranose intermediate. Employing Suzuki and Stille cross-coupling reactions, fifteen 3’-fluororibose purine nucleosides 1–15 and eight 3’-fluororibose 2-chloro/2-aminopurine nucleosides 16–23 with various substituents at position 6 of the purine ring were efficiently synthesized. Furthermore, 3’-fluorine analogs of natural products nebularine and 6-methylpurine riboside were constructed via our convergent synthetic strategy. Synthesized nucleosides were tested against HT116 (colon cancer and 143B (osteosarcoma cancer tumor cell lines. We have demonstrated 3’-fluorine purine nucleoside analogues display potent tumor cell growth inhibition activity at sub- or low micromolar concentration.

  3. Study of copper fluorination

    International Nuclear Information System (INIS)

    Gillardeau, J.

    1967-02-01

    This report deals with the action of fluorine on copper. Comprehensive descriptions are given of the particular technological methods and of the preparation of the reactants. This fluorination reaction has been studied at medium and low fluorine pressures. A nucleation and growth phenomenon is described. The influence of a pollution of the gas phase on the fluorination process is described. The solid-state reaction between cupric fluoride and cooper has also been studied. A special study has been made of the growth of copper deposits by thermal decomposition of gaseous fluorides. (author) [fr

  4. Compendium of fluorine data

    International Nuclear Information System (INIS)

    Detamore, J.A.

    1983-01-01

    Research was conducted to locate information about fluorine. This information includes chemical and physical properties of fluorine, physiological effects produced by the material, first-aid, personnel and facility protection, and materials of construction required when handling fluorine in piping and process vessels. The results of this research have been compiled in this report

  5. 48 CFR 52.216-16 - Incentive Price Revision-Firm Target.

    Science.gov (United States)

    2010-10-01

    ...-Firm Target. 52.216-16 Section 52.216-16 Federal Acquisition Regulations System FEDERAL ACQUISITION... Clauses 52.216-16 Incentive Price Revision—Firm Target. As prescribed in 16.406(a), insert the following clause: Incentive Price Revision—Firm Target (OCT 1997) (a) General. The supplies or services identified...

  6. Saturation of the hydroxyapatite mineral phase using radioactive fluorine

    International Nuclear Information System (INIS)

    Flores de la Torre, J.A.; Badillo A, V.E.; Lopez D, F.A.

    2005-01-01

    With the purpose of knowing the Anion exchange capacity (CIA) of the hydroxyapatite mineral phase, marketed by BIO-RAD, becomes necessary to saturate the surface of the mineral with an anion specie that possesses a strong affinity by this solid as it is the case of the fluorine. Moreover it takes advantage that offers the radioactive tracer technique, using the radioactive isotope of the fluorine, 18 F, produced in the cyclotron of the UNAM. This saturation is obtained in terms of the quantity of retained fluorine (mmol/ 100 g) in the synthetic hydroxyapatite in function of the concentration of the solution of NaF that oscillates from 0.7 M up to 0.16 M to fixed values of pH of 9.2. Those results demonstrate that to this fixed pH value the saturation of the surface of the hydroxyapatite is achieved in approximately 30 mmol/ 100 g, using important concentrations of NaF that correspond to 0.14 M from now on. This result demonstrates the high capacity of the solid considered to retain considerable quantities of fluorine even to basic pH values. (Author)

  7. Fluorine concentration profiles in archaeological bone

    International Nuclear Information System (INIS)

    Coote, G.E.; Sparks, R.J.

    1981-01-01

    The nuclear microprobe at the Institute of Nuclear Sciences was applied to the measurement of radial concentration profiles of fluorine, in transverse slices of archaeological bone from humans, moas, and other animals. A beam of 2.5 MeV protons was focused to a rectangular spot 250 microns by 50 microns, traversed along a radial line 3mm long, and gamma rays of 5-7 MeV from the reaction 19 F(p, α#betta#) 16 O were detected in a large sodium iodide crystal. Bombardment caused no detectable loss of fluorine from the bone. Measured profiles display a wide variety of shapes and maximum concentrations. In bones which had been exposed to ground water the fluorine concentration usually increases from the centre towards the surface, sometimes by as much as a factor of eight. The concentration at the surface is usually in the range 0.2 to 1%, though in moa bone from a limestone cave it is only 0.025%. Once a quantitative method of analysis has been developed, based on the shape of the profile rather than its magnitude, these profiles might be useful for dating bone. In the meantime, they could be used to distinguish bones of different ages from a common site

  8. Surface modification of titanium aluminides with fluorine to improve their application for high temperature service conditions

    International Nuclear Information System (INIS)

    Zschau, Hans-Eberhard; Schuetze, Michael; Baumann, Horst; Bethge, Klaus

    2007-01-01

    Recently the target temperature of components manufactured from gamma-TiAl alloys like turbine blades, turbocharger rotors or automotive valves has been increased to 900 deg. C. However, there is an insufficient oxidation resistance above 750 deg. C. One method used to improve the gamma-TiAl oxidation behaviour is the so-called fluorine microalloying effect. After application of fluorine to the TiAl surface by ion implantation or treatment with diluted HF and oxidation at 900 deg. C in air a dense alumina layer is formed. However, after the treatments a distinct loss of fluorine was observed during heating and within the first hours of oxidation. In this work the long time behaviour during isothermal and cyclic oxidation up to 1500 h/900 deg. C/air was investigated showing a slow fluorine decrease. The alumina layer acts as a diffusion barrier for fluorine, whereas fluorine diffuses into the metal. The diffusion coefficient was calculated. The results fit the theoretical model of the fluorine effect

  9. Fluorine content in the soft tissues, blood and milk of ruminants outside and inside fluorine emission areas

    Energy Technology Data Exchange (ETDEWEB)

    Oelschlaeger, W; Feyler, L; Schwarz, E

    1972-01-01

    Data on the fluorine content of soft tissues, blood and milk inside and outside fluorine emission areas vary widely, probably because of analytical difficulties. Possible errors and their elimination are discussed. A large number of analyses was carried out to determine the fluorine content of heart, liver, lung, kidney, adrenal, muscle, spleen, pancreas, lymph nodes, thyroid, thymus, pituitary and cerebrum and cerebellum of cows and calves, as well as 388 milk samples and 232 blood samples. In calves born from cows kept for 3 1/2 years near a factory producing hydrofluoric acid, there was a clear relationship between the fluorine content during the suckling and drinking period, and also in a still-born calf, with the fluorine uptake of the dam during the months of pregnancy. In contrast to cattle, calves showed significantly higher fluorine levels in the adrenals compared with the kidneys. The soft tissues of cattle outside the fluorine emission areas contained more fluorine than in calves within the emission areas. Fluorine accumulation in liver, lung, kidney, cerebrum and cerebellum, thyroid and pituitary was markedly raised in animals with high fluorine uptake, whereas there was no significant change in the levels in the heart, musculature and spleen. So far as human health is concerned, the raised fluorine level in milk was significantly below the maximum level permitted in fluoridated drinking water.

  10. The fluorine destruction in stars: First experimental study of the 19F(p,α)16O reaction at astrophysical energies

    International Nuclear Information System (INIS)

    La Cognata, M.; Mukhamedzhanov, A.; Spitaleri, C.; Indelicato, I.; Aliotta, M.; Burjan, V.; Cherubini, S.; Coc, A.; Gulino, M.; Hons, Z.; Kiss, G. G.; Kroha, V.; Lamia, L.; Mrazek, J.; Palmerini, S.; Piskor, S.; Pizzone, R. G.; Puglia, S. M. R.; Rapisarda, G. G.; Romano, S.

    2012-01-01

    The 19 F(p,α) 16 O reaction is an important fluorine destruction channel in the proton-rich outer layers of asymptotic giant branch (AGB) stars and it might also play a role in hydrogendeficient post-AGB star nucleosynthesis. So far, available direct measurements do not reach the energy region of astrophysical interest (E cm ∼ 300 keV), because of the hindrance effect of the Coulomb barrier. The Trojan Horse (TH) method was thus used to access this energy region, by extracting the quasi-free contribution to the 2 H( 19 F,α 16 O)n reaction. The TH measurement of the α 0 channel, which is the dominant one at such energies, shows the presence of resonant structures not observed before that cause an increase of the reaction rate at astrophysical temperatures up to a factor of 1.7, with potential important consequences for stellar nucleosynthesis.

  11. [Assessment of Soil Fluorine Pollution in Jinhua Fluorite Ore Areas].

    Science.gov (United States)

    Ye, Qun-feng; Zhou, Xiao-ling

    2015-07-01

    The contents of. soil total fluorine (TF) and water-soluble fluorine (WF) were measured in fluorite ore areas located in Jinhua City. The single factor index, geoaccumulation index and health risk assessment were used to evaluate fluorine pollution in soil in four fluorite ore areas and one non-ore area, respectively. The results showed that the TF contents in soils were 28. 36-56 052. 39 mg.kg-1 with an arithmetic mean value of 8 325.90 mg.kg-1, a geometric mean of 1 555. 94 mg.kg-1, and a median of 812. 98 mg.kg-1. The variation coefficient of TF was 172. 07% . The soil WF contents ranged from 0. 83 to 74. 63 mg.kg-1 with an arithmetic mean value of 16. 94 mg.kg-1, a geometric mean of 10. 59 mg.kg-1, and a median of 10. 17 mg.kg-1. The variation coefficient of WF was 100. 10%. The soil TF and WF contents were far higher than the national average level of the local fluorine epidemic occurrence area. The fluoride pollution in soil was significantly affected by human factors. Soil fluorine pollution in Yangjia, Lengshuikeng and Huajie fluorite ore areas was the most serious, followed by Daren fluorite ore area, and in non-ore area there was almost no fluorine pollution. Oral ingestion of soils was the main exposure route. Sensitivity analysis of model parameters showed that children's weight exerted the largest influence over hazard quotient. Furthermore, a significant positive correlation was found among the three kinds of evaluation methods.

  12. Fluorinated Graphene Prepared by Direct Fluorination of N, O-Doped Graphene Aerogel at Different Temperatures for Lithium Primary Batteries

    Directory of Open Access Journals (Sweden)

    Xu Bi

    2018-06-01

    Full Text Available Fluorinated graphene (FG has been a star material as a new derivative of graphene. In this paper, a series of fluorinated graphene materials are prepared by using N, O-doped graphene aerogel as precursor via a direct fluorination method, and the effect of fluorination temperature on the FG structure is investigated. The prepared FG samples are systematically characterized by scanning and transmission electron microscopy, X-ray photoelectron spectroscopy, X-ray diffraction, Fourier transform infrared spectroscopy, and Raman spectroscopy. It is found that the structure of FG, including features such as layer size, chemical composition, chemical bond state of the component elements, etc., is significantly related to the fluorination temperature. With the change of the fluorination temperature, fluorine atoms enter the graphene framework by a substitution process of the N, O-containing groups, including residual phenol, ether, carbonyl groups, or C–N groups, and the addition to CC bonds, subsequently forming a fluoride with different fluorine contents. The fluorine content increases as the fluorination temperature increases from 200 °C to 300 °C, but decreases at a fluorination temperature of 350 °C due to the decomposition of the fluorinated graphene. The prepared FG samples are used as cathode material for lithium primary batteries. The FG sample prepared at 300 °C gives a high specific capacity of 632 mAh g−1 and a discharge plateau of 2.35 V at a current density of 10 mA g−1, corresponding to a high energy density of 1485 Wh kg−1.

  13. 48 CFR 16.403-1 - Fixed-price incentive (firm target) contracts.

    Science.gov (United States)

    2010-10-01

    ... (firm target) contracts. 16.403-1 Section 16.403-1 Federal Acquisition Regulations System FEDERAL... Fixed-price incentive (firm target) contracts. (a) Description. A fixed-price incentive (firm target... incentive (firm target) contract is appropriate when the parties can negotiate at the outset a firm target...

  14. Effect of plasma fluorination variables on the deposition and growth of partially fluorinated polymer over PMMA films

    Directory of Open Access Journals (Sweden)

    Giovana da Silva Padilha

    2013-01-01

    Full Text Available In this work, an investigation was made of the modification of film surface of Poly(methylmethacrylate (PMMA using the plasma polymerization technique. PMMA films 10 µm thick were obtained by Spin-Coating starting from a chloroform solution (15.36% w/w. The films were exposed to the plasma of CHF3 at different gas pressures and exposure times to increase the thickness of fluorinated polymers onto PMMA films. The plasma fluorinated optical films were characterized by gravimetry, FTIR-ATR, contact angle of wetting, SEM and AFM. The surface fluorination of PMMA films can be inferred by the increase in contact angle under all experimental conditions, and confirmed with FTIR-ATR analysis. Gravimetry showed an increase of the fluorinated polymer layer over PMMA films, being 1.55 µm thick at 0.7 torr and 40 minutes of plasma exposure. The SEM analysis showed a well-defined layer of fluorinated polymer, with fluorine being detected in the EDS analysis. The film roughness for the fluorinated polymers was around of 200 Å, quite satisfactory for a 1.55 µm cladding.

  15. Palladium-catalysed electrophilic aromatic C-H fluorination

    Science.gov (United States)

    Yamamoto, Kumiko; Li, Jiakun; Garber, Jeffrey A. O.; Rolfes, Julian D.; Boursalian, Gregory B.; Borghs, Jannik C.; Genicot, Christophe; Jacq, Jérôme; van Gastel, Maurice; Neese, Frank; Ritter, Tobias

    2018-02-01

    Aryl fluorides are widely used in the pharmaceutical and agrochemical industries, and recent advances have enabled their synthesis through the conversion of various functional groups. However, there is a lack of general methods for direct aromatic carbon-hydrogen (C-H) fluorination. Conventional methods require the use of either strong fluorinating reagents, which are often unselective and difficult to handle, such as elemental fluorine, or less reactive reagents that attack only the most activated arenes, which reduces the substrate scope. A method for the direct fluorination of aromatic C-H bonds could facilitate access to fluorinated derivatives of functional molecules that would otherwise be difficult to produce. For example, drug candidates with improved properties, such as increased metabolic stability or better blood-brain-barrier penetration, may become available. Here we describe an approach to catalysis and the resulting development of an undirected, palladium-catalysed method for aromatic C-H fluorination using mild electrophilic fluorinating reagents. The reaction involves a mode of catalysis that is unusual in aromatic C-H functionalization because no organometallic intermediate is formed; instead, a reactive transition-metal-fluoride electrophile is generated catalytically for the fluorination of arenes that do not otherwise react with mild fluorinating reagents. The scope and functional-group tolerance of this reaction could provide access to functional fluorinated molecules in pharmaceutical and agrochemical development that would otherwise not be readily accessible.

  16. Fluorine Substituted 1,2,4-Triazinones as Potential Anti-HIV-1 and CDK2 Inhibitors

    Directory of Open Access Journals (Sweden)

    Mohammed S. I. Makki

    2014-01-01

    Full Text Available Fluorine substituted 1,2,4-triazinones have been synthesized via alkylation, amination, and/or oxidation of 6-(2-amino-5-fluorophenyl-3-thioxo-3,4-dihydro-1,2,4-triazin-5(2H-one 1 and 4-fluoro-N-(4-fluoro-2-(5-oxo-3-thioxo-2,3,4,5-tetrahydro-1,2,4-triazin-6-ylphenylbenzamide 5 as possible anti-HIV-1 and CDK2 inhibitors. Alkylation on positions 2 and 4 in 1,2,4-triazinone gave compounds 6–8. Further modification was performed by selective alkylation and amination on position 3 to form compounds 9–15. However oxidation of 5 yielded compounds 16–18. Structures of the target compounds have been established by spectral analysis data. Five compounds (5, 11, 14, 16, and 17 have shown very good anti-HIV activity in MT-4 cells. Similarly, five compounds (1, 3, and 14–16 have exhibited very significant CDK2 inhibition activity. Compounds 14 and 16 were found to have dual anti-HIV and anticancer activities.

  17. GLUT1-mediated selective tumor targeting with fluorine containing platinum(II) glycoconjugates

    OpenAIRE

    Liu, Ran; Fu, Zheng; Zhao, Meng; Gao, Xiangqian; Li, Hong; Mi, Qian; Liu, Pengxing; Yang, Jinna; Yao, Zhi; Gao, Qingzhi

    2017-01-01

    Increased glycolysis and overexpression of glucose transporters (GLUTs) are physiological characteristics of human malignancies. Based on the so-called Warburg effect, 18flurodeoxyglucose-positron emission tomography (FDG-PET) has successfully developed as clinical modality for the diagnosis and staging of many cancers. To leverage this glucose transporter mediated metabolic disparity between normal and malignant cells, in the current report, we focus on the fluorine substituted series of glu...

  18. Nucleophilic Fluorination Reactions in Novel Reaction Media for 18F-Fluorine Labeling Method

    International Nuclear Information System (INIS)

    Kim, Dong Wook; Jeong, Hwan Jeong; Lim, Seok Tae; Sohn, Myung Hee

    2009-01-01

    Noninvasive imaging of molecular and biological processes in living subjects with positron emission tomography (PET) provides exciting opportunities to monitor metabolism and detect diseases in humans. Measuring these processes with PET requires the preparation of specific molecular imaging probes labeled with 18F-fluorine. In this review we describe recent methods and novel trends for the introduction of 18 F-fluorine into molecules which in turn are intended to serve as imaging agents for PET study. Nucleophilic 18 F-fluorination of some halo- and mesyloxyalkanes to the corresponding 18 F-fluoroalkanes with 18 F-fluoride obtained from an 18 O(p,n) 18 F reaction, using novel reaction media system such as an ionic liquidor tert-alcohol, has been studied as a new method for 18 F-fluorine labeling. Ionic liquid method is rapid and particularly convenient because 18 F-fluoride in H 2 O can be added directly to the reaction media, obviating the careful drying that is typically required for currently used radiofluorination methods. The nonpolar protic tert-alcohol enhances the nucleophilicity of the fluoride ion dramatically in the absence of any kind of catalyst, greatly increasing the rate of the nucleophilic fluorination and reducing formation of byproducts compared with conventional methods using dipolar aprotic solvents. The great efficacy of this method is a particular advantage in labeling radiopharmaceuticals with 18 F-fluorine for PET imaging, and it is illustrated by the synthesis of 18 F-fluoride radiolabeled molecular imaging probes, such as 18 F-FDG, 18 F-FLT, 18 F-FP-CIT, and 18 F-FMISO, in high yield and purity and in shorter times compared to conventional syntheses

  19. Corrosion resistant materials for fluorine and hydrogen fluoride

    International Nuclear Information System (INIS)

    Hauffe, K.

    1984-01-01

    Aluminum and Duralumin are resistant against fluorine and hydrogen fluoride up to 600 and 700 K, respectively. The resistance of nickel and its alloys, particularly monel, against fluorine and hydrogen fluoride is fairly good up to 900 and 800 K. During the attack of nickel-chromium alloys by fluorine between 1000 and 1300 K, it appears an inner fluorination similarly to the inner oxidation. The resistance of titanium in water-free liquid fluorine at lower temperatures with -1 is comparable to that of nickel and monel. However, the corrosion of titanium in gaseous fluorine amounts at 377 K only 0,0082 mm.a -1 . In spite of their limited resistance against fluorine and hydrogen fluoride, very pure molybdenum and tungsten are employed as construction materials in the rocket technology because of their large strength at high temperatures if fluorine-hydrogen and fluorine-hydrazine flames are used. Lanthanum and calcium borides are only little attacked by fluorine hydrazine flames between 1400 and 1800 K; they are superior to all special grade alloys. The same is true in a lower temperature region (290-400 K) with fluorcarbon resins. Organic materials substitute in increasing extent metal alloys and non-metal inorganic materials. (orig.) [de

  20. Corrosion resistant materials for fluorine and hydrogen fluoride

    Energy Technology Data Exchange (ETDEWEB)

    Hauffe, K.

    1984-12-01

    Aluminum and Duralumin are resistant against fluorine and hydrogen fluoride up to 600 and 700 K, respectively. The resistance of nickel and its alloys, particularly monel, against fluorine and hydrogen fluoride is fairly good up to 900 and 800 K. During the attack of nickel-chromium alloys by fluorine between 1000 and 1300 K, it appears an inner fluorination similarly to the inner oxidation. The resistance of titanium in water-free liquid fluorine at lower temperatures with <0,3 mm.a/sup -1/ is comparable to that of nickel and monel. However, the corrosion of titanium in gaseous fluorine amounts at 377 K only 0,0082 mm.a/sup -1/. In spite of their limited resistance against fluorine and hydrogen fluoride, very pure molybdenum and tungsten are employed as construction materials in the rocket technology because of their large strength at high temperatures if fluorine-hydrogen and fluorine-hydrazine flames are used. Lanthanum and calcium borides are only little attacked by fluorine hydrazine flames between 1400 and 1800 K; they are superior to all special grade alloys. The same is true in a lower temperature region (290-400 K) with fluorcarbon resins. Organic materials substitute in increasing extent metal alloys and non-metal inorganic materials.

  1. Macroscale tribological properties of fluorinated graphene

    Science.gov (United States)

    Matsumura, Kento; Chiashi, Shohei; Maruyama, Shigeo; Choi, Junho

    2018-02-01

    Because graphene is carbon material and has excellent mechanical characteristics, its use as ultrathin lubrication protective films for machine elements is greatly expected. The durability of graphene strongly depends on the number of layers and the load scale. For use in ultrathin lubrication protective films for machine elements, it is also necessary to maintain low friction and high durability under macroscale loads in the atmosphere. In this study, we modified the surfaces of both monolayer and multilayer graphene by fluorine plasma treatment and examined the friction properties and durability of the fluorinated graphene under macroscale load. The durability of both monolayer and multilayer graphene improved by the surface fluorination owing to the reduction of adhesion forces between the friction interfaces. This occurs because the carbon film containing fluorine is transferred to the friction-mating material, and thus friction acts between the two carbon films containing fluorine. On the other hand, the friction coefficient decreased from 0.20 to 0.15 by the fluorine plasma treatment in the multilayer graphene, whereas it increased from 0.21 to 0.27 in the monolayer graphene. It is considered that, in the monolayer graphene, the change of the surface structure had a stronger influence on the friction coefficient than in the multilayer graphene, and the friction coefficient increased mainly due to the increase in defects on the graphene surface by the fluorine plasma treatment.

  2. Molecular Dynamics Pinpoint the Global Fluorine Effect in Balanoid Binding to PKCε and PKA.

    Science.gov (United States)

    Hardianto, Ari; Liu, Fei; Ranganathan, Shoba

    2018-02-26

    (-)-Balanol is an adenosine triphosphate mimic that inhibits protein kinase C (PKC) isozymes and cAMP-dependent protein kinase (PKA) with limited selectivity. While PKA is known as a tumor promoter, PKC isozymes can be tumor promoters or suppressors. In particular, PKCε is frequently involved in tumorigenesis and a potential target for anticancer drugs. We recently reported that stereospecific fluorination of balanol yielded a balanoid with enhanced selectivity for PKCε over other PKC isozymes and PKA, although the global fluorine effect behind the selectivity enhancement is not fully understood. Interestingly, in contrast to PKA, PKCε is more sensitive to this fluorine effect. Here we investigate the global fluorine effect on the different binding responses of PKCε and PKA to balanoids using molecular dynamics (MD) simulations. For the first time to the best of our knowledge, we found that a structurally equivalent residue in each kinase, Thr184 in PKA and Ala549 in PKCε, is essential for the different binding responses. Furthermore, the study revealed that the invariant Lys, Lys73 in PKA and Lys437 in PKCε, already known to have a crucial role in the catalytic activity of kinases, serves as the main anchor for balanol binding. Overall, while Thr184 in PKA attenuates the effect of fluorination, Ala549 permits remote response of PKCε to fluorine substitution, with implications for rational design of future balanol-based PKCε inhibitors.

  3. Electrolytes including fluorinated solvents for use in electrochemical cells

    Science.gov (United States)

    Tikhonov, Konstantin; Yip, Ka Ki; Lin, Tzu-Yuan

    2015-07-07

    Provided are electrochemical cells and electrolytes used to build such cells. The electrolytes include ion-supplying salts and fluorinated solvents capable of maintaining single phase solutions with the salts at between about -30.degree. C. to about 80.degree. C. The fluorinated solvents, such as fluorinated carbonates, fluorinated esters, and fluorinated esters, are less flammable than their non-fluorinated counterparts and increase safety characteristics of cells containing these solvents. The amount of fluorinated solvents in electrolytes may be between about 30% and 80% by weight not accounting weight of the salts. Fluorinated salts, such as fluoroalkyl-substituted LiPF.sub.6, fluoroalkyl-substituted LiBF.sub.4 salts, linear and cyclic imide salts as well as methide salts including fluorinated alkyl groups, may be used due to their solubility in the fluorinated solvents. In some embodiments, the electrolyte may also include a flame retardant, such as a phosphazene or, more specifically, a cyclic phosphazene and/or one or more ionic liquids.

  4. The fluorine destruction in stars: First experimental study of the {sup 19}F(p,{alpha}){sup 16}O reaction at astrophysical energies

    Energy Technology Data Exchange (ETDEWEB)

    La Cognata, M.; Mukhamedzhanov, A.; Spitaleri, C.; Indelicato, I.; Aliotta, M.; Burjan, V.; Cherubini, S.; Coc, A.; Gulino, M.; Hons, Z.; Kiss, G. G.; Kroha, V.; Lamia, L.; Mrazek, J.; Palmerini, S.; Piskor, S.; Pizzone, R. G.; Puglia, S. M. R.; Rapisarda, G. G.; Romano, S. [INFN-LNS, Catania (Italy); Cyclotron Institute, Texas A and M University, College Station, Texas (United States); University of Catania and INFN-LNS, Catania (Italy); and others

    2012-11-12

    The {sup 19}F(p,{alpha}){sup 16}O reaction is an important fluorine destruction channel in the proton-rich outer layers of asymptotic giant branch (AGB) stars and it might also play a role in hydrogendeficient post-AGB star nucleosynthesis. So far, available direct measurements do not reach the energy region of astrophysical interest (E{sub cm}{approx} 300 keV), because of the hindrance effect of the Coulomb barrier. The Trojan Horse (TH) method was thus used to access this energy region, by extracting the quasi-free contribution to the {sup 2}H({sup 19}F,{alpha}{sup 16}O)n reaction. The TH measurement of the {alpha}{sub 0} channel, which is the dominant one at such energies, shows the presence of resonant structures not observed before that cause an increase of the reaction rate at astrophysical temperatures up to a factor of 1.7, with potential important consequences for stellar nucleosynthesis.

  5. Fluorination of Metal Phthalocyanines: Single-Crystal Growth, Efficient N-Channel Organic Field-Effect Transistors, and Structure-Property Relationships

    Science.gov (United States)

    Jiang, Hui; Ye, Jun; Hu, Peng; Wei, Fengxia; Du, Kezhao; Wang, Ning; Ba, Te; Feng, Shuanglong; Kloc, Christian

    2014-01-01

    The fluorination of p-type metal phthalocyanines produces n-type semiconductors, allowing the design of organic electronic circuits that contain inexpensive heterojunctions made from chemically and thermally stable p- and n-type organic semiconductors. For the evaluation of close to intrinsic transport properties, high-quality centimeter-sized single crystals of F16CuPc, F16CoPc and F16ZnPc have been grown. New crystal structures of F16CuPc, F16CoPc and F16ZnPc have been determined. Organic single-crystal field-effect transistors have been fabricated to study the effects of the central metal atom on their charge transport properties. The F16ZnPc has the highest electron mobility (~1.1 cm2 V−1 s−1). Theoretical calculations indicate that the crystal structure and electronic structure of the central metal atom determine the transport properties of fluorinated metal phthalocyanines. PMID:25524460

  6. Study of the gamma spectrum of 16N with a BGO detector, for the purpose of calibration and of determining the fluorine grade of mineral samples

    International Nuclear Information System (INIS)

    Castro-Garcia, M.P.; Alonso-Sanchez, T.; Rey-Ronco, M.A.

    2013-01-01

    The study of 16 N's gamma spectrum has two main uses: calibrating gamma detectors in a high energy range, and determining the fluorine grade of mineral samples of fluorite. This article examines and compares the gamma ray spectrum of 16 N as recorded by a Bi 4 GeO 12 detector, as well as the resolution of this detector at high energy levels, and the signal-background relationship of an experimental laboratory cyclic activation unit. 16 N is the product of the reaction 9-F-19(n,α)7-N-16, which takes place during the neutron activation of mineral samples of fluorspar, and its production depends, among other factors, upon the grade of fluorite. The technique used in this study is cyclic-type neutron activation for recording delayed gamma rays, carried out with an americium-beryllium neutron source with an activity of 1 Ci. Lastly, a correlation is established between the area below the peak amount of 16 N emitted by the sample, and the sample's fluorite grade. (author)

  7. Conceptual design of a continuous fluorinator experimental facility (CFEF)

    International Nuclear Information System (INIS)

    Lindauer, R.B.; Hightower, J.R. Jr.

    1976-07-01

    A conceptual design has been made of a circulating salt system, consisting principally of a fluorinator and reduction column, to demonstrate uranium removal from the salt by fluorination. The fluorinator vessel wall will be protected from fluorine corrosion by a frozen salt film. The circulating salt in the fluorinator will be kept molten by electrical heating that simulates fission product heating in an actual MSBR system

  8. Characterization of fluorinated silica thin films with ultra-low refractive index deposited at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Abbasi-Firouzjah, Marzieh [Semnan Science and Technology Park, 3614933578, Shahrood (Iran, Islamic Republic of); Shokri, Babak, E-mail: b-shokri@sbu.ac.ir [Laser & Plasma Research Institute, Shahid Beheshti University, G.C., Evin, Tehran 1983963113 (Iran, Islamic Republic of); Physics Department, Shahid Beheshti University, G.C., Evin, Tehran 1983963113 (Iran, Islamic Republic of)

    2015-02-27

    Structural and optical properties of low refractive index fluorinated silica (SiO{sub x}C{sub y}F{sub z}) films were investigated. The films were deposited on p-type silicon and polycarbonate substrates by radio frequency plasma enhanced chemical vapor deposition method at low temperatures. A mixture of tetraethoxysilane vapor, oxygen, and CF{sub 4} was used for deposition of the films. The influence of oxygen flow rate on the elemental compositions, chemical bonding states and surface roughness of the films was studied using energy dispersive X-ray analyzer, Fourier transform infrared spectroscopy in reflectance mode and atomic force microscopy, respectively. Effects of chemical bonds of the film matrix on optical properties and chemical stability were discussed. Energy dispersive spectroscopy showed high fluorine content in the SiO{sub x}C{sub y}F{sub z} film matrix which is in the range of 7.6–11.3%. It was concluded that in fluorine content lower than a certain limit, chemical stability of the film enhances, while higher contents of fluorine heighten moisture absorption followed by increasing refractive index. All of the deposited films were highly transparent. Finally, it was found that the refractive index of the SiO{sub x}C{sub y}F{sub z} film was continuously decreased with the increase of the O{sub 2} flow rate down to the minimum value of 1.16 ± 0.01 (at 632.8 nm) having the most ordered and nano-void structure and the least organic impurities. This sample also had the most chemical stability against moisture absorption. - Highlights: • Low deposition temperature and organic precursor led to higher film fluorination. • High fluorine and nanovoid structure led to drastic decrease in the refractive index. • Silica based thin film with ultralow refractive index of 1.16 was produced. • The produced ultralow-n film is highly stable against moisture absorption.

  9. Compilation of Requirements for Safe Handling of Fluorine and Fluorine-Containing Products of Uranium Hexafluoride Conversion

    Energy Technology Data Exchange (ETDEWEB)

    Ferrada, J.J.

    2000-04-03

    Public Law (PL) 105-204 requires the U.S. Department of Energy to develop a plan for inclusion in the fiscal year 2000 budget for conversion of the Department's stockpile of depleted uranium hexafluoride (DUF{sub 6}) to a more stable form over an extended period. The conversion process into a more stable form will produce fluorine compounds (e.g., elemental fluorine or hydrofluoric acid) that need to be handled safely. This document compiles the requirements necessary to handle these materials within health and safety standards, which may apply in order to ensure protection of the environment and the safety and health of workers and the public. Fluorine is a pale-yellow gas with a pungent, irritating odor. It is the most reactive nonmetal and will react vigorously with most oxidizable substances at room temperature, frequently with ignition. Fluorine is a severe irritant of the eyes, mucous membranes, skin, and lungs. In humans, the inhalation of high concentrations causes laryngeal spasm and broncospasms, followed by the delayed onset of pulmonary edema. At sublethal levels, severe local irritation and laryngeal spasm will preclude voluntary exposure to high concentrations, unless the individual is trapped or incapacitated. A blast of fluorine gas on the shaved skin of a rabbit causes a second degree burn. Lower concentrations cause severe burns of insidious onset, resulting in ulceration, similar to the effects produced by hydrogen fluoride. Hydrofluoric acid is a colorless, fuming liquid or gas with a pungent odor. It is soluble in water with release of heat. Ingestion of an estimated 1.5 grams produced sudden death without gross pathological damage. Repeated ingestion of small amounts resulted in moderately advanced hardening of the bones. Contact of skin with anhydrous liquid produces severe burns. Inhalation of AHA or aqueous hydrofluoric acid mist or vapors can cause severe respiratory tract irritation that may be fatal. Based on the extreme chemical

  10. β-diketones containing oxygen atom in fluorinated radical

    International Nuclear Information System (INIS)

    Shivanyuk, A.F.; Kudryavtseva, L.S.; Lozinskij, M.O.; Neplyuev, V.M.; Fialkov, Yu.A.; Bratolyubova, A.G.

    1981-01-01

    The synthesis of a number of new aliphatic fluorinated β- diketones containing oxygen atom in fluorinated radical of linear or cyclic structure is described. The reaction of combination with aryldiazonium salts resulting in the formation of corresponding arylhydrazones of fluorinated triketones is studied. It is shown that as a result of arylhydrazone condensation with hydroxylamine, hydrazine and its substituted derivatives the fluorine-containing derivatives of isoxazol and pyrazol are formed [ru

  11. beta. -diketones containing oxygen atom in fluorinated radical

    Energy Technology Data Exchange (ETDEWEB)

    Shivanyuk, A.F.; Kudryavtseva, L.S.; Lozinskij, M.O.; Neplyuev, V.M.; Fialkov, Yu.A.; Bratolyubova, A.G. (AN Ukrainskoj SSR, Kiev. Inst. Organicheskoj Khimii)

    1981-10-01

    The synthesis of a number of new aliphatic fluorinated ..beta..-diketones containing oxygen atom in fluorinated radical of linear or cyclic structure is described. The reaction of combination with aryldiazonium salts resulting in the formation of corresponding arylhydrazones of fluorinated triketones is studied. It is shown that as a result of arylhydrazone condensation with hydroxylamine, hydrazine and its substituted derivatives the fluorine-containing derivatives of isoxazol and pyrazol are formed.

  12. Rapid general microdetermination of fluorine

    NARCIS (Netherlands)

    Leuven, H.C.E. van; Rotscheid, G.J.; Buis, W.J.

    1979-01-01

    A rapid micromethod for the determination of fluorine in a wide variety of materials has been developed. The method is based on the liberation of the fluorine (as HF) from the sample by means of pyrohydrolysis with steam at 1120?? C, The amount of fluoride in the condensate is subsequently measured

  13. Development of Fluorinated Non-Peptidic Ghrelin Receptor Ligands for Potential Use in Molecular Imaging

    Directory of Open Access Journals (Sweden)

    Rareş-Petru Moldovan

    2017-04-01

    Full Text Available The ghrelin receptor (GhrR is a widely investigated target in several diseases. However, the current knowledge of its role and distribution in the brain is limited. Recently, the small and non-peptidic compound (S-6-(4-bromo-2-fluorophenoxy-3-((1-isopropylpiperidin-3-ylmethyl-2-methylpyrido[3,2-d]pyrimidin-4(3H-one ((S-9 has been described as a GhrR ligand with high binding affinity. Here, we describe the synthesis of fluorinated derivatives, the in vitro evaluation of their potency as partial agonists and selectivity at GhrRs, and their physicochemical properties. These results identified compounds (S-9, (R-9, and (S-16 as suitable parent molecules for 18F-labeled positron emission tomography (PET radiotracers to enable future investigation of GhrR in the brain.

  14. Probing plasma fluorinated graphene via spectromicroscopy.

    Science.gov (United States)

    Struzzi, C; Scardamaglia, M; Reckinger, N; Sezen, H; Amati, M; Gregoratti, L; Colomer, J-F; Ewels, C; Snyders, R; Bittencourt, C

    2017-11-29

    Plasma fluorination of graphene is studied using a combination of spectroscopy and microscopy techniques, giving insight into the yield and fluorination mechanism for functionalization of supported graphene with both CF 4 and SF 6 gas precursors. Ion acceleration during fluorination is used to probe the effect on grafting functionalities. Adatom clustering, which occurs with CF 4 plasma treatment, is suppressed when higher kinetic energy is supplied to the ions. During SF 6 plasma functionalization, the sulfur atoms tend to bond to bare copper areas instead of affecting the graphene chemistry, except when the kinetic energy of the ions is restricted. Using scanning photoelectron microscopy, with a 100 nm spatial resolution, the chemical bonding environment is evaluated in the fluorinated carbon network at selected regions and the functionalization homogeneity is controlled in individual graphene flakes.

  15. Reference values for fluorine-18-fluorodeoxyglucose and fluorine-18-sodium fluoride uptake in human arteries

    DEFF Research Database (Denmark)

    Blomberg, Björn A; Thomassen, Anders; de Jong, Pim A

    2017-01-01

    OBJECTIVE: Reference values of fluorine-18-fluorodeoxyglucose (F-FDG) and fluorine-18-sodium fluoride (F-NaF) uptake in human arteries are unknown. The aim of this study was to determine age-specific and sex-specific reference values of arterial F-FDG and F-NaF uptake. PARTICIPANTS AND METHODS...

  16. Fluorinated cobalt for catalyzing hydrogen generation from sodium borohydride

    Energy Technology Data Exchange (ETDEWEB)

    Akdim, O.; Demirci, U.B.; Brioude, A.; Miele, P. [Laboratoire des Multimateriaux et Interfaces, UMR 5615 CNRS Universite Lyon 1, Universite de Lyon, 43 boulevard du 11 Novembre 1918, F-69622 Villeurbanne (France)

    2009-07-15

    The present paper reports preliminary results relating to a search for durable cobalt-based catalyst intended to catalyze the hydrolysis of sodium borohydride (NaBH{sub 4}). Fluorination of Co [Suda S, Sun YM, Liu BH, Zhou Y, Morimitsu S, Arai K, et al. Catalytic generation of hydrogen by applying fluorinated-metal hydrides as catalysts. Appl Phys A 2001; 72: 209-12.] has attracted our attention whereas the fluorination of Co boride has never been envisaged so far. Our first objective was to compare the reactivity of fluorinated Co with that of Co boride. We focused our attention on the formation of Co boride from fluorinated Co. Our second objective was to show the fluorination effect on the reactivity of Co. Our third objective was to find an efficient, durable Co catalyst. It was observed a limited stabilization of the Co surface by virtue of the fluorination, which made the formation of surface Co boride more difficult while the catalytic activity was unaltered. The fluorination did not affect the number of surface active sites. Nevertheless, it did not prevent the formation of Co boride. The fluorination of Co boride was inefficient. Hence, fluorination is a way to gain in stabilization of the catalytic surface but it is quite inefficient to hinder the boride formation. Accordingly, it did not permit to compare the reactivity of Co boride with that of Co. (author)

  17. Fluorinated Alq3 derivatives with tunable optical properties.

    Science.gov (United States)

    Shi, Yue-Wen; Shi, Min-Min; Huang, Jia-Chi; Chen, Hong-Zheng; Wang, Mang; Liu, Xiao-Dong; Ma, Yu-Guang; Xu, Hai; Yang, Bing

    2006-05-14

    This communication reports that not only the emission colour but also the photoluminescence quantum yield of Alq3 can be tuned by introducing fluorine atoms at different positions; with fluorination at C-5 the emission is red-shifted with a tremendously decreased intensity, fluorination at C-6 causes a blue-shift with a significantly increased intensity, and fluorination at C-7 has a minor effect on both the colour and intensity of Alq3's emission.

  18. Preparation of Fluorine-Doped TiO2 Photocatalysts with Controlled Crystalline Structure

    Directory of Open Access Journals (Sweden)

    N. Todorova

    2008-01-01

    Full Text Available Nanocrystalline F-doped TiO2 powders were prepared by sol-gel route. The thermal behavior of the powders was recorded by DTA/TG technique. The crystalline phase of the fluorinated TiO2 powders was determined by X-ray diffraction technique. It was demonstrated that F-doping using CF3COOH favors the formation of rutile along with anatase phase even at low temperature. Moreover, the rutile's phase content increases with the increase of the quantity of the fluorine precursor in the starting solution. The surface area of the powders and the pore size distribution were studied by N2 adsorption-desorption using BET and BJH methods. X-ray photoelectron spectroscopy (XPS revealed that the fluorine is presented in the TiO2 powders mainly as metal fluoride in quantities ∼16 at %. The F-doped TiO2 showed a red-shift absorption in UV-vis region which was attributed to the increased content of rutile phase in the powders. The powders exhibited enhanced photocatalytic activity in decomposition of acetone.

  19. Fluorine-18 nuclide and its PET imaging agent

    International Nuclear Information System (INIS)

    Wang Mingfang

    2003-01-01

    Fluorine-18 has predominant physical features with long half-life and the enough time for preparation of radiopharmaceuticals and PET imaging. Also, the chemical nature of fluorine-18 is similar to that of hydrogen, and the fluorine-18 labelled organic molecules can not change the non-labelled molecular character. Therefore, fluorine-18 is widely applied in the labelled glucose, amino acids, fatty acids, nucleotide, receptor-ligand and neurotransmitter molecular etc., with the propose of detecting the blood flow, metabolism, synthesis of the protein and the neurotransmitter function in brain by PET imaging. It is very important in the basic science and clinical research to understand and master the preparation of the fluorine-18 and its labelled compounds

  20. Fluorinated alkyne-derived monolayers on oxide-free silicon nanowires via one-step hydrosilylation

    International Nuclear Information System (INIS)

    Nguyen Minh, Quyen; Pujari, Sidharam P.; Wang, Bin; Wang, Zhanhua; Haick, Hossam; Zuilhof, Han; Rijn, Cees J.M. van

    2016-01-01

    Highlights: • Oxide-free H-terminated silicon nanowires undergo efficient surface modification by reaction with fluorinated 1-alkynes (HC≡C−(CH 2 ) 6 C 8 H 17−x F x ; x = 0–17). • These surface-modified Si NWs are chemically stable under range of conditions (including acid, base). • The surface coating yields efficient electrical passivation as demonstrated by a near-zero electrochemical activity of the surface. - Abstract: Passivation of oxide-free silicon nanowires (Si NWs) by the formation of high-quality fluorinated 1-hexadecyne-derived monolayers with varying fluorine content has been investigated. Alkyl chain monolayers (C 16 H 30−x F x ) with a varying number of fluorine substituents (x = 0, 1, 3, 9, 17) were attached onto hydrogen-terminated silicon (Si−H) surfaces with an effective one-step hydrosilylation. This surface chemistry gives well-defined monolayers on nanowires that have a cylindrical core–shell structure, as characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR) and static contact angle (SCA) analysis. The monolayers were stable under acidic and basic conditions, as well as under extreme conditions (such as UV exposure), and provide excellent surface passivation, which opens up applications in the fields of field effect transistors, optoelectronics and especially for disease diagnosis.

  1. Quantification of Fluorine Content in AFFF Concentrates

    Science.gov (United States)

    2017-09-29

    for MilSpec compliance. Fluorocarbon surfactants are the most active components in these concentrates, and analysis of the fluorine content in the... physical requirements for AFFF concentrates includes a total fluorine content determination and a requirement for subsequent evaluations of this AFFF...the standard for fluorine content as well as the reference for chemical shift. For preparation of an NMR solution, it is important that the TFE

  2. Do defects enhance fluorination of graphene?

    Czech Academy of Sciences Publication Activity Database

    da Costa, Sara; Ek Weis, Johan; Frank, Otakar; Fridrichová, Michaela; Bastl, Zdeněk; Kalbáč, Martin

    2016-01-01

    Roč. 6, AUG 2016 (2016), s. 81471-81476 ISSN 2046-2069 R&D Projects: GA MŠk LL1301 Institutional support: RVO:61388955 Keywords : fluorination * graphene * fluorine Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 3.108, year: 2016

  3. Fluorine Based Superhydrophobic Coatings

    Directory of Open Access Journals (Sweden)

    Jean-Denis Brassard

    2012-05-01

    Full Text Available Superhydrophobic coatings, inspired by nature, are an emerging technology. These water repellent coatings can be used as solutions for corrosion, biofouling and even water and air drag reduction applications. In this work, synthesis of monodispersive silica nanoparticles of ~120 nm diameter has been realized via Stöber process and further functionalized using fluoroalkylsilane (FAS-17 molecules to incorporate the fluorinated groups with the silica nanoparticles in an ethanolic solution. The synthesized fluorinated silica nanoparticles have been spin coated on flat aluminum alloy, silicon and glass substrates. Functionalization of silica nanoparticles with fluorinated groups has been confirmed by Fourier Transform Infrared spectroscopy (FTIR by showing the presence of C-F and Si-O-Si bonds. The water contact angles and surface roughness increase with the number of spin-coated thin films layers. The critical size of ~119 nm renders aluminum surface superhydrophobic with three layers of coating using as-prepared nanoparticle suspended solution. On the other hand, seven layers are required for a 50 vol.% diluted solution to achieve superhydrophobicity. In both the cases, water contact angles were more than 150°, contact angle hysteresis was less than 2° having a critical roughness value of ~0.700 µm. The fluorinated silica nanoparticle coated surfaces are also transparent and can be used as paint additives to obtain transparent coatings.

  4. The potential of carbon-11 and fluorine-18 chemistry: illustration through the development of positron emission tomography radioligands targeting the translocator protein 18 kDa

    International Nuclear Information System (INIS)

    Damont, Annelaure; Roeda, Dirk; Dolle, Frederic

    2013-01-01

    The TSPO (translocator protein), also known as the peripheral benzodiazepine receptor, is up-regulated in the brain of subjects suffering from neuro-degenerative disorders such as Alzheimer's, Parkinson's and Huntington's disease. Moreover, this overexpression has been proved to be linked to micro-glia activation making thus the TSPO a marker of choice of neuro-inflammatory processes and therefore a potential target for the development of radioligands for positron emission tomography imaging. The discovery of selective TSPO ligands and their labelling with the short-lived positron-emitter isotopes carbon-11 and fluorine-18 emerged in the mid-1980's with the preparation of the 3-iso-quinolinecarboxamide [ 11 C]PK11195. To date, an impressive number of promising compounds - [ 11 C]PK11195-challengers - have been developed; some radioligands - for example, [ 11 C]PBR28, [ 11 C]DPA-713, [ 18 F]FEDAA1106 and [ 18 F]DPA-714 - are currently used in clinical trials. As illustrated in this review, the methodologies applied for the preparation of these compounds remain mainly [ 11 C]methylations using [ 11 C]MeI or [ 11 C]MeOTf and SN2- type nucleophilic aliphatic [ 18 F]fluorinations - two processes illustrating the state-of-the-art arsenal of reactions that involves these two short-lived radioisotopes - but alternative processes, such as [ 11 C]carbonylations using [ 11 C]CO and [ 11 C]COCl 2 as well as SNAr-type nucleophilic [ 18 F]fluorinations, have also been reported and as such, reviewed herein. (authors)

  5. The influence of fluorine on phase relations and REE enrichment in alkaline magmas

    Science.gov (United States)

    Beard, C. D.; van Hinsberg, V.; Stix, J.; Wilke, M.

    2017-12-01

    Fluorine is a minor element in most magmas, but higher concentrations to wt% levels have been reported in alkaline systems, including those which host economic deposits of REE + HFSE1. Despite low abundance in most natural melts, fluorine has received great attention from the experimental community because it has a strong influence on melt structure, lowering melting points and drastically reducing viscosity. The effect of fluorine on element speciation has important implications for phase relations and the partitioning of trace elements between minerals and melts, thus metal enrichment processes in alkaline magmas. We have experimentally investigated the impact of fluorine on phase relations and partitioning of rare metals, the REE in particular, in evolved alkaline melts. Synthetic glasses of tephriphonolite to phonolite composition were doped with a wide range of elements at trace levels, and fluorine contents were varied from fluorine-free to 2.5 wt%. Experiments were performed water-saturated in an internally heated pressure vessel at 200 MPa with log fO2 at ca. QFM+1, which represents the intrinsic redox conditions of the setup. Charges were heated to super-liquidus conditions for 16 hours, cooled slowly (1˚C/min) to run temperature and subsequently equilibrated for at least 40 hours. Run products were analysed by EPMA and LA-ICP-MS. The experiments produce an equilibrium assemblage of sodic pyroxene, biotite, Fe-oxide, melt, fluid, ±K-feldspar, ±titanite, ±fluorite. Addition of fluorine markedly increases the mode of biotite, which initially buffers melt F content at low levels (< 0.2 wt%). Only in experiments with more than 0.6 wt% F do we observe a significant increase in the melt F-content. Here, fluorine decreases pyroxene/melt partitioning coefficients equally for all REE where pyroxene composition and P-T conditions are equivalent (ca. 1/2 with 0.6% F). We suggest that the formation of REE-F complexes in the melt2 lowers the availability of metals

  6. Determination of fluorine in copper concentrate via high-resolution graphite furnace molecular absorption spectrometry and direct solid sample analysis - Comparison of three target molecules.

    Science.gov (United States)

    Cadorim, Heloisa R; de Gois, Jefferson S; Borges, Aline R; Vale, Maria Goreti R; Welz, Bernhard; Gleisner, Heike; Ott, Christina

    2018-01-01

    The chemical composition of complex inorganic materials, such as copper concentrate, may influence the economics of their further processing because most smelters, and particularly the producers of high-purity electrolyte copper, have strict limitations for the permissible concentration of impurities. These components might be harmful to the quality of the products, impair the production process and be hazardous to the environment. The goal of the present work is the development of a method for the determination of fluorine in copper concentrate using high-resolution graphite furnace molecular absorption spectrometry and direct solid sample analysis. The molecular absorption of the diatomic molecule CaF was measured at 606.440nm. The molecule CaF was generated by the addition of 200µg Ca as the molecule-forming reagent; the optimized pyrolysis and vaporization temperatures were 900°C and 2400°C, respectively. The characteristic mass and limit of detection were 0.5ng and 3ng, respectively. Calibration curves were established using aqueous standard solutions containing the major components Cu, Fe, S and the minor component Ag in optimized concentrations. The accuracy of the method was verified using certified reference materials. Fourteen copper concentrate samples from Chile and Australia were analyzed to confirm the applicability of the method to real samples; the concentration of fluorine ranged from 34 to 5676mgkg -1 . The samples were also analyzed independently at Analytik Jena by different operators, using the same equipment, but different target molecules, InF and GaF, and different operating conditions; but with a few exceptions, the results agreed quite well. The results obtained at Analytik Jena using the GaF molecule and our results obtained with CaF, with one exception, were also in agreement with the values informed by the supplier of the samples, which were obtained using ion selective electrode potentiometry after alkaline fusion. A comparison will

  7. Fluorine disposal processes for nuclear applications

    International Nuclear Information System (INIS)

    Netzer, W.D.

    1977-01-01

    A study was performed to determine the best method for disposing of waste fluorine in the effluent from a uranium oxide conversion facility. After reviewing the fluorine disposal literature and upon considering the nuclear safety constraints, it was determined that the two most promising processes were the fluidized alumina bed and the caustic scrubber. To obtain more design data for the latter process, a 3-stage, 5-in. I.D. spray tower was constructed and operated. This unit used a 10% potassium hydroxide solution at flows of 1.5 to 3 gpm and achieved a 90% fluorine efficiency at fluorine flowrates as high as 4 scfm. However, two toxic by-products, oxygen difluoride and nitroxy fluoride, were detected in the effluent gases. After considering the relative merits of both disposal processes, it is concluded that the fluidized bed is superior, especially if the contaminated waste material were salable

  8. Fluorine disposal processes for nuclear applications

    Energy Technology Data Exchange (ETDEWEB)

    Netzer, W.D.

    1977-04-08

    A study was performed to determine the best method for disposing of waste fluorine in the effluent from a uranium oxide conversion facility. After reviewing the fluorine disposal literature and upon considering the nuclear safety constraints, it was determined that the two most promising processes were the fluidized alumina bed and the caustic scrubber. To obtain more design data for the latter process, a 3-stage, 5-in. I.D. spray tower was constructed and operated. This unit used a 10% potassium hydroxide solution at flows of 1.5 to 3 gpm and achieved a 90% fluorine efficiency at fluorine flowrates as high as 4 scfm. However, two toxic by-products, oxygen difluoride and nitroxy fluoride, were detected in the effluent gases. After considering the relative merits of both disposal processes, it is concluded that the fluidized bed is superior, especially if the contaminated waste material were salable.

  9. Method for producing fluorinated diamond-like carbon films

    Science.gov (United States)

    Hakovirta, Marko J.; Nastasi, Michael A.; Lee, Deok-Hyung; He, Xiao-Ming

    2003-06-03

    Fluorinated, diamond-like carbon (F-DLC) films are produced by a pulsed, glow-discharge plasma immersion ion processing procedure. The pulsed, glow-discharge plasma was generated at a pressure of 1 Pa from an acetylene (C.sub.2 H.sub.2) and hexafluoroethane (C.sub.2 F.sub.6) gas mixture, and the fluorinated, diamond-like carbon films were deposited on silicon substrates. The film hardness and wear resistance were found to be strongly dependent on the fluorine content incorporated into the coatings. The hardness of the F-DLC films was found to decrease considerably when the fluorine content in the coatings reached about 20%. The contact angle of water on the F-DLC coatings was found to increase with increasing film fluorine content and to saturate at a level characteristic of polytetrafluoroethylene.

  10. Identification of hookworm DAF-16/FOXO response elements and direct gene targets.

    Directory of Open Access Journals (Sweden)

    Xin Gao

    2010-08-01

    Full Text Available The infective stage of the parasitic nematode hookworm is developmentally arrested in the environment and needs to infect a specific host to complete its life cycle. The canine hookworm (Ancylostoma caninum is an excellent model for investigating human hookworm infections. The transcription factor of A. caninum, Ac-DAF-16, which has a characteristic fork head or "winged helix" DNA binding domain (DBD, has been implicated in the resumption of hookworm development in the host. However, the precise roles of Ac-DAF-16 in hookworm parasitism and its downstream targets are unknown. In the present study, we combined molecular techniques and bioinformatics to identify a group of Ac-DAF-16 binding sites and target genes.The DNA binding domain of Ac-DAF-16 was used to select genomic fragments by in vitro genomic selection. Twenty four bound genomic fragments were analyzed for the presence of the DAF-16 family binding element (DBE and possible alternative Ac-DAF-16 bind motifs. The 22 genes linked to these genomic fragments were identified using bioinformatics tools and defined as candidate direct gene targets of Ac-DAF-16. Their developmental stage-specific expression patterns were examined. Also, a new putative DAF-16 binding element was identified.Our results show that Ac-DAF-16 is involved in diverse biological processes throughout hookworm development. Further investigation of these target genes will provide insights into the molecular basis by which Ac-DAF-16 regulates its downstream gene network in hookworm infection.

  11. Target cell cyclophilins facilitate human papillomavirus type 16 infection.

    Science.gov (United States)

    Bienkowska-Haba, Malgorzata; Patel, Hetalkumar D; Sapp, Martin

    2009-07-01

    Following attachment to primary receptor heparan sulfate proteoglycans (HSPG), human papillomavirus type 16 (HPV16) particles undergo conformational changes affecting the major and minor capsid proteins, L1 and L2, respectively. This results in exposure of the L2 N-terminus, transfer to uptake receptors, and infectious internalization. Here, we report that target cell cyclophilins, peptidyl-prolyl cis/trans isomerases, are required for efficient HPV16 infection. Cell surface cyclophilin B (CyPB) facilitates conformational changes in capsid proteins, resulting in exposure of the L2 N-terminus. Inhibition of CyPB blocked HPV16 infection by inducing noninfectious internalization. Mutation of a putative CyP binding site present in HPV16 L2 yielded exposed L2 N-terminus in the absence of active CyP and bypassed the need for cell surface CyPB. However, this mutant was still sensitive to CyP inhibition and required CyP for completion of infection, probably after internalization. Taken together, these data suggest that CyP is required during two distinct steps of HPV16 infection. Identification of cell surface CyPB will facilitate the study of the complex events preceding internalization and adds a putative drug target for prevention of HPV-induced diseases.

  12. Target cell cyclophilins facilitate human papillomavirus type 16 infection.

    Directory of Open Access Journals (Sweden)

    Malgorzata Bienkowska-Haba

    2009-07-01

    Full Text Available Following attachment to primary receptor heparan sulfate proteoglycans (HSPG, human papillomavirus type 16 (HPV16 particles undergo conformational changes affecting the major and minor capsid proteins, L1 and L2, respectively. This results in exposure of the L2 N-terminus, transfer to uptake receptors, and infectious internalization. Here, we report that target cell cyclophilins, peptidyl-prolyl cis/trans isomerases, are required for efficient HPV16 infection. Cell surface cyclophilin B (CyPB facilitates conformational changes in capsid proteins, resulting in exposure of the L2 N-terminus. Inhibition of CyPB blocked HPV16 infection by inducing noninfectious internalization. Mutation of a putative CyP binding site present in HPV16 L2 yielded exposed L2 N-terminus in the absence of active CyP and bypassed the need for cell surface CyPB. However, this mutant was still sensitive to CyP inhibition and required CyP for completion of infection, probably after internalization. Taken together, these data suggest that CyP is required during two distinct steps of HPV16 infection. Identification of cell surface CyPB will facilitate the study of the complex events preceding internalization and adds a putative drug target for prevention of HPV-induced diseases.

  13. Fluorine and fluorine tolerance in fodder of domestic animals. Part 2. Pathophysiology of fluorine and fodder tests on domestic animals

    Energy Technology Data Exchange (ETDEWEB)

    Bronsch, K; Grieser, N

    1964-01-01

    Important tests with fluorine on domestic animals were critically evaluated with the aim of coming to some conclusion about fluorine tolerance in fodder for domestic animals, keeping various different factors in mind. Slightly lower concentrations were reached than those of the NRC in the USA, reckoning on a non-optimal mineral content, especially in calcium and phosphorus, since the USA obviously used a basis for feeding which was otherwise sufficient. According to these tests, fluoride is tolerated within certain limits by domestic animals without recognisable disadvantages. There are, however, important differences between different types of animals in regard to dosage.

  14. Fluorine-labeled Dasatinib Nanoformulations as Targeted Molecular Imaging Probes in a PDGFB-driven Murine Glioblastoma Model

    Directory of Open Access Journals (Sweden)

    Miriam Benezra

    2012-12-01

    Full Text Available Dasatinib, a new-generation Src and platelet-derived growth factor receptor (PDGFR inhibitor, is currently under evaluation in high-grade glioma clinical trials. To achieve optimum physicochemical and/or biologic properties, alternative drug delivery vehicles may be needed. We used a novel fluorinated dasatinib derivative (F-SKI249380, in combination with nanocarrier vehicles and metabolic imaging tools (microPET to evaluate drug delivery and uptake in a platelet-derived growth factor B (PDGFB-driven genetically engineered mouse model (GEMM of high-grade glioma. We assessed dasatinib survival benefit on the basis of measured tumor volumes. Using brain tumor cells derived from PDGFB-driven gliomas, dose-dependent uptake and time-dependent inhibitory effects of F-SKI249380 on biologic activity were investigated and compared with the parent drug. PDGFR receptor status and tumor-specific targeting were non-invasively evaluated in vivo using 18F-SKI249380 and 18F-SKI249380-containing micellar and liposomal nanoformulations. A statistically significant survival benefit was found using dasatinib (95 mg/kg versus saline vehicle (P < .001 in tumor volume-matched GEMM pairs. Competitive binding and treatment assays revealed comparable biologic properties for F-SKI249380 and the parent drug. In vivo, Significantly higher tumor uptake was observed for 18F-SKI249380-containing micelle formulations [4.9 percentage of the injected dose per gram tissue (%ID/g; P = .002] compared to control values (1.6%ID/g. Saturation studies using excess cold dasatinib showed marked reduction of tumor uptake values to levels in normal brain (1.5%ID/g, consistent with in vivo binding specificity. Using 18F-SKI249380-containing micelles as radiotracers to estimate therapeutic dosing requirements, we calculated intratumoral drug concentrations (24–60 nM that were comparable to in vitro 50% inhibitory concentration values. 18F-SKI249380 is a PDGFR-selective tracer, which

  15. Self-formation of a nanonet of fluorinated carbon nanowires on the Si surface by combined etching in fluorine-containing plasma

    Science.gov (United States)

    Amirov, I. I.; Gorlachev, E. S.; Mazaletskiy, L. A.; Izyumov, M. O.; Alov, N. V.

    2018-03-01

    In this work, we report a technique of the self-formation of a nanonet of fluorinated carbon nanowires on the Si surface using a combined etching in fluorine-containing C4F8/Ar and SF6 plasmas. Using scanning electron microscopy, atomic force microscopy and x-ray photoelectron spectroscopy, we show that after the etching of Si in the C4F8/Ar plasma, a fluorinated carbon film of nanometer-scale thickness is formed on its surface and its formation accelerates at elevated temperatures. After a subsequent short-term etching in the SF6 plasma, the film is modified into a nanonet of self-formed fluorinated carbon nanowires.

  16. Design of a Fluorine-18 Production System at ORNL Cyclotron Facility. Part 2

    International Nuclear Information System (INIS)

    Chu, Y.E.; Engstrom, S.D.; Sundberg, D.G.

    1977-01-01

    A fluorine-18 recovery system using an anion-exchange side-stream column was designed for the H 2 18 O target at the ORNL 86-inch cyclotron. The extent of radiolysis was determined and a catalyst vessel, containing a palladium catalyst, was incorporated to recombine the radiolysis product gases. The preliminary design of an externally bombarded gas target for the production of 18 F 2 from 18 O 2 was also completed

  17. Analytical applications of 19F (p, αγ)16O reaction

    International Nuclear Information System (INIS)

    Arias Revollo, F.R.

    1977-11-01

    The reaction 19 F (p, αγ) 16 O was used to determine fluorine concentration in various substances. A pronounced resonance at E sub(P) = 672 KeV permitted analysis of samples at various depths. Backscattered protons were used to normalize the number of 6,13 and 7,12 MeV gamma rays emitted during sample irradiation. Comparison with gamma/particle ratios from pure substances of know fluorine concentration permits an absolute interpretation of results. The technique was applied to several human and animal tooth samples and fluorine containing minerals. (Author) [pt

  18. Production of uranium hexafluoride by fluorination tetra-fluoride with elemental fluorine under pressure; Proizvodnja uraovega heksafluorida s tlacnim fluoriranjem uranovega tetrafluorida z elementarnim fluorom

    Energy Technology Data Exchange (ETDEWEB)

    Lutar, K; Smalc, A; Zemljic, A [Institut Jozef Stefan, Ljubljana (Yugoslavia)

    1984-07-01

    In the introduction a brief description of some activities of fluorine chemistry department at the J. Stefan Institute is given - from production of elemental fluorine to the investigations in the field of uranium technology. Furthermore, a new method for the production of uranium hexafluoride is described more in detail. The method is based on the fluorination of uranium tetrafluoride with elemental fluorine. (author)

  19. Fluorinated alkyne-derived monolayers on oxide-free silicon nanowires via one-step hydrosilylation

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen Minh, Quyen [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Nanosens, IJsselkade 7, 7201 HB Zutphen (Netherlands); Pujari, Sidharam P. [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Wang, Bin [The Department of Chemical Engineering and Russell Berrie Nanotechnology Institute, Technion – Israel Institute of Technology, Haifa 3200003 (Israel); Wang, Zhanhua [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Haick, Hossam [The Department of Chemical Engineering and Russell Berrie Nanotechnology Institute, Technion – Israel Institute of Technology, Haifa 3200003 (Israel); Zuilhof, Han [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Rijn, Cees J.M. van, E-mail: cees.vanrijn@wur.nl [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands)

    2016-11-30

    Highlights: • Oxide-free H-terminated silicon nanowires undergo efficient surface modification by reaction with fluorinated 1-alkynes (HC≡C−(CH{sub 2}){sub 6}C{sub 8}H{sub 17−x}F{sub x}; x = 0–17). • These surface-modified Si NWs are chemically stable under range of conditions (including acid, base). • The surface coating yields efficient electrical passivation as demonstrated by a near-zero electrochemical activity of the surface. - Abstract: Passivation of oxide-free silicon nanowires (Si NWs) by the formation of high-quality fluorinated 1-hexadecyne-derived monolayers with varying fluorine content has been investigated. Alkyl chain monolayers (C{sub 16}H{sub 30−x}F{sub x}) with a varying number of fluorine substituents (x = 0, 1, 3, 9, 17) were attached onto hydrogen-terminated silicon (Si−H) surfaces with an effective one-step hydrosilylation. This surface chemistry gives well-defined monolayers on nanowires that have a cylindrical core–shell structure, as characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR) and static contact angle (SCA) analysis. The monolayers were stable under acidic and basic conditions, as well as under extreme conditions (such as UV exposure), and provide excellent surface passivation, which opens up applications in the fields of field effect transistors, optoelectronics and especially for disease diagnosis.

  20. Fluorine geochemistry in volcanic rock series

    DEFF Research Database (Denmark)

    Stecher, Ole

    1998-01-01

    A new analytical procedure has been established in order to determine low fluorine concentrations (30–100 ppm F) in igneous rocks, and the method has also proven successful for higher concentrations (100–4000 ppm F). Fluorine has been measured in a series of olivine tholeiites from the Reykjanes ...

  1. Fluorinated Polyurethane Scaffolds for 19F Magnetic Resonance Imaging

    NARCIS (Netherlands)

    Lammers, Twan; Mertens, Marianne E.; Schuster, Philipp; Rahimi, Khosrow; Shi, Yang; Schulz, Volkmar; Kuehne, Alexander J.C.; Jockenhoevel, Stefan; Kiessling, Fabian

    2017-01-01

    Researchers used fluorinated polyurethane scaffolds for 19F magnetic resonance imaging. They generated a novel fluorinated polymer based on thermoplastic polyurethane (19F -TPU) which possesses distinct properties rendering it suitable for fluorine-based MRI. The 19F -TPU is synthesized from a

  2. Electronic transport properties of (fluorinated) metal phthalocyanine

    KAUST Repository

    Fadlallah, M M; Eckern, U; Romero, A H; Schwingenschlö gl, Udo

    2015-01-01

    The magnetic and transport properties of the metal phthalocyanine (MPc) and F16MPc (M = Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn and Ag) families of molecules in contact with S–Au wires are investigated by density functional theory within the local density approximation, including local electronic correlations on the central metal atom. The magnetic moments are found to be considerably modified under fluorination. In addition, they do not depend exclusively on the configuration of the outer electronic shell of the central metal atom (as in isolated MPc and F16MPc) but also on the interaction with the leads. Good agreement between the calculated conductance and experimental results is obtained. For M = Ag, a high spin filter efficiency and conductance is observed, giving rise to a potentially high sensitivity for chemical sensor applications.

  3. Electronic transport properties of (fluorinated) metal phthalocyanine

    KAUST Repository

    Fadlallah, M M

    2015-12-21

    The magnetic and transport properties of the metal phthalocyanine (MPc) and F16MPc (M = Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn and Ag) families of molecules in contact with S–Au wires are investigated by density functional theory within the local density approximation, including local electronic correlations on the central metal atom. The magnetic moments are found to be considerably modified under fluorination. In addition, they do not depend exclusively on the configuration of the outer electronic shell of the central metal atom (as in isolated MPc and F16MPc) but also on the interaction with the leads. Good agreement between the calculated conductance and experimental results is obtained. For M = Ag, a high spin filter efficiency and conductance is observed, giving rise to a potentially high sensitivity for chemical sensor applications.

  4. The metal-carbon-fluorine system for improving hydrogen storage by using metal and fluorine with different levels of electronegativity

    Energy Technology Data Exchange (ETDEWEB)

    Im, Ji Sun; Lee, Young-Seak [Department of Fine Chemical Engineering and Applied Chemistry, BK21-E2M, Chungnam National University, Daejeon 305-764 (Korea); Park, Soo-Jin [Department of Chemistry, Inha University, Incheon 402-751 (Korea)

    2009-02-15

    In order to improve the capacity of hydrogen storage using activated carbon nanofibers, metal and fluorine were introduced into the activated carbon nanofibers by electrospinning, heat treatment, and direct fluorination. The pore structure of the samples was developed by the KOH activation process and investigated using nitrogen isotherms and micropore size distribution. The specific surface area and total pore volume approached 2800 m{sup 2}/g and 2.7 cc/g, respectively. Because of the electronegativity gap between the two elements (metal and fluorine), the electron of a hydrogen molecule can be attracted to one side. This reaction effectively guides the hydrogen molecule into the carbon nanofibers. The amount of hydrogen storage was dramatically increased in this metal-carbon-fluorine system; hydrogen content was as high as 3.2 wt%. (author)

  5. Determination of non-metallic elements in actinide complexes by oxygen flask combustion (OFC): chlorine and fluorine

    International Nuclear Information System (INIS)

    Ruikar, P.B.; Nagar, M.S.; Subramanian, M.S.

    1989-01-01

    The oxygen flask combustion followed by ion selective electrode measurement has been found to be the most suitable from the point of view of elegance and simplicity for the determination of chlorine and fluorine in actinide complexes. The method has been found to be particularly suitable for glove box adaptation. This report describes the determination of chlorine and fluorine in several uranium complexes, some plutonium complexes and organic analytical standards by this method. The precision and accuracy of the measurements in the milligram level has been found to be quite satisfactory. (author). 16 refs., 11 tabs

  6. Single and double stereoselective fluorination of (E-allylsilanes

    Directory of Open Access Journals (Sweden)

    Tredwell Matthew

    2007-10-01

    Full Text Available Abstract Acyclic allylic monofluorides were prepared by electrophilic fluorination of branched (E-allylsilanes with Selectfluor. These reactions proceeded with efficient transfer of chirality from the silylated to the fluorinated stereocentre. Upon double fluorination, an unsymmetrical ethyl syn-2,5-difluoroalk-3-enoic ester was prepared, the silyl group acting as an anti stereodirecting group for the two C-F bond forming events.

  7. Nuclear Magnetic Resonance Study of Fluorine-Graphite Intercalation Compounds

    International Nuclear Information System (INIS)

    Panich, A.M.; Goren, S.D.; Nakajima, T.; Vieth, H.-M.; Privalov, A.

    1998-01-01

    To study the origin of semimetal-metal and metal-insulator transformations, localization effects and C-E bonding in fluorine-intercalated graphite C x F, 13 C and 19 F NMR investigations have been carried out for a wide range of fluorine content, 3.8 8, are attributed to mobile fluorine acceptor species which are responsible for the increase of electric conductivity in the dilute compound. When increasing the fluorine content to x ∼ 8 corresponding to the maximum electric conductivity, covalent C-P bonds start to oc- cur. The number of these bonds grows with fluorine content resulting in the decrease in conductivity which is caused by a percolation mechanism rather than by a change in bond length. A difference in 19 F chemical shift for fluorine-intercalated graphite C x F and covalent graphite fluoride (CF) n has been observed and is attributed to different C-P bonding in these compounds

  8. Enhanced Bioactivity and Bacteriostasis of Surface Fluorinated Polyetheretherketone.

    Science.gov (United States)

    Chen, Meiling; Ouyang, Liping; Lu, Tao; Wang, Heying; Meng, Fanhao; Yang, Yan; Ning, Congqin; Ma, Jingzhi; Liu, Xuanyong

    2017-05-24

    Although polyetheretherketone (PEEK) has been considered as a potential orthopedic and dental application material due to its similar elastic modulus as bones, inferior osseointegration and bacteriostasis of PEEK hampers its clinical application. In this work, fluorinated PEEK was constructed via plasma immersion ion implantation (PIII) followed by hydrofluoric acid treatment to ameliorate the osseointegration and antibacterial properties of PEEK. The surface microstructure, composition, and hydrophilicity of all samples were investigated. Rat bone mesenchymal stem cells (rBMSCs) were cultured on their surfaces to estimate bioactivity. The fluorinated PEEK can enhance the cell adhesion, cell spreading, proliferation, and alkaline phosphatase (ALP) activity compared to pristine PEEK. Furthermore, the fluorinated PEEK surface exhibits good bacteriostatic effect against Porphyromonas gingivalis, which is one of the major periodontal pathogens. In summary, we provide an effective route to introduce fluorine and the results reveal that the fluorinated PEEK can enhance the osseointegration and bacteriostasis, which provides a potential candidate for dental implants.

  9. Fluorine in the solar neighborhood: Chemical evolution models

    Science.gov (United States)

    Spitoni, E.; Matteucci, F.; Jönsson, H.; Ryde, N.; Romano, D.

    2018-04-01

    Context. In light of new observational data related to fluorine abundances in solar neighborhood stars, we present chemical evolution models testing various fluorine nucleosynthesis prescriptions with the aim to best fit those new data. Aim. We consider chemical evolution models in the solar neighborhood testing various nucleosynthesis prescriptions for fluorine production with the aim of reproducing the observed abundance ratios [F/O] versus [O/H] and [F/Fe] versus [Fe/H]. We study in detail the effects of various stellar yields on fluorine production. Methods: We adopted two chemical evolution models: the classical two-infall model, which follows the chemical evolution of halo-thick disk and thin disk phases; and the one-infall model, which is designed only for thin disk evolution. We tested the effects on the predicted fluorine abundance ratios of various nucleosynthesis yield sources, that is, asymptotic giant branch (AGB) stars, Wolf-Rayet (W-R) stars, Type II and Type Ia supernovae, and novae. Results: The fluorine production is dominated by AGB stars but the W-R stars are required to reproduce the trend of the observed data in the solar neighborhood with our chemical evolution models. In particular, the best model both for the two-infall and one-infall cases requires an increase by a factor of 2 of the W-R yields. We also show that the novae, even if their yields are still uncertain, could help to better reproduce the secondary behavior of F in the [F/O] versus [O/H] relation. Conclusions: The inclusion of the fluorine production by W-R stars seems to be essential to reproduce the new observed ratio [F/O] versus [O/H] in the solar neighborhood. Moreover, the inclusion of novae helps to reproduce the observed fluorine secondary behavior substantially.

  10. Fluorine-fixing efficiency on calcium-based briquette: pilot experiment, demonstration and promotion.

    Science.gov (United States)

    Yang, Jiao-lan; Chen, Dong-qing; Li, Shu-min; Yue, Yin-ling; Jin, Xin; Zhao, Bing-cheng; Ying, Bo

    2010-02-05

    The fluorosis derived from coal burning is a very serious problem in China. By using fluorine-fixing technology during coal burning we are able to reduce the release of fluorides in coal at the source in order to reduce pollution to the surrounding environment by coal burning pollutants as well as decrease the intake and accumulating amounts of fluorine in the human body. The aim of this study was to conduct a pilot experiment on calcium-based fluorine-fixing material efficiency during coal burning to demonstrate and promote the technology based on laboratory research. A proper amount of calcium-based fluorine sorbent was added into high-fluorine coal to form briquettes so that the fluorine in high-fluorine coal can be fixed in coal slag and its release into atmosphere reduced. We determined figures on various components in briquettes and fluorine in coal slag as well as the concentrations of indoor air pollutants, including fluoride, sulfur dioxide and respirable particulate matter (RPM), and evaluated the fluorine-fixing efficiency of calcium-based fluorine sorbents and the levels of indoor air pollutants. Pilot experiments on fluorine-fixing efficiency during coal burning as well as its demonstration and promotion were carried out separately in Guiding and Longli Counties of Guizhou Province, two areas with coal burning fluorosis problems. If the calcium-based fluorine sorbent mixed coal was made into honeycomb briquettes the average fluorine-fixing ratio in the pilot experiment was 71.8%. If the burning calcium-based fluorine-fixing bitumite was made into a coalball, the average of fluorine-fixing ratio was 77.3%. The concentration of fluoride, sulfur dioxide and PM10 of indoor air were decreased significantly. There was a 10% increase in the cost of briquettes due to the addition of calcium-based fluorine sorbent. The preparation process of calcium-based fluorine-fixing briquette is simple yet highly flammable and it is applicable to regions with abundant

  11. Comparison of topotactic fluorination methods for complex oxide films

    Science.gov (United States)

    Moon, E. J.; Choquette, A. K.; Huon, A.; Kulesa, S. Z.; Barbash, D.; May, S. J.

    2015-06-01

    We have investigated the synthesis of SrFeO3-αFγ (α and γ ≤ 1) perovskite films using topotactic fluorination reactions utilizing poly(vinylidene fluoride) as a fluorine source. Two different fluorination methods, a spin-coating and a vapor transport approach, were performed on as-grown SrFeO2.5 films. We highlight differences in the structural, compositional, and optical properties of the oxyfluoride films obtained via the two methods, providing insight into how fluorination reactions can be used to modify electronic and optical behavior in complex oxide heterostructures.

  12. Plasma fluorination of vertically aligned carbon nanotubes: functionalization and thermal stability.

    Science.gov (United States)

    Struzzi, Claudia; Scardamaglia, Mattia; Hemberg, Axel; Petaccia, Luca; Colomer, Jean-François; Snyders, Rony; Bittencourt, Carla

    2015-01-01

    Grafting of fluorine species on carbon nanostructures has attracted interest due to the effective modification of physical and chemical properties of the starting materials. Various techniques have been employed to achieve a controlled fluorination yield; however, the effect of contaminants is rarely discussed, although they are often present. In the present work, the fluorination of vertically aligned multiwalled carbon nanotubes was performed using plasma treatment in a magnetron sputtering chamber with fluorine diluted in an argon atmosphere with an Ar/F2 ratio of 95:5. The effect of heavily diluted fluorine in the precursor gas mixture is investigated by evaluating the modifications in the nanotube structure and the electronic properties upon plasma treatment. The existence of oxygen-based grafted species is associated with background oxygen species present in the plasma chamber in addition to fluorine. The thermal stability and desorption process of the fluorine species grafted on the carbon nanotubes during the fluorine plasma treatment were evaluated by combining different spectroscopic techniques.

  13. Plasma fluorination of vertically aligned carbon nanotubes: functionalization and thermal stability

    Directory of Open Access Journals (Sweden)

    Claudia Struzzi

    2015-12-01

    Full Text Available Grafting of fluorine species on carbon nanostructures has attracted interest due to the effective modification of physical and chemical properties of the starting materials. Various techniques have been employed to achieve a controlled fluorination yield; however, the effect of contaminants is rarely discussed, although they are often present. In the present work, the fluorination of vertically aligned multiwalled carbon nanotubes was performed using plasma treatment in a magnetron sputtering chamber with fluorine diluted in an argon atmosphere with an Ar/F2 ratio of 95:5. The effect of heavily diluted fluorine in the precursor gas mixture is investigated by evaluating the modifications in the nanotube structure and the electronic properties upon plasma treatment. The existence of oxygen-based grafted species is associated with background oxygen species present in the plasma chamber in addition to fluorine. The thermal stability and desorption process of the fluorine species grafted on the carbon nanotubes during the fluorine plasma treatment were evaluated by combining different spectroscopic techniques.

  14. FLUORINE IN THE SOLAR NEIGHBORHOOD: NO EVIDENCE FOR THE NEUTRINO PROCESS

    International Nuclear Information System (INIS)

    Jönsson, H.; Ryde, N.; Spitoni, E.; Matteucci, F.; Cunha, K.; Smith, V.; Hinkle, K.; Schultheis, M.

    2017-01-01

    Asymptotic giant branch (AGB) stars are known to produce “cosmic” fluorine, but it is uncertain whether these stars are the main producers of fluorine in the solar neighborhood or if any of the other proposed formation sites, Type II supernovae (SNe II) and/or Wolf-Rayet (W-R) stars, are more important. Recent articles have proposed both AGB stars and SNe II as the dominant sources of fluorine in the solar neighborhood. In this paper we set out to determine the fluorine abundance in a sample of 49 nearby, bright K giants for which we previously have determined the stellar parameters, as well as alpha abundances homogeneously from optical high-resolution spectra. The fluorine abundance is determined from a 2.3 μ m HF molecular line observed with the spectrometer Phoenix. We compare the fluorine abundances with those of alpha-elements mainly produced in SNe II and find that fluorine and the alpha-elements do not evolve in lockstep, ruling out SNe II as the dominating producers of fluorine in the solar neighborhood. Furthermore, we find a secondary behavior of fluorine with respect to oxygen, which is another evidence against the SNe II playing a large role in the production of fluorine in the solar neighborhood. This secondary behavior of fluorine will put new constraints on stellar models of the other two suggested production sites: AGB stars and W-R stars.

  15. FLUORINE IN THE SOLAR NEIGHBORHOOD: NO EVIDENCE FOR THE NEUTRINO PROCESS

    Energy Technology Data Exchange (ETDEWEB)

    Jönsson, H.; Ryde, N. [Lund Observatory, Department of Astronomy and Theoretical Physics, Lund University, Box 43, SE-22100 Lund (Sweden); Spitoni, E.; Matteucci, F. [Dipartimento di Fisica, Sezione di Astronomia, Università di Trieste, via G.B. Tiepolo 11, I-34131, Trieste (Italy); Cunha, K. [Observatório Nacional, Rua General José Cristino, 77, 20921-400 São Cristóvão, Rio de Janeiro, RJ (Brazil); Smith, V.; Hinkle, K. [National Optical Astronomy Observatory, 950 North Cherry Avenue, Tucson, AZ 85719 (United States); Schultheis, M., E-mail: henrikj@astro.lu.se [Observatoire de la Cote d’Azur, Boulevard de l’Observatoire, B.P. 4229, F-06304 NICE Cedex 4 (France)

    2017-01-20

    Asymptotic giant branch (AGB) stars are known to produce “cosmic” fluorine, but it is uncertain whether these stars are the main producers of fluorine in the solar neighborhood or if any of the other proposed formation sites, Type II supernovae (SNe II) and/or Wolf-Rayet (W-R) stars, are more important. Recent articles have proposed both AGB stars and SNe II as the dominant sources of fluorine in the solar neighborhood. In this paper we set out to determine the fluorine abundance in a sample of 49 nearby, bright K giants for which we previously have determined the stellar parameters, as well as alpha abundances homogeneously from optical high-resolution spectra. The fluorine abundance is determined from a 2.3 μ m HF molecular line observed with the spectrometer Phoenix. We compare the fluorine abundances with those of alpha-elements mainly produced in SNe II and find that fluorine and the alpha-elements do not evolve in lockstep, ruling out SNe II as the dominating producers of fluorine in the solar neighborhood. Furthermore, we find a secondary behavior of fluorine with respect to oxygen, which is another evidence against the SNe II playing a large role in the production of fluorine in the solar neighborhood. This secondary behavior of fluorine will put new constraints on stellar models of the other two suggested production sites: AGB stars and W-R stars.

  16. In vivo quantification of bone-fluorine by delayed neutron activation analysis: a pilot study of hand-bone-fluorine levels in a Canadian population

    International Nuclear Information System (INIS)

    Chamberlain, Mike; Gräfe, James L; Aslam; Byun, Soo-Hyun; Chettle, David R; Egden, Lesley M; Webber, Colin E; McNeill, Fiona E

    2012-01-01

    Humans can be exposed to fluorine (F) through their diet, occupation, environment and oral dental care products. Fluorine, at proper dosages, is believed to have positive effects by reducing the incidence of dental caries, but fluorine toxicity can occur when people are exposed to excessive quantities of fluorine. In this paper we present the results of a small pilot in vivo study on 33 participants living in Southwestern Ontario, Canada. The mean age of participants was 45 ± 18 years with a range of 20–87 years. The observed calcium normalized hand-bone-fluorine concentrations in this small pilot study ranged from 1.1 to 8.8 mg F/g Ca. Every person measured in this study had levels of fluorine in bone above the detection limit of the system. The average fluorine concentration in bone was found to be 3.5 ± 0.4 mg F/g Ca. No difference was observed in average concentration for men and women. In addition, a significant correlation (r 2 = 0.55, p < 0.001) was observed between hand-bone-fluorine content and age. The amount of fluorine was found to increase at a rate of 0.084 ± 0.014 mg F/g Ca per year. There was no significant difference observed in this small group of subjects between the accumulation rates in men and women. To the best of our knowledge, this is the first time data from in vivo measurement of fluorine content in humans by neutron activation analysis have been presented. The data determined by this technique were found to be consistent with results from ex vivo studies from other countries. We suggest that the data demonstrate that this low risk non-invasive diagnostic technique will permit the routine assessment of bone-fluorine content with potential application in the study of clinical bone-related diseases. This small study demonstrated that people in Southern Ontario are exposed to fluoride in measureable quantities, and that fluoride can be seen to accumulate in bone with age. However, all volunteers were found to have levels below those

  17. In vivo quantification of bone-fluorine by delayed neutron activation analysis: a pilot study of hand-bone-fluorine levels in a Canadian population.

    Science.gov (United States)

    Chamberlain, Mike; Gräfe, James L; Aslam; Byun, Soo-Hyun; Chettle, David R; Egden, Lesley M; Webber, Colin E; McNeill, Fiona E

    2012-03-01

    Humans can be exposed to fluorine (F) through their diet, occupation, environment and oral dental care products. Fluorine, at proper dosages, is believed to have positive effects by reducing the incidence of dental caries, but fluorine toxicity can occur when people are exposed to excessive quantities of fluorine. In this paper we present the results of a small pilot in vivo study on 33 participants living in Southwestern Ontario, Canada. The mean age of participants was 45 ± 18 years with a range of 20-87 years. The observed calcium normalized hand-bone-fluorine concentrations in this small pilot study ranged from 1.1 to 8.8 mg F/g Ca. Every person measured in this study had levels of fluorine in bone above the detection limit of the system. The average fluorine concentration in bone was found to be 3.5 ± 0.4 mg F/g Ca. No difference was observed in average concentration for men and women. In addition, a significant correlation (r(2) = 0.55, p fluorine content and age. The amount of fluorine was found to increase at a rate of 0.084 ± 0.014 mg F/g Ca per year. There was no significant difference observed in this small group of subjects between the accumulation rates in men and women. To the best of our knowledge, this is the first time data from in vivo measurement of fluorine content in humans by neutron activation analysis have been presented. The data determined by this technique were found to be consistent with results from ex vivo studies from other countries. We suggest that the data demonstrate that this low risk non-invasive diagnostic technique will permit the routine assessment of bone-fluorine content with potential application in the study of clinical bone-related diseases. This small study demonstrated that people in Southern Ontario are exposed to fluoride in measureable quantities, and that fluoride can be seen to accumulate in bone with age. However, all volunteers were found to have levels below those expected with clinical fluorosis, and only

  18. Oxidative desulfurization-fluorination of thioethers. Application for the synthesis of fluorinated nitrogen containing building blocks.

    Science.gov (United States)

    Hugenberg, Verena; Fröhlich, Roland; Haufe, Günter

    2010-12-21

    An oxidative desulfurization-fluorination protocol has been used to synthesize (2S)-2-(difluoromethyl)-N-tosylpyrrolidine (6a) and (2S)-2-(trifluoromethyl)-N-tosylpyrrolidine (7a) from the (2S)-prolinol-derived (2S)-2-(4-chlorophenylthiomethyl)-N-tosylpyrrolidine (9) or (2S)-2-(dithian-2-yl)-N-tosylpyrrolidine (5). Efforts to prepare 3,3-difluoroalanine similarly from an N-protected S-aryl-cysteine ester 17 gave only traces of the target compound 18. Instead, an unique N-(α,α-difluorobenzyl)-N-α',α'-dibromoglycine ester 19 was formed by an unprecedented sequence of reaction steps. A plausible mechanism is suggested involving a sulfur-assisted deoxygenation-difluorination of an imino oxygen and a haloform reaction like carbon-carbon bond fission as key-steps. Efforts to prepare (2S)-2-(fluoromethyl)-N-tosylpyrrolidine (12) from (2S)-N-tosylprolinol (3) by treatment with Fluolead™ (1-tert-butyl-4-trifluorosulfanyl-3,5-dimethylbenzene) gave only 5% of the target compound, but 95% of (3R)-3-fluoro-N-tosylpiperidine (11a) by ring enlargement.

  19. Microphase separated structure and surface properties of fluorinated polyurethane resin

    International Nuclear Information System (INIS)

    Sudaryanto; Nishino, T.; Hori, Y.; Nakamae, K.

    2000-01-01

    The effect of fluorination on microphase separation and surface properties of segmented polyurethane (PU) resin were investigated. A series of fluorinated polyurethane resin (FPU) was synthesized by reacting a fluorinated diol with aromatic diisocyanate. The microphase separated structure of FPU was studied by thermal analysis, and small angle X-ray scattering (SAXS) as well as wide angle X-ray diffraction (WAXD). The surface structure and properties were characterized by X-ray photoelectron spectroscopy (XPS) and dynamic contact angle measurement. The incorporation of fluorine into hard segment brings the FPU to have a higher hard domain cohesion and increase the phase separation, however localization of fluorine on the surface could not be observed. On the other hands, localization of fluorine on the surface could be achieved for soft segment fluorinated PU without any significant change in microphase separated structure. The result from this study give an important basic information for designing PU coating material with a low surface energy and strong adhesion as well as for development of release film on pressure sensitive adhesive tape. (author)

  20. Synthesis of a fluorine-18 labeled hypoxic cell sensitizer

    International Nuclear Information System (INIS)

    Jerabek, P.A.; Dischino, D.D.; Kilbourn, M.R.; Welch, M.J.

    1984-01-01

    The objective of this work was to synthesize a positron emitting radiosensitizing agent as a potential in vivo marker of hypoxic regions within tumors, and ischemic areas of the heart and brain. The method involved radiochemical synthesis of fluorine-18 labeled 1-(2-nitro-imidazolyl)-3-fluoro-2-propanol via nucleophilic ring opening of 1-(2,3-epoxypropyl)2-nitro-imidzole by fluorine-18 labeled tetrabutylammonium fluoride (TBAF). Fluroine-18 TBAF was prepared by the exchange reaction of TBAF with aqueous flourine-18 produced by proton bombardment of enriched oxygen-18 water. The aqueous solution was evaporated carefully by azeotropic distillation with acetonitrile. The fluorine-18 labeled TBAF was taken up in N,N-dimethylacetamide or dimethysulfoxide, then reacted with the episode at 60C for 30 minutes. Separation and identification of the fluorine-18 labeled products by high performance liquid chromatography showed a radioactive peak with a retention time identical to that of 1-(2-nitro-1-imidazolyl)-3-fluoro-2-propanol and a second radioactive peak with a retention time three minutes longer in addition to unreacted fluorine-18 labeled TBAF. The second radioactive peak may represent fluorine-18 labeled 1-2-nitro-1-imidazolyl)-2-fluoro-3-propanol. The average radiochemical yield from reactions run in N,N-dimethylacetamide using 20 micromoles of TBAF and 1-2 mg of the epoxide was l7% in a synthesis time of about 40 minutes. The synthesis of fluorohydrins by the reaction of fluorine-18 labeled TBAF on epoxides represents a new method for the preparation of fluorine-18 labeled fluorohydrins

  1. Comparison of topotactic fluorination methods for complex oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Moon, E. J., E-mail: em582@drexel.edu; Choquette, A. K.; Huon, A.; Kulesa, S. Z.; May, S. J., E-mail: smay@coe.drexel.edu [Department of Materials Science and Engineering, Drexel University, Philadelphia, Pennsylvania 19104 (United States); Barbash, D. [Centralized Research Facilities, Drexel University, Philadelphia, Pennsylvania 19104 (United States)

    2015-06-01

    We have investigated the synthesis of SrFeO{sub 3−α}F{sub γ} (α and γ ≤ 1) perovskite films using topotactic fluorination reactions utilizing poly(vinylidene fluoride) as a fluorine source. Two different fluorination methods, a spin-coating and a vapor transport approach, were performed on as-grown SrFeO{sub 2.5} films. We highlight differences in the structural, compositional, and optical properties of the oxyfluoride films obtained via the two methods, providing insight into how fluorination reactions can be used to modify electronic and optical behavior in complex oxide heterostructures.

  2. Comparison of topotactic fluorination methods for complex oxide films

    Directory of Open Access Journals (Sweden)

    E. J. Moon

    2015-06-01

    Full Text Available We have investigated the synthesis of SrFeO3−αFγ (α and γ ≤ 1 perovskite films using topotactic fluorination reactions utilizing poly(vinylidene fluoride as a fluorine source. Two different fluorination methods, a spin-coating and a vapor transport approach, were performed on as-grown SrFeO2.5 films. We highlight differences in the structural, compositional, and optical properties of the oxyfluoride films obtained via the two methods, providing insight into how fluorination reactions can be used to modify electronic and optical behavior in complex oxide heterostructures.

  3. Photoemission studies of fluorine functionalized porous graphitic carbon

    Science.gov (United States)

    Ganegoda, Hasitha; Jensen, David S.; Olive, Daniel; Cheng, Lidens; Segre, Carlo U.; Linford, Matthew R.; Terry, Jeff

    2012-03-01

    Porous graphitic carbon (PGC) has unique properties desirable for liquid chromatography applications when used as a stationary phase. The polar retention effect on graphite (PREG) allows efficient separation of polar and non-polar solutes. Perfluorinated hydrocarbons however lack polarizabilty and display strong lipo- and hydrophobicity, hence common lipophilic and hydrophilic analytes have low partition coefficiency in fluorinated stationary phases. Attractive interaction between fluorinated stationary phase and fluorinated analytes results in strong retention compared to non-fluorinated analytes. In order to change the selectivities of PGC, it is necessary to develop a bonded PGC stationary phase. In this study, we have synthesized perfluorinated, PGC using hepatadecafluoro-1-iodooctane, under different temperature conditions. Surface functionalization of the raw material was studied using photoelectron spectroscopy (PES). Results indicate the existence of fluorine containing functional groups, -CF, -CF2 along with an intercalated electron donor species. Multiple oxygen functional groups were also observed, likely due to the presence of oxygen in the starting material. These oxygen species may be responsible for significant modifications to planer and tetrahedral carbon ratios.

  4. Photoemission studies of fluorine functionalized porous graphitic carbon

    Energy Technology Data Exchange (ETDEWEB)

    Ganegoda, Hasitha; Olive, Daniel; Cheng, Lidens; Segre, Carlo U.; Terry, Jeff [Department of Physics, Illinois Institute of Technology, Chicago, Illinois 60616 (United States); Jensen, David S.; Linford, Matthew R. [Department of Chemistry and Biochemistry, Brigham Young University, Provo, Utah 84602 (United States)

    2012-03-01

    Porous graphitic carbon (PGC) has unique properties desirable for liquid chromatography applications when used as a stationary phase. The polar retention effect on graphite (PREG) allows efficient separation of polar and non-polar solutes. Perfluorinated hydrocarbons however lack polarizabilty and display strong lipo- and hydrophobicity, hence common lipophilic and hydrophilic analytes have low partition coefficiency in fluorinated stationary phases. Attractive interaction between fluorinated stationary phase and fluorinated analytes results in strong retention compared to non-fluorinated analytes. In order to change the selectivities of PGC, it is necessary to develop a bonded PGC stationary phase. In this study, we have synthesized perfluorinated, PGC using hepatadecafluoro-1-iodooctane, under different temperature conditions. Surface functionalization of the raw material was studied using photoelectron spectroscopy (PES). Results indicate the existence of fluorine containing functional groups, -CF, -CF{sub 2} along with an intercalated electron donor species. Multiple oxygen functional groups were also observed, likely due to the presence of oxygen in the starting material. These oxygen species may be responsible for significant modifications to planer and tetrahedral carbon ratios.

  5. Adsorption and diffusion of fluorine on Cr-doped Ni(111) surface: Fluorine-induced initial corrosion of non-passivated Ni-based alloy

    Energy Technology Data Exchange (ETDEWEB)

    Ren, Cui-Lan, E-mail: rencuilan@sinap.ac.cn [Shanghai Institute of Applied Physics, Chinese Academy of Sciences, Shanghai 201800 (China); Key Laboratory of Interfacial Physics and Technology, Chinese Academy of Sciences, Shanghai 201800 (China); Han, Han [Shanghai Institute of Applied Physics, Chinese Academy of Sciences, Shanghai 201800 (China); Gong, Wen-Bin [Shanghai Institute of Applied Physics, Chinese Academy of Sciences, Shanghai 201800 (China); Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, Shanghai 215123 (China); Wang, Cheng-Bin; Zhang, Wei [Shanghai Institute of Applied Physics, Chinese Academy of Sciences, Shanghai 201800 (China); Key Laboratory of Interfacial Physics and Technology, Chinese Academy of Sciences, Shanghai 201800 (China); Cheng, Cheng [Shanghai Institute of Applied Physics, Chinese Academy of Sciences, Shanghai 201800 (China); Huai, Ping, E-mail: huaiping@sinap.ac.cn [Shanghai Institute of Applied Physics, Chinese Academy of Sciences, Shanghai 201800 (China); Zhu, Zhi-Yuan [Shanghai Institute of Applied Physics, Chinese Academy of Sciences, Shanghai 201800 (China); Key Laboratory of Interfacial Physics and Technology, Chinese Academy of Sciences, Shanghai 201800 (China)

    2016-09-15

    Adsorption and diffusion behaviors of fluorine on Cr-doped Ni(111) surface are investigated by using first-principles simulation. It shows that the Cr in the Cr-doped Ni(111) surface serve a trap site for fluorine with adsorption energy 3.52 eV, which is 1.04 eV higher than that on Ni(111) surface. Moreover, the Cr atom is pulled out the surface for 0.41 Å after the fluorine adsorption, much higher than that on Ni(111) surface. Further diffusion behaviors analysis confirms the conclusion because the fluorine diffusion from neighbored sites onto the Cr top site is an energy barrierless process. Detailed electronic structure analysis shows that a deeper hybrid state of F 2 p-Cr 3 d indicates a strong F−Cr interaction. The Ni−Cr bond is elongated and weakened due to the new formed F−Cr bonding. Our results help to understanding the basic fluorine-induced initial corrosion mechanism for Ni-based alloy in molten salt environment.

  6. Novel Synthesis of Slightly Fluorinated Graphene Quantum Dots with Luminescent and Paramagnetic Properties through Thermal Cutting of Fluorinated Graphene

    Science.gov (United States)

    Feng, Qian; Xiao, Wenqing; Zheng, Yongping; Lin, Yuda; Li, Jiaxin; Ye, Qingying; Huang, Zhigao

    2018-01-01

    A novel approach has been developed to synthesize slightly fluorinated graphene quantum dots (GQDs-F) through thermal cutting of highly fluorinated graphene. The fluorinated graphene with substantial structure defects is fragile and is readily attacked. The direct evaporation of abundant CFn (n = 2, 3) groups near structure defects lead to the loss of adjacent skelton C atoms, and the fluorinated graphene can be thermally cut into GQDs-F with a relatively uniform nanosize in pyrolysis at 810 K. The GQDs-F with a low F/C atomic ratio of ca. 0.03 exhibit excitation wavelength-dependent properties with multicolor photoluminescence (PL) from blue to green. At the same time, F adatoms that are most likely located at the edges of GQDs-F have a high efficiency of introducing paramagnetic centres, and GQDs-F show a strong paramagnetism because of sp3-type defects and magnetic zigzag edges. The graphene quantum dots with such multimodal capabilities should have great applied value in material science. PMID:29316730

  7. The Curious Case of Fluorination of Conjugated Polymers for Solar Cells.

    Science.gov (United States)

    Zhang, Qianqian; Kelly, Mary Allison; Bauer, Nicole; You, Wei

    2017-09-19

    Organic solar cells (OSCs) have been a rising star in the field of renewable energy since the introduction of the bulk heterojunction (BHJ) in 1992. Recent advances have pushed the efficiencies of OSCs to over 13%, an impressive accomplishment via collaborative efforts in rational materials design and synthesis, careful device engineering, and fundamental understanding of device physics. Throughout these endeavors, several design principles for the conjugated donor polymers used in such solar cells have emerged, including optimizing the conjugated backbone with judicious selection of building blocks, side-chain engineering, and substituents. Among all of the substituents, fluorine is probably the most popular one; improved device characteristics with fluorination have frequently been reported for a wide range of conjugated polymers, in particular, donor-acceptor (D-A)-type polymers. Herein we examine the effect of fluorination on the device performance of solar cells as a function of the position of fluorination (on the acceptor unit or on the donor unit), aiming to outline a clear understanding of the benefits of this curious substituent. As fluorination of the acceptor unit is the most adopted strategy for D-A polymers, we first discuss the effect of fluorination of the acceptor units, highlighting the five most widely utilized acceptor units. While improved device efficiency has been widely observed with fluorinated acceptor units, the underlying reasons vary from case to case and highly depend on the chemical structure of the polymer. Second, the effect of fluorination of the donor unit is addressed. Here we focus on four donor units that have been most studied with fluorination. While device-performance-enhancing effects by fluorination of the donor units have also been observed, it is less clear that fluorine will always benefit the efficiency of the OSC, as there are several cases where the efficiency drops, in particular with "over-fluorination", i.e., when

  8. Mechanical and Structural Properties of Fluorine-Ion-Implanted Boron Suboxide

    OpenAIRE

    Machaka, Ronald; Mwakikunga, Bonex W.; Manikandan, Elayaperumal; Derry, Trevor E.; Sigalas, Iakovos; Herrmann, Mathias

    2012-01-01

    Results on a systematic study on the effects of ion implantation on the near-surface mechanical and structural properties of boron suboxide (B 6O) prepared by uniaxial hot pressing are reviewed. 150keV fluorine ions at fluences of up to 5.0 × 10 16ions/cm 2 were implanted into the ultrahard ceramic material at room temperature and characterized using Raman spectroscopy, atomic force microscopy, and scanning electron microscopy with energy-dispersive X-ray spectroscopy. Evidence of ion-beam-as...

  9. Production of elemental fluorine at IPEN - S. Paulo, Brazil

    International Nuclear Information System (INIS)

    Abrao, A.; Ikuta, A.; Wirkner, F.M.; Silva, F.P. da.

    1981-04-01

    The construction, installation and operation of a pilot unit for electrolytic generation of elemental fluorine are described. The 400 A monel electrolytic cell is heated by a water jacket. The electrolyte has the composition KF.1,8 - 2,0 HF that is maintained by intermittent addition of gaseous HF. Pre-electrolysis is made using nickel anodes which are then exchanged by non-graphitized carbon ones. Systems for purification of elemental fluorine by cryoscopy and absortion of HF, compression and storage for fluorine are described. Pure fluorine is used for the preparation of uranium hexafluoride. Identification of problems and difficulties and their solution are pointed out. (Author) [pt

  10. MODELLING OF KINETICS OF FLUORINE ADSORPTION ONTO MODIFIED DIATOMITE

    Directory of Open Access Journals (Sweden)

    VEACESLAV ZELENTSOV

    2017-03-01

    Full Text Available The paper presents kinetics modelling of adsorption of fluorine onto modified diatomite, its fundamental characteristics and mathematical derivations. Three models of defluoridation kinetics were used to fit the experimental results on adsorption fluorine onto diatomite: the pseudo-first order model Lagergren, the pseudo-second order model G. McKay and H.S. Ho and intraparticle diffusion model of W.J. Weber and J.C. Morris. Kinetics studies revealed that the adsorption of fluorine followed second-order rate model, complimented by intraparticle diffusion kinetics. The adsorption mechanism of fluorine involved three stages – external surface adsorption, intraparticle diffusion and the stage of equilibrium.

  11. Macromolecular Networks Containing Fluorinated Cyclic Moieties

    Science.gov (United States)

    2015-12-12

    Briefing Charts 3. DATES COVERED (From - To) 17 Nov 2015 – 12 Dec 2015 4. TITLE AND SUBTITLE Macromolecular Networks Containing Fluorinated Cyclic... FLUORINATED CYCLIC MOIETIES 12 December 2015 Andrew J. Guenthner,1 Scott T. Iacono,2 Cynthia A. Corley,2 Christopher M. Sahagun,3 Kevin R. Lamison,4...Reinforcements Good Flame, Smoke, & Toxicity Characteristics Low Water Uptake with Near Zero Coefficient of Hygroscopic Expansion ∆ DISTRIBUTION A

  12. 40 CFR 721.4663 - Fluorinated carboxylic acid alkali metal salts.

    Science.gov (United States)

    2010-07-01

    ... Specific Chemical Substances § 721.4663 Fluorinated carboxylic acid alkali metal salts. (a) Chemical... fluorinated carboxylic acid alkali metal salts (PMNs P-95-979/980/981) are subject to reporting under this... 40 Protection of Environment 30 2010-07-01 2010-07-01 false Fluorinated carboxylic acid alkali...

  13. Controlled Synthesis of Fluorinated Copolymers with Pendant Sulfonates

    DEFF Research Database (Denmark)

    Dimitrov, Ivaylo; Jankova Atanasova, Katja; Hvilsted, Søren

    2008-01-01

    Novel fluorinated copolymers of different architectures and bearing sulfopropyl groups were synthesized by atom transfer radical polymerization (ATRP) of aromatic fluorinated monomers and two modification reactions performed on the polymer chain - demethylation followed by sulfopropylation. As a ...

  14. Simple electrolytic cell for production of elemental fluorine

    International Nuclear Information System (INIS)

    Dides F, M.; Padilla S, U.

    1990-01-01

    It was constructed and tested a simple electrolytic cell for the production of elemental fluorine. The fluorine production is essential in the obtainment of uranium hexafluoride, a compound for the nuclear fuel cycle. (A.C.A.S.)

  15. A feasibility study for measuring fluorine in bone, in-vivo, using neutron activation analysis

    International Nuclear Information System (INIS)

    Chamberlain, M.; McNeill, F.; Aslam; Byun, S.H.

    2008-01-01

    Full text: Skeletal fluorosis is a bone disease which is a result of excessive fluoride ingestion and may cause osteosclerosis, osteoporosis and calcification of tendons and ligaments. Endemic levels of fluorosis are commonly reported in areas of the world with naturally high concentrations of fluoride in the drinking water. However, fluorosis is difficult to medically diagnose, and due to its prevalence, a non-invasive method for measuring the concentration of fluoride in bone is warranted. A feasibility study has been conducted to determine the possibility of measuring fluorine non-invasively in exposed populations using neutron activation analysis. Neutron activation analysis has been used successfully to measure the amount of fluoride in bone biopsy samples. However, measurement of fluorine is challenging, and has not, to our knowledge, previously been attempted in vivo, as the 20 F isotope has the very short half life of 11s. Transfer from activation counting must therefore be fast. For this study, plaster of Paris powder phantoms doped with varying fluoride concentrations were created to simulate a fist. They were irradiated using a low energy neutron beam at McMaster's Tandem Accelerator facility. The 7 Li(p,n) 7 Be reaction was used as the source of neutrons; the Be target was irradiated with an incident proton energy of 2.15MeV. The fluorine was detected via the neutron capture reaction, 19 F(n,γ) 20 F, using two 20 cm x 5 cm NaI detectors. Fluorine emits a gamma ray at 1633 keV upon decay. A calibration curve of peak area versus phantom fluorine content was created and a detection limit of 1.8 mg F/g Ca, with a corresponding dose of approximately 12 mSv to the hand. This data will be presented and the feasibility of measurement discussed in the context of the delivered dose. In addition, results of the investigation of the competing reaction, 23 Na(n,α) 20 F, will be presented. Data illustrating the relative activation and count rates from fluorine

  16. Injuries caused to fruit trees by fluorine containing gases

    Energy Technology Data Exchange (ETDEWEB)

    Bovay, E

    1958-01-01

    Determinations of chlorine and fluorine have been made on leaves of various fruit trees growing in the vicinity of two factories, the first one being an aluminium factory and the second one a soda factory. The gases released by the first factory are of the fluorine type and those of the second one of the chlorine type. While the concentrations of fluorine are generally higher than 10 mg per 100 g of leaf dry matter, they hardly reached 2.5 mg% in 1957; the aluminium factory was not in operation that year. Moreover no symptoms of burns were observed in 1957 on the leaves of the fruit trees. In contrast to fluorine, the concentrations of chlorine remained constant.

  17. Saturation of the hydroxyapatite mineral phase using radioactive fluorine; Saturacion de la fase mineral hidroxiapatita utilizando fluor radiactivo

    Energy Technology Data Exchange (ETDEWEB)

    Flores de la Torre, J.A.; Badillo A, V.E. [Universidad Autonoma de Zacatecas, 98000 Zacatecas (Mexico); Lopez D, F.A. [Unidad PET Ciclotron, Facultad de Medicina, UNAM, 04510 Mexico D.f. (Mexico)]. e-mail: ebadillo@cantera.reduaz.mx

    2005-07-01

    With the purpose of knowing the Anion exchange capacity (CIA) of the hydroxyapatite mineral phase, marketed by BIO-RAD, becomes necessary to saturate the surface of the mineral with an anion specie that possesses a strong affinity by this solid as it is the case of the fluorine. Moreover it takes advantage that offers the radioactive tracer technique, using the radioactive isotope of the fluorine, {sup 18}F, produced in the cyclotron of the UNAM. This saturation is obtained in terms of the quantity of retained fluorine (mmol/ 100 g) in the synthetic hydroxyapatite in function of the concentration of the solution of NaF that oscillates from 0.7 M up to 0.16 M to fixed values of pH of 9.2. Those results demonstrate that to this fixed pH value the saturation of the surface of the hydroxyapatite is achieved in approximately 30 mmol/ 100 g, using important concentrations of NaF that correspond to 0.14 M from now on. This result demonstrates the high capacity of the solid considered to retain considerable quantities of fluorine even to basic pH values. (Author)

  18. Phytoindication of air pollution by fluorine emissions

    Energy Technology Data Exchange (ETDEWEB)

    Holub, Z; Kontrisova, O

    1973-01-01

    Analytical techniques allowing quantitative chemical analysis of toxic materials in leaves are described. The method is specifically designed to examine foliage which has been exposed to fluorine. Naturally occurring plants (angiosperms) are effective as bioindicators of high levels of fluorine pollution, while lichens and/or carefully cultivated plants are more effective as indicators of low levels of F.

  19. Fluorination of some highly functionalized cycloalkanes: chemoselectivity and substrate dependence.

    Science.gov (United States)

    Remete, Attila Márió; Nonn, Melinda; Fustero, Santos; Haukka, Matti; Fülöp, Ferenc; Kiss, Loránd

    2017-01-01

    A study exploring the chemical behavior of some dihydroxylated β-amino ester stereo- and regioisomers, derived from unsaturated cyclic β-amino acids is described. The nucleophilic fluorinations involving hydroxy-fluorine exchange of some highly functionalized alicyclic diol derivatives have been carried out in view of selective fluorination, investigating substrate dependence, neighboring group assistance and chemodifferentiation.

  20. Determination of carbon chlorine and fluorine in uranium dioxide

    International Nuclear Information System (INIS)

    Kijko, N.I.; Timofeev, G.A.

    1983-01-01

    Techniques of chlorine and fluorine determination and simultaneous determination of carbon and chlorine in electrolytic uranium dioxide are described. The method of chlorine and fluorine determination is based on their separation during oxide pyrohydrolysis with subsequent spectrophotometric analysis of condensate. Lower determination limits constitute 1 μg for chlorine, 0.5 μg for fluorine. Relative standard deviation when the content of impurities analyzed is 10 -3 % constitutes 0.05-0.07

  1. In vivo MR detection of fluorine-labeled human MSC using the bSSFP sequence.

    Science.gov (United States)

    Ribot, Emeline J; Gaudet, Jeffrey M; Chen, Yuhua; Gilbert, Kyle M; Foster, Paula J

    2014-01-01

    Mesenchymal stem cells (MSC) are used to restore deteriorated cell environments. There is a need to specifically track these cells following transplantation in order to evaluate different methods of implantation, to follow their migration within the body, and to quantify their accumulation at the target. Cellular magnetic resonance imaging (MRI) using fluorine-based nanoemulsions is a great means to detect these transplanted cells in vivo because of the high specificity for fluorine detection and the capability for precise quantification. This technique, however, has low sensitivity, necessitating improvement in MR sequences. To counteract this issue, the balanced steady-state free precession (bSSFP) imaging sequence can be of great interest due to the high signal-to-noise ratio (SNR). Furthermore, it can be applied to obtain 3D images within short acquisition times. In this paper, bSSFP provided accurate quantification of samples of the perfluorocarbon Cell Sense-labeled cells in vitro. Cell Sense was internalized by human MSC (hMSC) without adverse alterations in cell viability or differentiation into adipocytes/osteocytes. The bSSFP sequence was applied in vivo to track and quantify the signals from both Cell Sense-labeled and iron-labeled hMSC after intramuscular implantation. The fluorine signal was observed to decrease faster and more significantly than the volume of iron-associated voids, which points to the advantage of quantifying the fluorine signal and the complexity of quantifying signal loss due to iron.

  2. Fluorination of some highly functionalized cycloalkanes: chemoselectivity and substrate dependence

    Directory of Open Access Journals (Sweden)

    Attila Márió Remete

    2017-11-01

    Full Text Available A study exploring the chemical behavior of some dihydroxylated β-amino ester stereo- and regioisomers, derived from unsaturated cyclic β-amino acids is described. The nucleophilic fluorinations involving hydroxy–fluorine exchange of some highly functionalized alicyclic diol derivatives have been carried out in view of selective fluorination, investigating substrate dependence, neighboring group assistance and chemodifferentiation.

  3. Fluorine-18 labeling of proteins

    International Nuclear Information System (INIS)

    Kilbourn, M.R.; Dence, C.S.; Welch, M.J.; Mathias, C.J.

    1987-01-01

    Two fluorine-18-labeled reagents, methyl 3-[ 18 F]fluoro-5-nitrobenzimidate and 4-[ 18 F]fluorophenacyl bromide, have been prepared for covalent attachment of fluorine-18 to proteins. Both reagents can be prepared in moderate yields (30-50%, EOB) in synthesis times of 50-70 min. Reaction of these reagents with proteins (human serum albumin, human fibrinogen, and human immunoglobulin A) is pH independent, protein concentration dependent, and takes 5-60 min at mild pH (8.0) and temperature (25-37 degrees C), in yields up to 95% (corrected). The 18 F-labeled proteins are purified by size exclusion chromatography

  4. Enantioselective catalytic fluorinative aza-semipinacol rearrangement.

    Science.gov (United States)

    Romanov-Michailidis, Fedor; Pupier, Marion; Besnard, Céline; Bürgi, Thomas; Alexakis, Alexandre

    2014-10-03

    An efficient and highly stereoselective fluorinative aza-semipinacol rearrangement is described. The catalytic reaction requires use of Selectfluor in combination with the chiral, enantiopure phosphate anion derived from acid L3. Under optimized conditions, cyclopropylamines A were transformed into β-fluoro cyclobutylimines B in good yields and high levels of diastereo- and enantiocontrol. Furthermore, the optically active cyclobutylimines were reduced diastereoselectively with L-Selectride in the corresponding fluorinated amines C, compounds of significant interest in the pharmacological industry.

  5. Fluorine-18 labelled compounds

    International Nuclear Information System (INIS)

    Kleijn, J.P. de

    1978-01-01

    The work presented in this thesis deals with the problems involved in the adaption of reactor-produced fluorine-18 to the synthesis of 18 F-labelled organic fluorine compounds. Several 18 F-labelling reagents were prepared and successfully applied. The limitations to the synthetic possibilities of reactor-produced fluoride- 18 become manifest in the last part of the thesis. An application to the synthesis of labelled aliphatic fluoro amino acids has appeared to be unsuccessful as yet, although some other synthetic approaches can be indicated. Seven journal articles (for which see the availability note) are used to compose the four chapters and three appendices. The connecting text gives a survey of known 18 F-compounds and methods for preparing such compounds. (Auth.)

  6. In vivo MR detection of fluorine-labeled human MSC using the bSSFP sequence

    Directory of Open Access Journals (Sweden)

    Ribot EJ

    2014-04-01

    Full Text Available Emeline J Ribot,1 Jeffrey M Gaudet,1,2 Yuhua Chen,1 Kyle M Gilbert,1 Paula J Foster1,2 1Imaging Research Laboratories, Robarts Research Institute, London, ON, Canada; 2Department of Medical Biophysics, University of Western Ontario, London, ON, Canada Abstract: Mesenchymal stem cells (MSC are used to restore deteriorated cell environments. There is a need to specifically track these cells following transplantation in order to evaluate different methods of implantation, to follow their migration within the body, and to quantify their accumulation at the target. Cellular magnetic resonance imaging (MRI using fluorine-based nanoemulsions is a great means to detect these transplanted cells in vivo because of the high specificity for fluorine detection and the capability for precise quantification. This technique, however, has low sensitivity, necessitating improvement in MR sequences. To counteract this issue, the balanced steady-state free precession (bSSFP imaging sequence can be of great interest due to the high signal-to-noise ratio (SNR. Furthermore, it can be applied to obtain 3D images within short acquisition times. In this paper, bSSFP provided accurate quantification of samples of the perfluorocarbon Cell Sense-labeled cells in vitro. Cell Sense was internalized by human MSC (hMSC without adverse alterations in cell viability or differentiation into adipocytes/osteocytes. The bSSFP sequence was applied in vivo to track and quantify the signals from both Cell Sense-labeled and iron-labeled hMSC after intramuscular implantation. The fluorine signal was observed to decrease faster and more significantly than the volume of iron-associated voids, which points to the advantage of quantifying the fluorine signal and the complexity of quantifying signal loss due to iron. Keywords: bSSFP, fluorine MRI, mesenchymal stem cell, mouse, cell tracking

  7. Consultants' meeting on reactor production and utilization of Fluorine-18

    International Nuclear Information System (INIS)

    Vera Ruiz, H.

    1986-08-01

    The nuclear research reactors with thermal neutron fluxes in the order of 1x10 13 cm -2 s -1 can produce sufficient quantities of fluorine-18 for biomedical applications. The recent improvements in labelling with fluorine-18 via nucleophilic reactions have made it possible to develop efficient synthesis techniques for preparing useful quantities of radiopharmaceuticals, which are of great interest for studying regional metabolic functions with positron emission tomography. Other non-medical activities in the field of pharmacology, toxicology, no-carrier-added syntheses and reaction mechanisms in fluorine chemistry can also conveniently be studied using fluorine-18 as a tracer

  8. Effects of fluorine on the germination of some species of seeds

    Energy Technology Data Exchange (ETDEWEB)

    Navara, J; Holub, Z; Bedatsova, L

    1966-01-01

    The various degrees of tolerance of the seeds of some species of plants towards fluorine and their ability to accumulate fluorine under experimental conditions are presented. The effects of fluorine on the germination of seeds manifests itself in various ways. The studied species have been divided into four groups according to their natural tolerance: (1) very sensitive: pea, soya, vetch and cabbage; (2) sensitive: radish, barley, cole; (3) less sensitive: maize, cauliflower, alfalfa, mustard, oats, clover kohlrabi; and (4) tolerant: poppy, carrot, tomato. Highly tolerant species are capable of accumulating considerable amounts of fluorine when compared with the more sensitive species. A more intensive accumulation of fluorine has been noticed in the oleaginous species, viz. mustard and poppy. A correlation was found to exist between the ash contents (especially of calcium) and the ability of a greater accumulation of fluorine. 23 references, 6 figures, 2 tables.

  9. In-vivo analysis of fluorine and other elements in human tooth enamel

    International Nuclear Information System (INIS)

    Baijot-Stroobants, J.; Vreven, J.

    1979-01-01

    The technique used to study fluorination of human tooth enamel is based on prompt activation by charged particles and detection of the 110- and 197-keV gamma rays emitted in the (p,p'γ) reaction on fluorine. The proton beam is provided by the Van de Graaff accelerator at the University of Namur and is used at atmospheric pressure. The technique can be used for non-destructive determination of fluorine concentrations of the same area of enamel both before and after topical application of fluorinated compounds (commercial solutions and gels) and thus for determination of fluorine fixation in the surface layer of the enamel. A very high degree of enrichment is obtained 30 min after the application of a solution of amine fluoride (AmF; 4400 ppm) and of two fluorophosphate acid (APF) gels (1774 and 3277 ppm). Monofluorophosphate (MFP) and amine fluoride (AmF) gels, however, produce insignificant degrees of enrichment (105 and 228 ppm). Measurement of fluorine retention during the hours after fluorination shows a small loss of fluorine 6 h after application of the AmF solution and the APF gels, whereas with MFP and AmF gels the degree of enrichment is nil 5 h after treatment. Determinations of sodium and of phosphorus have also been carried out with the same technique after brushing with a fluorinated tooth-paste or after topical application of a fluorinated gel. (author)

  10. Facing the rain after the phase out: Performance evaluation of alternative fluorinated and non-fluorinated durable water repellents for outdoor fabrics.

    Science.gov (United States)

    Schellenberger, S; Gillgard, P; Stare, A; Hanning, A; Levenstam, O; Roos, S; Cousins, I T

    2018-02-01

    Fluorinated durable water repellent (DWR) agents are used to obtain water and stain repellent textiles. Due to the on-going phase-out of DWRs based on side-chain fluorinated polymers (SFP) with "long" perfluoroalkyl chains, the textile industry lacks suitable alternatives with comparable material characteristics. The constant development and optimization of SFPs for textile applications initiated more than half a century ago has resulted in a robust and very efficient DWR-technology and textiles with exceptional hydro- and oleo-phobic properties. The industry is now in the predicament that the long-chain SFPs with the best technical performance have undesirable toxicological and environmental behaviour. This study provides a comprehensive overview of the technical performance of presently available fluorinated and non-fluorinated DWRs as part of a chemical alternatives assessment (CAA). The results are based on a study with synthetic outdoor fabrics treated with alternative DWRs and tested for repellency using industrial standard and complementary methods. Using this approach, the complex structure-property relationships of DWR-polymers could be explained on a molecular level. Both short-chain SFPs and non-fluorinated DWRs showed excellent water repellency and durability in some cases while short-chain SFPs were the more robust of the alternatives to long-chain SFPs. A strong decline in oil repellency and durability with perfluoroalkyl chain length was shown for SFP DWRs. Non-fluorinated alternatives were unable to repel oil, which might limit their potential for substitution in textile application that require repellency towards non-polar liquids. Copyright © 2017. Published by Elsevier Ltd.

  11. Reversibility of Graphene-Enhanced Raman Scattering with Fluorinated Graphene

    Czech Academy of Sciences Publication Activity Database

    Valeš, Václav; Melníková Komínková, Zuzana; Verhagen, Timotheus; Vejpravová, Jana; Kalbáč, Martin

    2017-01-01

    Roč. 254, č. 11 (2017), č. článku 1700177. ISSN 0370-1972 R&D Projects: GA ČR(CZ) GA15-01953S; GA MŠk(CZ) LM2015073 Grant - others:GA MŠk(CZ) CZ.02.1.01/0.0/0.0/16_013/0001821 Institutional support: RVO:61388955 ; RVO:68378271 Keywords : fluorination * graphene * graphene-enhanced Raman * Raman spectroscopy * scattering Subject RIV: CF - Physical ; Theoretical Chemistry; BM - Solid Matter Physics ; Magnetism (FZU-D) OBOR OECD: Physical chemistry; Condensed matter physics (including formerly solid state physics, supercond.) (FZU-D) Impact factor: 1.674, year: 2016

  12. Quasi-particle energies and optical excitations of hydrogenated and fluorinated germanene.

    Science.gov (United States)

    Shu, Huabing; Li, Yunhai; Wang, Shudong; Wang, Jinlan

    2015-02-14

    Using density functional theory, the G0W0 method and Bethe-Salpeter equation calculations, we systematically explore the structural, electronic and optical properties of hydrogenated and fluorinated germanene. The hydrogenated/fluorinated germanene tends to form chair and zigzag-line configurations and its electronic and optical properties show close geometry dependence. The chair hydrogenated/fluorinated and zigzag-line fluorinated germanene are direct band-gap semiconductors, while the zigzag-line hydrogenated germanene owns an indirect band-gap. Moreover, the quasi-particle corrections are significant and strong excitonic effects with large exciton binding energies are observed. Moreover, the zigzag-line hydrogenated/fluorinated germanene shows highly anisotropic optical responses, which may be used as a good optical linear polarizer.

  13. Determination of fluorine in aqueous solution by means of photon activation

    International Nuclear Information System (INIS)

    Engelmann, Ch.; Gosset, J.

    1982-01-01

    An apparatus ensuring identical irradiation conditions for three samples and a standard of large volumes is reported. The interference caused by the protons originating from the 16 O(γ,p) 15 N reaction is determined. Results show that the secondary reaction 18 O(p,n) 18 F induced by the protons of the former reaction gives an apparent fluorine content in natural waters of 0.015 μg/g for a maximum gamma photon beam energy of 21 MeV. (author)

  14. Novel Fluorinated Indanone, Tetralone and Naphthone Derivatives: Synthesis and Unique Structural Features

    Directory of Open Access Journals (Sweden)

    Joseph C. Sloop

    2012-02-01

    Full Text Available Several fluorinated and trifluoromethylated indanone, tetralone and naphthone derivatives have been prepared via Claisen condensations and selective fluorinations in yields ranging from 22–60%. In addition, we report the synthesis of new, selectively fluorinated bindones in yields ranging from 72–92%. Of particular interest is the fluorination and trifluoroacetylation regiochemistry observed in these fluorinated products. We also note unusual transformations including a novel one pot, dual trifluoroacetylation, trifluoroacetylnaphthone synthesis via a deacetylation as well as an acetyl-trifluoroacetyl group exchange. Solid-state structural features exhibited by these compounds were investigated using crystallographic methods. Crystallographic results, supported by spectroscopic data, show that trifluoroacetylated ketones prefer a chelated cis-enol form whereas fluorinated bindone products exist primarily as the cross-conjugated triketo form.

  15. Defects in silicon carbide grown by fluorinated chemical vapor deposition chemistry

    Science.gov (United States)

    Stenberg, Pontus; Booker, Ian D.; Karhu, Robin; Pedersen, Henrik; Janzén, Erik; Ivanov, Ivan G.

    2018-04-01

    Point defects in n- and p-type 4H-SiC grown by fluorinated chemical vapor deposition (CVD) have been characterized optically by photoluminescence (PL) and electrically by deep-level transient spectroscopy (DLTS) and minority carrier transient spectroscopy (MCTS). The results are considered in comparison with defects observed in non-fluorinated CVD growth (e.g., using SiH4 instead of SiF4 as silicon precursor), in order to investigate whether specific fluorine-related defects form during the fluorinated CVD growth, which might prohibit the use of fluorinated chemistry for device-manufacturing purposes. Several new peaks identifying new defects appear in the PL of fluorinated-grown samples, which are not commonly observed neither in other halogenated chemistries, nor in the standard CVD chemistry using silane (SiH4). However, further investigation is needed in order to determine their origin and whether they are related to incorporation of F in the SiC lattice, or not. The electric characterization does not find any new electrically-active defects that can be related to F incorporation. Thus, we find no point defects prohibiting the use of fluorinated chemistry for device-making purposes.

  16. Determination by transfer reaction of alpha widths in fluorine for astrophysical interest; Determination par reaction de transfert de largeurs alpha dans le fluor 19. Applications a l'astrophysique

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira Santos, F de

    1995-04-15

    The nucleosynthesis of fluorine is not known. Several astrophysical models predict the alpha radiative capture onto N{sup 15} as the main fluorine production reaction. In the expression of the reaction rate, one parameter is missing: the alpha width of the resonance on the E = 4.377 MeV level in fluorine. A direct measurement is excluded due to the very low cross-section expected. We have determined this alpha width using a transfer reaction followed by analyses with FR-DWBA (Finite Range Distorted Wave Born Approximation) in a simple cluster alpha model. This experiment was carried out with a Li{sup 7} beam with E = 28 MeV onto a N{sup 15} gas target. The 16 first levels were studied. Spectroscopic factors were extracted for most of them. Alpha widths for unbound levels were determined. Many alpha width were compared with known values from direct reaction and the differences lie within the uncertainty range (factor 2). The alpha width for the E = 4.377 MeV level was determined ({gamma}{sub {alpha}} = 1.5*10{sup -15} MeV), its value is about 60 times weaker than the used value. The influence of our new rate was studied in AGB (Asymptotic Giant Branch) stars during thermal pulses. In this model the alteration is sensitive. (author)

  17. Improvement of suspension stability and electrophoresis of nanodiamond powder by fluorination

    Energy Technology Data Exchange (ETDEWEB)

    Huang, H.; Wang, Y.H. [State Key Laboratory of Metastable Material Science and Technology, College of Material Science and Engineering, Hebei Street, Yanshan University, Qinhuangdao 066004 (China); Zang, J.B., E-mail: diamondzjb@163.com [State Key Laboratory of Metastable Material Science and Technology, College of Material Science and Engineering, Hebei Street, Yanshan University, Qinhuangdao 066004 (China) and State Key Laboratory for Advanced Metals and Materials, School of Materials Science and Engineering, University of Science and Technology Beijing, Beijing, 100083 (China); Bian, L.Y. [State Key Laboratory of Metastable Material Science and Technology, College of Material Science and Engineering, Hebei Street, Yanshan University, Qinhuangdao 066004 (China)

    2012-02-01

    Fluorinated nanodiamond (F-ND) was prepared by annealing ND in fluorine gas. The fluorine gas was periodically fed to ensure uniform exposure of every diamond nanoparticle in homogenous reactive ambience. The characteristics of the F-ND particles were investigated by the following methods: Fourier-transform infrared absorption spectroscopy, energy-dispersive X-ray spectrometer, X-ray diffraction, and transmission electron microscopy. The results showed that the fluorine atoms were chemically adsorbed on the surface of the ND particles and consequently formed C-F bonds. Fluorine of 6.4 wt.% was detected on the F-ND surface. The aggregated ND particles were disintegrated by the fluorination and the size of aggregated ND was reduced from approximately several hundred nanometers to about 40 nm. The stability of the F-ND suspension in distilled water or ethanol was higher than that of the pristine ND suspension. The anodic electrophoretic deposition of the F-ND particles was derived using ethanol suspension, indicating that the F-ND particles were negatively charged.

  18. Calcium, strontium and fluorine patterns in shells of Pacific Oyster and Rock Oyster

    International Nuclear Information System (INIS)

    Coote, G.E.

    1996-01-01

    The IGNS proton microprobe has been applied in a study of the distribution of Calcium, Strontium and Fluorine in the calcite shells of Pacific Oyster (Crassostrea gigas) and the native Rock oyster (Crassostrea glomerata). The ultimate aim is to derive information which could have application in such fields as archaeology, biology, palaeontology, aquaculture and environmental studies. Calcium and strontium were determined from their emissions of K X-rays under proton bombardment, and fluorine from the 19 F (p,alpha gamma) 16 O nuclear reaction. The three elements were determined simultaneously at points no more than 20 micrometres apart. A total of 14 one and 17 two-dimensional scans were performed on sections of the shells embedded in epoxy resin. We studied shells from nine Pacific oysters (five transferred from the Marlborough Sounds to Wellington Harbour and four from the Bay of Islands). (author). 11 refs.; 5 figs

  19. Effect of Nitrogen Fertilizer on Combined Forms and Transformation of Fluorine in Tea Garden Soil

    Directory of Open Access Journals (Sweden)

    ZHANG Yong-li

    2015-10-01

    Full Text Available In order to investigate the effect of nitrogen fertilizer on combined forms and transformation of fluorine in tea garden soil, soil pot experiment was carried out. The research object was red-yellow soil in Shizipu tea plantation in the south of Anhui Province. Five treatments were N0P0K0 (CK, N0P1K1 (N0, N1P1K1 (N1, N2P1K1 (N2, N3P1K1 (N3. Water-soluble fluorine content, exchangeable fluorine content, Fe/Mn oxide-bound fluorine content, organic matter-bound fluorine content, ammonium nitrogen content and soil pH value in 0~15 cm soil layer were analyzed in 10, 20, 30, 50, 70, 90 days after fertilization. The results showed that compared with CK, in the short term (10 or 20 days after applying NPK, the content of water-soluble fluorine in 0~15 cm soil layer was decreased and the content of exchangeable fluorine, Fe/Mn oxide-bound fluorine and organic matter-bound fluorine were increased. After 20 days, the content of soil water-soluble fluorine was increased and the content of soil exchangeable fluorine, Fe/Mn oxide-bound fluorine and organic matter-bound fluorine were reduced. The effect on water-soluble fluorine and exchangeable fluorine increased with time and the application rate of nitrogen. The content of water-soluble fluorine in tea garden soil had a moderately positive correlation with the application rate of nitrogen while the content of exchangeable fluorine had a moderately or highly negative correlation with the application rate of nitrogen. The content of water-soluble fluorine had a quite highly negative correlation with the soil pH (P<0.01, but the content of exchangeable fluorine had a moderately or highly negative correlation with the soil pH (P<0.01. Therefore, nitrogen fertilizer changed the soil pH during its form transformation and thus affected the transformation and the availability of fluorine in soil.

  20. Fluorinated Amine Stereotriads via Allene Amination.

    Science.gov (United States)

    Liu, Lu; Gerstner, Nels C; Oxtoby, Lucas J; Guzei, Ilia A; Schomaker, Jennifer M

    2017-06-16

    The incorporation of fluorine into organic scaffolds often improves the bioactivity of pharmaceutically relevant compounds. C-F/C-N/C-O stereotriad motifs are prevalent in antivirals, neuraminidase inhibitors, and modulators of androgen receptors, but are challenging to install. An oxidative allene amination strategy using Selectfluor rapidly delivers triply functionalized triads of the form C-F/C-N/C-O, exhibiting good scope and diastereoselectivity for all syn products. The resulting stereotriads are readily transformed into fluorinated pyrrolidines and protected α-, β-, and γ-amino acids.

  1. Geminal difunctionalization of α-diazo arylmethylphosphonates: synthesis of fluorinated phosphonates.

    Science.gov (United States)

    Zhou, Yujing; Zhang, Yan; Wang, Jianbo

    2016-11-08

    A general approach towards diverse fluorinated phosphonates via geminal difunctionalization reactions of α-diazo arylmethylphosphonates is described. The diazo functionality (RR'C[double bond, length as m-dash]N 2 ) is successfully converted to RR'CF 2 , RR'CHF, RR'CFBr or RR'CFNR'' 2 groups by employing different fluorination reagents. A variety of fluorinated organophosphorus compounds were readily accessed in good to excellent yields from a common type of precursor.

  2. Fluorine level in some city water supplies of Bangladesh

    International Nuclear Information System (INIS)

    Hoque, A.K.M.F.; Abedin, M.J.; Rahman, M.M.; Mia, M. Y.; Tarafder, M.S.A.; Khaliquzzaman, M.; Hossain, M.D.; Khan, A.H.

    2003-01-01

    Nuclear reaction based Proton Induced Gamma Emission (PIGE) analytical method was employed for the quantitative measurement of fluorine in the city water supplies of the major cities of Bangladesh. 102 water samples collected from 14 city supplies were analyzed and these samples contain fluorine in the range of 0.03 to 1.10 mg/L with a mean of 0.33 ± 0.21 mg/L. It was also observed that except the samples of Barisal, Dinajpur and Rajshahi, all other water samples analyzed contain a much lower amount of fluorine than the maximum permissible value for Bangladesh in drinking water, which is 1 mg/L. The mean concentration of fluorine in the samples of Barisal, Dinajpur and Rajshahi are respectively 0.79±0.01, 0.71±0.13 and 0.92±0.18 mg/L. For the 55 samples of Dhaka city supply the mean fluorine concentration is 0.31±0.17 mg/L and that of 9 samples from Chittagong city supply is 0.19±0.10 mg/L, which is the lowest among the 14 city supply samples analyzed in this study

  3. Determination by transfer reaction of alpha widths in fluorine for astrophysical interest; Determination par reaction de transfert de largeurs alpha dans le fluor 19. Applications a l'astrophysique

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira Santos, F. de

    1995-04-15

    The nucleosynthesis of fluorine is not known. Several astrophysical models predict the alpha radiative capture onto N{sup 15} as the main fluorine production reaction. In the expression of the reaction rate, one parameter is missing: the alpha width of the resonance on the E = 4.377 MeV level in fluorine. A direct measurement is excluded due to the very low cross-section expected. We have determined this alpha width using a transfer reaction followed by analyses with FR-DWBA (Finite Range Distorted Wave Born Approximation) in a simple cluster alpha model. This experiment was carried out with a Li{sup 7} beam with E = 28 MeV onto a N{sup 15} gas target. The 16 first levels were studied. Spectroscopic factors were extracted for most of them. Alpha widths for unbound levels were determined. Many alpha width were compared with known values from direct reaction and the differences lie within the uncertainty range (factor 2). The alpha width for the E = 4.377 MeV level was determined ({gamma}{sub {alpha}} = 1.5*10{sup -15} MeV), its value is about 60 times weaker than the used value. The influence of our new rate was studied in AGB (Asymptotic Giant Branch) stars during thermal pulses. In this model the alteration is sensitive. (author)

  4. Surface Layer Fluorination-Modulated Space Charge Behaviors in HVDC Cable Accessory

    Directory of Open Access Journals (Sweden)

    Jin Li

    2018-05-01

    Full Text Available Space charges tend to accumulate on the surface and at the interface of ethylene–propylene–diene terpolymer (EPDM, serving as high voltage direct current (HVDC cable accessory insulation, which likely induces electrical field distortion and dielectric breakdown. Direct fluorination is an effective method to modify the surface characteristics of the EPDM without altering the bulk properties too much. In this paper, the surface morphology, hydrophobic properties, relative permittivity, and DC conductivity of the EPDM before and after fluorination treatment were tested. Furthermore, the surface and interface charge behaviors in the HVDC cable accessory were investigated by the pulsed electroacoustic (PEA method, and explained from the point of view of trap distribution. The results show that fluorination helps the EPDM polymer obtain lower surface energy and relative permittivity, which is beneficial to the interface match in composite insulation systems. The lowest degree of space charge accumulation occurs in EPDM with 30 min of fluorination. After analyzing the results of the 3D potentials and the density of states (DOS behaviors in EPDM before and after fluorination, it can be found that fluorination treatment introduces shallower electron traps, and the special electrostatic potential after fluorination can significantly suppress the space charge accumulation at the interface in the HVDC cable accessory.

  5. Homocomposites of chopped fluorinated polyethylene fiber with low-density polyethylene matrix

    International Nuclear Information System (INIS)

    Maity, J.; Jacob, C.; Das, C.K.; Alam, S.; Singh, R.P.

    2008-01-01

    Conventional composites are generally prepared by adding reinforcing agent to a matrix and the matrix wherein the reinforcing agents are different in chemical composition with the later having superior mechanical properties. This work presents the preparation and properties of homocomposites consisting of a low-density polyethylene (LDPE) matrix and an ultra high molecular weight polyethylene (UHMWPE) fiber reinforcing phase. Direct fluorination is an important surface modification process by which only a thin upper layer is modified, the bulk properties of the polymer remaining unchanged. In this work, surface fluorination of UHMWPE fiber was done and then fiber characterization was performed. It was observed that after fluorination the fiber surface became rough. Composites were then prepared using both fluorinated and non-fluorinated polyethylene fiber with a low-density polyethylene (LDPE) matrix to prepare single polymer composites. It was found that the thermal stability and mechanical properties were improved for fluorinated fiber composites. X-ray diffraction (XRD) analysis showed that the crystallinity of the composites increased and it is maximum for fluorinated fiber composites. Tensile strength (TS) and modulus also increased while elongation at break (EB) decreased for fiber composites and was a maximum for fluorinated fiber composites. Scanning electron microscopic analysis indicates that that the distribution of fiber into the matrix is homogeneous. It also indicates the better adhesion between the matrix and the reinforcing agent for modified fiber composites. We also did surface fluorination of the prepared composites and base polymer for knowing its application to different fields such as printability wettability, etc. To determine the various properties such as printability, wettability and adhesion properties, contact angle measurement was done. It was observed that the surface energies of surface modified composites and base polymer increases

  6. Investigating the Influence of (Deoxy)fluorination on the Lipophilicity of Non-UV-Active Fluorinated Alkanols and Carbohydrates by a New log P Determination Method.

    Science.gov (United States)

    Linclau, Bruno; Wang, Zhong; Compain, Guillaume; Paumelle, Vincent; Fontenelle, Clement Q; Wells, Neil; Weymouth-Wilson, Alex

    2016-01-11

    Property tuning by fluorination is very effective for a number of purposes, and currently increasingly investigated for aliphatic compounds. An important application is lipophilicity (log P) modulation. However, the determination of log P is cumbersome for non-UV-active compounds. A new variation of the shake-flask log P determination method is presented, enabling the measurement of log P for fluorinated compounds with or without UV activity regardless of whether they are hydrophilic or lipophilic. No calibration curves or measurements of compound masses/aliquot volumes are required. With this method, the influence of fluorination on the lipophilicity of fluorinated aliphatic alcohols was determined, and the log P values of fluorinated carbohydrates were measured. Interesting trends and changes, for example, for the dependence on relative stereochemistry, are reported. © 2015 The Authors. Published by Wiley-VCH Verlag GmbH & Co. KGaA. This is an open access article under the terms of the Creative Commons Attribution License, which permits use, distribution and reproduction in any medium, provided the original work is properly cited.

  7. 40 CFR 721.10146 - Partially fluorinated condensation polymer (generic).

    Science.gov (United States)

    2010-07-01

    ... polymer (generic). 721.10146 Section 721.10146 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY... Specific Chemical Substances § 721.10146 Partially fluorinated condensation polymer (generic). (a) Chemical... as partially fluorinated condensation polymer (PMN P-07-87) is subject to reporting under this...

  8. Friction Properties of Surface-Fluorinated Carbon Nanotubes

    Science.gov (United States)

    Wal, R. L. Vander; Miyoshi, K.; Street, K. W.; Tomasek, A. J.; Peng, H.; Liu, Y.; Margrave, J. L.; Khabashesku, V. N.

    2005-01-01

    Surface modification of the tubular or sphere-shaped carbon nanoparticles through chemical treatment, e.g., fluorination, is expected to significantly affect their friction properties. In this study, a direct fluorination of the graphene-built tubular (single-walled carbon nanotubes) structures has been carried out to obtain a series of fluorinated nanotubes (fluoronanotubes) with variable C(n)F (n =2-20) stoichiometries. The friction coefficients for fluoronanotubes, as well as pristine and chemically cut nanotubes, were found to reach values as low as 0.002-0.07, according to evaluation tests run in contact with sapphire in air of about 40% relative humidity on a ball-on-disk tribometer which provided an unidirectional sliding friction motion. These preliminary results demonstrate ultra-low friction properties and show a promise in applications of surface modified nanocarbons as a solid lubricant.

  9. Recent advances in fluorination techniques and their anticipated impact on drug metabolism and toxicity.

    Science.gov (United States)

    Murphy, Cormac D; Sandford, Graham

    2015-04-01

    Fluorine's unique physicochemical properties make it a key element for incorporation into pharmacologically active compounds. Its presence in a drug can alter a number of characteristics that affect ADME-Tox, which has prompted efforts at improving synthetic fluorination procedures. This review describes the influence of fluorine on attributes such as potency, lipophilicity, metabolic stability and bioavailablility and how the effects observed are related to the physicochemical characteristics of the element. Examples of more recently used larger scale synthetic methods for introduction of fluorine into drug leads are detailed and the potential for using biological systems for fluorinated drug production is discussed. The synthetic procedures for carbon-fluorine bond formation largely still rely on decades-old technology for the manufacturing scale and new reagents and methods are required to meet the demands for the preparation of structurally more complex drugs. The improvement of in vitro and computational methods should make fluorinated drug design more efficient and place less emphasis on approaches such as fluorine scanning and animal studies. The introduction of new fluorinated drugs, and in particular those that have novel fluorinated functional groups, should be accompanied by rigorous environmental assessment to determine the nature of transformation products that may cause ecological damage.

  10. Fluorine-18-labelled molecules: synthesis and application in medical imaging

    International Nuclear Information System (INIS)

    Dolle, F.; Perrio, C.; Barre, L.; Lasne, M.C.; Le Bars, D.

    2006-01-01

    Positron emission tomography (PET) is one of the more powerful available techniques for medical imaging. It relies on the use of molecules labelled with a positron emitter (β + ). Among those emitters, fluorine-18, available from a cyclotron, is a radionuclide of choice because of its relatively long-half-life (109.8 min) and the relatively low energy of the emitted-positron. The electrophilic form of fluorine-18 ([ 18 F]F 2 or reagents derived from [ 18 F]F 2 ) is mainly used for hydrogen or metal substitutions on aromatic or vinylic carbons. The presence of the stable isotope (fluorine-19) in the radiotracers limits their use in medical imaging. The nucleophilic form of fluorine-18 (alkaline mono-fluoride, K[ 18 F]F, the most used), obtained from irradiation of enriched water, is widely used in aliphatic and (hetero)aromatic substitutions for the synthesis of radiotracers with high specific radioactivity. Some examples of radio-fluorinated tracers used in PET are presented, as well as some of their in vivo applications in human. (authors)

  11. Benchtop Fluorination of Fluorescent Nanodiamonds on a Preparative Scale: Toward Unusually Hydrophilic Bright Particles

    Czech Academy of Sciences Publication Activity Database

    Havlík, Jan; Raabová, Helena; Gulka, Michal; Petráková, Vladimíra; Krečmarová, M.; Mašek, V.; Louša, Petr; Štursa, Jan; Boyen, H. G.; Nesládek, M.; Cígler, Petr

    2016-01-01

    Roč. 26, č. 23 (2016), s. 4134-4142 ISSN 1616-301X R&D Projects: GA MZd(CZ) NV15-33094A; GA MŠk(CZ) LM2011019; GA MŠk(CZ) LO1304; GA ČR(CZ) GA16-16336S Institutional support: RVO:61388963 ; RVO:68378271 ; RVO:61389005 Keywords : nitrogen-vacancy centers * catalyzed decarboxylative fluorination * surface modification Subject RIV: CC - Organic Chemistry Impact factor: 12.124, year: 2016

  12. Tuning the tunneling magnetoresistance by using fluorinated graphene in graphene based magnetic junctions

    Directory of Open Access Journals (Sweden)

    Shweta Meena

    2017-12-01

    Full Text Available Spin polarized properties of fluorinated graphene as tunnel barrier with CrO2 as two HMF electrodes are studied using first principle methods based on density functional theory. Fluorinated graphene with different fluorine coverages is explored as tunnel barriers in magnetic tunnel junctions. Density functional computation for different fluorine coverages imply that with increase in fluorine coverages, there is increase in band gap (Eg of graphene, Eg ∼ 3.466 e V was observed when graphene sheet is fluorine adsorbed on both-side with 100% coverage (CF. The results of CF graphene are compared with C4F (fluorination on one-side of graphene sheet with 25% coverage and out-of-plane graphene based magnetic tunnel junctions. On comparison of the results it is observed that CF graphene based structure offers high TMR ∼100%, and the transport of carrier is through tunneling as there are no transmission states near Fermi level. This suggests that graphene sheet with both-side fluorination with 100% coverages acts as a perfect insulator and hence a better barrier to the carriers which is due to negligible spin down current (I↓ in both Parallel Configuration (PC and Antiparallel Configuration (APC.

  13. Effect of fluorine in the substrate on the intensity of stomato-cuticular transpiration and on photosynthesis

    Energy Technology Data Exchange (ETDEWEB)

    Navara, J

    1963-01-01

    This paper investigates the effect of fluorine in the substrate on the intensity of stomato-cuticular transpiration and on the intensity of photosynthesis in the common bean (Phaseolus vularis L.) in the early phases of ontogenetic development. Fluorine concentrations in the substrate in the range of 3 x 10/sup -3/ to 3 x 10/sup -4/ g/l produced no inhibition in the intensity of stomato-cuticular transpiration in 12-day-old test plants, whereas the intensity of photosynthesis was stimulated. An increase of 3 x 10/sup -3/ g/l in the fluorine level led to inhibition of these processes. As growth continued, an inhibitive effect on the intensity of stomato-cuticular transpiration was noted in 16-day-old plants even at a concentration of 3 x 10/sup -4/ g/l. The decrease in the intensity of stomato-cuticular transpiration is accompanied by an increase in the water-retention capacity of the leaf tissue. From these results, the conclusion can be drawn that the reduction in transpiration and photosynthesis is the result of a worsening in the plant's supply of water, resulting from disturbance of the absorptive capacity of the root system.

  14. The emission of fluorine gas during incineration of fluoroborate residue

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Yuheng, E-mail: fengyh@tongji.edu.cn [Thermal & Environmental Engineering Institute, Tongji University, Shanghai 200092 (China); Jiang, Xuguang [State Key Laboratory of Clean Energy Utilization, Zhejiang University, Hangzhou 310027 (China); Chen, Dezhen [Thermal & Environmental Engineering Institute, Tongji University, Shanghai 200092 (China)

    2016-05-05

    Highlights: • Gaseous fluorine products were identified when combusting fluoroborate residue. • BF{sub 3} and SiF{sub 4} tend to be hydrolyzed into HF with the increase of temperature. • The emission of BF{sub 3} and SiF{sub 4} from the chamber could be negligible at 1100 °C. - Abstract: The emission behaviors of wastes from fluorine chemical industry during incineration have raised concerns because multiple fluorine products might danger human health. In this study, fluorine emission from a two-stage incineration system during the combustion of fluoroborate residue was examined. In a TG-FTIR analysis BF{sub 3}, SiF{sub 4} and HF were identified as the initial fluorine forms to be released, while fluorine gases of greenhouse effect such as CF{sub 4} and SF{sub 6} were not found. Below 700 °C, NaBF{sub 4} in the sample decomposed to generate BF{sub 3}. Then part of BF{sub 3} reacted with SiO{sub 2} in the system to form SiF{sub 4} or hydrolyzed to HF. At higher temperatures, the NaF left in the sample was gradually hydrolyzed to form HF. A lab-scale two-stage tube furnace is established to simulate the typical two-stage combustion chamber in China. Experimental tests proved that HF was the only fluorine gas in the flue gas, and emissions of BF{sub 3} and SiF{sub 4} can be negligible. Thermodynamic equilibrium model predicted that all SiF{sub 4} would be hydrolyzed at 1100 °C in the secondary-chamber, which agreed well with the experimental results.

  15. Novel fluorinated surfactants tentatively identified in firefighters using liquid chromatography quadrupole time-of-flight tandem mass spectrometry and a case-control approach.

    Science.gov (United States)

    Rotander, Anna; Kärrman, Anna; Toms, Leisa-Maree L; Kay, Margaret; Mueller, Jochen F; Gómez Ramos, María José

    2015-02-17

    Fluorinated surfactant-based aqueous film-forming foams (AFFFs) are made up of per- and polyfluorinated alkyl substances (PFAS) and are used to extinguish fires involving highly flammable liquids. The use of perfluorooctanesulfonic acid (PFOS) and other perfluoroalkyl acids (PFAAs) in some AFFF formulations has been linked to substantial environmental contamination. Recent studies have identified a large number of novel and infrequently reported fluorinated surfactants in different AFFF formulations. In this study, a strategy based on a case-control approach using quadrupole time-of-flight tandem mass spectrometry (QTOF-MS/MS) and advanced statistical methods has been used to extract and identify known and unknown PFAS in human serum associated with AFFF-exposed firefighters. Two target sulfonic acids [PFOS and perfluorohexanesulfonic acid (PFHxS)], three non-target acids [perfluoropentanesulfonic acid (PFPeS), perfluoroheptanesulfonic acid (PFHpS), and perfluorononanesulfonic acid (PFNS)], and four unknown sulfonic acids (Cl-PFOS, ketone-PFOS, ether-PFHxS, and Cl-PFHxS) were exclusively or significantly more frequently detected at higher levels in firefighters compared to controls. The application of this strategy has allowed for identification of previously unreported fluorinated chemicals in a timely and cost-efficient way.

  16. Synthesis of nanocrystalline fluorinated hydroxyapatite

    Indian Academy of Sciences (India)

    Fluorinated hydroxyapatite; nanocrystalline; microwave synthesis; dissolution. ... HA by the presence of other ions such as carbonate, magnesium, fluoride, etc. ... Fourier transform infrared spectroscopy (FT–IR) and laser Raman spectroscopy.

  17. Heterogeneous nucleation of protein crystals on fluorinated layered silicate.

    Directory of Open Access Journals (Sweden)

    Keita Ino

    Full Text Available Here, we describe an improved system for protein crystallization based on heterogeneous nucleation using fluorinated layered silicate. In addition, we also investigated the mechanism of nucleation on the silicate surface. Crystallization of lysozyme using silicates with different chemical compositions indicated that fluorosilicates promoted nucleation whereas the silicates without fluorine did not. The use of synthesized saponites for lysozyme crystallization confirmed that the substitution of hydroxyl groups contained in the lamellae structure for fluorine atoms is responsible for the nucleation-inducing property of the nucleant. Crystallization of twelve proteins with a wide range of pI values revealed that the nucleation promoting effect of the saponites tended to increase with increased substitution rate. Furthermore, the saponite with the highest fluorine content promoted nucleation in all the test proteins regardless of their overall net charge. Adsorption experiments of proteins on the saponites confirmed that the density of adsorbed molecules increased according to the substitution rate, thereby explaining the heterogeneous nucleation on the silicate surface.

  18. Computational rationalization for the observed ground-state multiplicities of fluorinated acylnitrenes.

    Science.gov (United States)

    Sherman, Matthew P; Jenks, William S

    2014-10-03

    Computational methods are used to investigate the mechanism by which fluorination of acetylnitrene reduces the stabilization of the singlet configuration. ΔEST is made more positive (favoring the triplet state) by 1.9, 1.3, and 0.7 kcal/mol by the addition of the first, second, and third fluorine, respectively, at the CR-CC(2,3)/6-311(3df,2p)//B3LYP/6-31G(d,p) level of theory. Smaller effects observed with substitution of β-fluorines in propanoylnitrene derivatives and examination of molecular geometries and orbitals demonstrate that the effect is due to inductive electron withdrawal by the fluorines, rather than hyperconjugation.

  19. Strontium and fluorine in tuatua shells

    International Nuclear Information System (INIS)

    Trompetter, W.J.; Coote, G.E.

    1993-01-01

    This report describes the research to date on the elemental distributions of strontium, calcium, and fluorine in a collection of 24 tuatua shells (courtesy of National Museum). Variations in elemental concentrations were measured in the shell cross-sections using a scanning proton microprobe (PIXE and PIGME). In this paper we report the findings to date, and present 2-D measurement scans as illustrative grey-scale pictures. Our results support the hypothesis that increased strontium concentrations are deposited in the shells during spawning, and that fluorine concentration is proportional to growth rate. (author). 15 refs.; 13 figs.; 1 appendix

  20. Fluorination of La{sub 2−x}Sr{sub x}CuO{sub 4} (x = 0, 0.15, 0.3) and study on the crystal structures, magnetic properties of their fluorinated products

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Xiuhua [Division of Nanomaterials and Chemistry, Hefei National Laboratory for Physical Sciences at the Microscale, Department of Chemistry, University of Science and Technology of China, Hefei 230026 (China); Tang, Kaibin, E-mail: kbtang@ustc.edu.cn [Division of Nanomaterials and Chemistry, Hefei National Laboratory for Physical Sciences at the Microscale, Department of Chemistry, University of Science and Technology of China, Hefei 230026 (China); Zeng, Suyuan [Shandong Provincial Key Laboratory of Chemical Energy Storage and Novel Cell Technology, Department of Chemistry and Chemical Engineering, Liaocheng University, Liaocheng 252059 (China); Hao, Qiaoyan; Wang, Dake; Gao, Zhan; Wang, Yan [Division of Nanomaterials and Chemistry, Hefei National Laboratory for Physical Sciences at the Microscale, Department of Chemistry, University of Science and Technology of China, Hefei 230026 (China)

    2015-03-25

    Highlights: • Fluorination of La{sub 2−x}Sr{sub x}CuO{sub 4} (x = 0, 0.15, 0.3) by ZnF{sub 2} with few byproducts. • Less of impurities are benefit to research its structure and properties. • Suffering a phase transformation and unit cell expansion after fluorination. • Determining chemical formula and fluorine ions occupation of fluorinated product. - Abstract: Here we report using the transition metal difluoride ZnF{sub 2} to fluorinate K{sub 2}NiF{sub 4}-type cuprates La{sub 2−x}Sr{sub x}CuO{sub 4} (x = 0, 1.5, 0.3). Unlike other fluorinating agents, the technique is nontoxic, easy to handle and the byproduct ZnO can be removed. After fluorination, the fluorinated product of La{sub 2}CuO{sub 4} suffers a phase transformation and unit cell expansion. While La{sub 1.85}Sr{sub 0.15}CuO{sub 4} and La{sub 1.7}Sr{sub 0.3}CuO{sub 4} indicate no change in structure after fluorination, their space groups still are I/4mmm, however, their lattices become larger, too. We emphasis the structural characterizations for fluorinated product of La{sub 1.7}Sr{sub 0.3}CuO{sub 4} by high-resolution transmission electron microscopy (HRTEM) images and electron diffraction (ED) patterns. Moreover, we determine the chemical formula to be La{sub 1.54}Sr{sub 0.46}CuO{sub 3.1}F{sub 0.9} and the fluorine ions are prone to be located in the apical sites of the Cu(O, F){sub 6} octahedron in the structure of post-treated fluorinated product of La{sub 1.7}Sr{sub 0.3}CuO{sub 4}. Magnetization investigations demonstrate that partial replacement of the lanthanum by strontium changes the magnetism of post-treated fluorinated products of La{sub 2−x}Sr{sub x}CuO{sub 4} (x = 0, 0.15, 0.3) and they exhibit a paramagnetic behavior.

  1. Compilation of Requirements for Safe Handling of Fluorine and Fluorine-Containing Products of Uranium Hexafluoride Conversion

    International Nuclear Information System (INIS)

    Ferrada, J.J.; Hightower, J.R.; Begovich, J.M.

    2000-01-01

    Public Law (PL) 105--204 requires the U.S. Department of Energy to develop a plan for inclusion in the fiscal year 2000 budget for conversion of the Department's stockpile of depleted uranium hexafluoride (DUF6) to a more stable form over an extended period. The conversion process into a more stable form will produce fluorine compounds (e.g., elemental fluorine or hydrofluoric acid) that need to be handled safely. This document compiles the requirements necessary to handle these materials within health and safety standards, which may apply in order to ensure protection of the environment and the safety and health of workers and the public

  2. Chemical Makeup and Hydrophilic Behavior of Graphene Oxide Nanoribbons after Low-Temperature Fluorination.

    Science.gov (United States)

    Romero Aburto, Rebeca; Alemany, Lawrence B; Weldeghiorghis, Thomas K; Ozden, Sehmus; Peng, Zhiwei; Lherbier, Aurélien; Botello Méndez, Andrés Rafael; Tiwary, Chandra Sekhar; Taha-Tijerina, Jaime; Yan, Zheng; Tabata, Mika; Charlier, Jean-Christophe; Tour, James M; Ajayan, Pulickel M

    2015-07-28

    Here we investigated the fluorination of graphene oxide nanoribbons (GONRs) using H2 and F2 gases at low temperature, below 200 °C, with the purpose of elucidating their structure and predicting a fluorination mechanism. The importance of this study is the understanding of how fluorine functional groups are incorporated in complex structures, such as GONRs, as a function of temperature. The insight provided herein can potentially help engineer application-oriented materials for several research and industrial sectors. Direct (13)C pulse magic angle spinning (MAS) nuclear magnetic resonance (NMR) confirmed the presence of epoxy, hydroxyl, ester and ketone carbonyl, tertiary alkyl fluorides, as well as graphitic sp(2)-hybridized carbon. Moreover, (19)F-(13)C cross-polarization MAS NMR with (1)H and (19)F decoupling confirmed the presence of secondary alkyl fluoride (CF2) groups in the fluorinated graphene oxide nanoribbon (FGONR) structures fluorinated above 50 °C. First-principles density functional theory calculations gained insight into the atomic arrangement of the most dominant chemical groups. The fluorinated GONRs present atomic fluorine percentages in the range of 6-35. Interestingly, the FGONRs synthesized up to 100 °C, with 6-19% of atomic fluorine, exhibit colloidal similar stability in aqueous environments when compared to GONRs. This colloidal stability is important because it is not common for materials with up to 19% fluorine to have a high degree of hydrophilicity.

  3. Follow-up of fluorine pollution effect on grapevine

    Directory of Open Access Journals (Sweden)

    Ferjani Ben Abdallah

    2004-12-01

    By another way, our results seem to show that full mature grapevine leaves may constitute an efficient tool to assess fluorine pollution impact. Berries contamination seems to be affected directly by the factory smoke, there is no endogenous supply. Likewise, by its characteristic necrosis in the leaf boundaries, grapevine may be considered as a bioindicator variety of fluorine pollution which can be used in mapping polluted areas.

  4. Proton Exchange Membrane Fuel Cell With Enhanced Durability Using Fluorinated Carbon As Electrocatalyst

    Directory of Open Access Journals (Sweden)

    Ahmad Yasser

    2017-01-01

    Full Text Available This study evaluates the fluorination of a carbon aerogel and its effects on the durability of the resulting electrocatalyst for Proton Exchange Membrane Fuel Cell (PEMFC. Fluorine has been introduced before or after platinum deposition. The different electrocatalysts are physico-chemically and electrochemically characterized, and the results discussed by comparison with commercial Pt/XC72 from E-Tek. The results demonstrate that the level of fluorination of the carbon aerogel can be controlled. The fluorination modifies the texture of the carbons by increasing the pore size and decreasing the specific surface area, but the textures remain appropriate for PEMFC applications. Two fluorination sites are observed, leading to both high covalent C-F bond and weakened ones, the quantity of which depends on whether the treatment is done before or after platinum deposition. The order of the different treatments is very important. The presence of platinum contributes to the fluorination mechanism, but leads to amorphous platinum rather inactive towards the Oxygen Reduction Reaction. Finally, a better durability was demonstrated for the fluorinated then platinized catalyst compared both to the same but not fluorinated catalyst and to the reference commercial material (based on the loss of the electrochemical real surface area after accelerated stress tests.

  5. Effects of fluorine on crops, soil exoenzyme activities, and earthworms in terrestrial ecosystems.

    Science.gov (United States)

    Chae, Yooeun; Kim, Dokyung; An, Youn-Joo

    2018-04-30

    Fluorine can flow into the environment after leakage or spill accidents and these excessive amounts can cause adverse effects on terrestrial ecosystems. Using three media (filter paper, soil, and filter-paper-on-soil), we investigated the toxic effects of fluorine on the germination and growth of crops (barley, mung bean, sorghum, and wheat), on the activities of soil exoenzymes (acid phosphatase, arylsulfatase, fluorescein diacetate hydrolase, and urease) and on the survival, abnormality, and cytotoxicity of Eisenia andrei earthworms. The germination and growth of crops were affected by fluorine as exposure concentration increased. The activities of the four enzymes after 0-, 3-, 10-, and 20-day periods varied as exposure concentration increased. According to in vivo and in vitro earthworm assays, E. andrei mortality, abnormality, and cytotoxicity increased with increasing fluorine concentration. Overall, fluorine significantly affected each tested species in the concentration ranges used in this study. The activities of soil exoenzymes were also affected by soil fluorine concentration, although in an inconsistent manner. Albeit the abnormally high concentrations of fluorine in soil compared to that observed under natural conditions, its toxicity was much restrained possibly due to the adsorption of fluorine on soil particles and its combination with soil cations. Copyright © 2017 Elsevier Inc. All rights reserved.

  6. Relationship between microhardness and fluorine contents on tooth enamel determined by PIGE analysis

    International Nuclear Information System (INIS)

    Ma, D.S.; Paik, D.I.; Park, D.Y.; Moon, H.S.; Chang, Y.I.; Kim, J.B.

    1997-01-01

    The remineralization effect of fluoride has been measured by surface microhardness on tooth enamel. The purpose of this study was to investigate the relationship between microhardness and fluorine concentration on tooth enamel. Twelve sound bovine enamel specimens were prepared and immersed in 0.05% NaF solution for 1, 3, 6, 24 and 36 hours, respectively. The concentration of fluorine in specimens were measured by PIGE analysis and surface microhardness of each specimen was measured by surface microhardness tester. Fluorine concentration was increased by immersing time. There was no change in microhardness of each specimen by fluorine content. The results of this study suggest that there was no relationship between the fluorine concentration and surface microhardness in sound tooth enamel. PIGE analysis can be used effectively to assess the remineralization effect of fluorine content in tooth enamel. (author)

  7. Fluorine in plants in the areas of Yugoslav aluminum factories

    Energy Technology Data Exchange (ETDEWEB)

    Ivos, J.; Ciszek, H.; Rezek, A.; Marjanovic, L.

    1970-01-01

    Distribution of fluorine in the areas around aluminum production facilities was investigated. The plants in areas around the factories did indeed show increased levels of fluorine. Distribution patterns were found to be affected by wind and precipitation patterns.

  8. Hydrolytically stable fluorinated metal-organic frameworks for energy-efficient dehydration

    KAUST Repository

    Cadiau, Amandine; Belmabkhout, Youssef; Adil, Karim; Bhatt, Prashant; Pillai, Renjith S.; Shkurenko, Aleksander; Martineau-Corcos, Charlotte; Maurin, Guillaume; Eddaoudi, Mohamed

    2017-01-01

    fluorinated metal-organic framework, AlFFIVE-1-Ni (KAUST-8), with a periodic array of open metal coordination sites and fluorine moieties within the contracted square-shaped one-dimensional channel. This material selectively removed water vapor from gas

  9. Synthesis of polymeric fluorinated sol–gel precursor for fabrication of superhydrophobic coating

    International Nuclear Information System (INIS)

    Li, Qianqian; Yan, Yuheng; Yu, Miao; Song, Botao; Shi, Suqing; Gong, Yongkuan

    2016-01-01

    Graphical abstract: - Highlights: • A polymeric fluorinated sol–gel precursor PFT is designed to fabricate superhydrophobic coatings. • The superhydrophobicity could be governed by the concentration of PFT. • Bio-mimicking self-cleaning property similar to lotus leaves could also be achieved. - Abstract: A fluorinated polymeric sol–gel precursor (PFT) is synthesized by copolymerization of 2,3,4,5,5,5-hexafluoro-2,4-bis(trifluorinated methyl)pentyl methacrylate (FMA) and 3-methacryloxypropyltrimethoxysilane (TSMA) to replace the expensive long chain fluorinated alkylsilanes. The fluorinated silica sol is prepared by introducing PFT as co-precursor of tetraethyl orthosilicate (TEOS) in the sol–gel process with ammonium hydroxide as catalyst, which is then used to fabricate superhydrophobic coating on glass substrate through a simple dip-coating method. The effects of PFT concentrations on the chemical structure of the formed fluorinated silica, the surface chemical composition, surface morphology, wetting and self-cleaning properties of the resultant fluorinated silica coatings were studied by using X-ray powder diffraction (XRD), Fourier transform infrared spectrometer (FTIR), X-ray photoelectron spectrophotometer (XPS), scanning electron microscopy (SEM) and water contact angle measurements (WCA). The results show that the fluorinated silica sols are successfully obtained. The size and size distribution of the fluorinated silica particles are found greatly dependent on the concentration of PFT, which play a crucial role in the surface morphology of the corresponding fluorinated silica coatings. The suitable PFT concentration added in the sol–gel stage, i.e. for F-sol-1 and F-sol-2, is helpful to achieve both the low surface energy and multi-scaled microstructures, leading to the formation of the superhydrophobic coatings with bio-mimicking self-cleaning property similar to lotus leaves.

  10. Curie temperature rising by fluorination for Sm2Fe17

    Directory of Open Access Journals (Sweden)

    Matahiro Komuro

    2013-02-01

    Full Text Available Fluorine atoms can be introduced to Sm2Fe17 using XeF2 below 423 K. The resulting fluorinated Sm2Fe17 powders have ferromagnetic phases containing Sm2Fe17FY1(0fluorination. The largest unit cell volume among the rhombohedral Sm2Fe17 compounds is 83.8 nm3, which is 5.8% larger than Sm2Fe17. The rhombohedral Sm2Fe17 with the largest unit cell volume is dissociated above 873 K, and fluorination increases Curie temperature from 403 K for Sm2Fe17 to 675 K. This increase can be explained by the magneto-volume effect.

  11. Microanalysis of Fluorine Contamination and its Depth Distribution in Zircaloy by the Use of a Charged Particle Nuclear Reaction

    Energy Technology Data Exchange (ETDEWEB)

    Moeller, E; Starfelt, N

    1966-07-15

    Fluorine contamination on and below the surface of zircaloy has been studied by means of proton irradiation using a Van de Graaff accelerator and the detection of prompt gamma radiation from the {sup 19}F (p, {alpha}{gamma}) {sup 16}O reaction. Formulae for deriving depth distributions of impurities from the measured counting rates have been derived. The influence of energy straggling on the depth resolution has been studied. Both the total amount and the depth distribution have been measured in samples, which have undergone different treatments. Because of the high yield from the reaction used, a quantity of fluorine less than 0. 01 {mu}g/cm{sup 2} can be detected by this method.

  12. On the Effect of Fluorination of 2,1,3-Benzothiadiazole

    KAUST Repository

    Nielsen, Christian B.

    2015-04-22

    The 4,7-dithieno-2,1,3-benzothiadiazole (DTBT) moiety and its fluorinated counterpart are important pi-conjugated building blocks in the field of organic electronics. Here we present a combined experimental and theoretical investigation into fundamental properties relating to these two molecular entities and discuss the potential impact on extended π-conjugated materials and their electronic properties. While the fluorinated derivative, in the solid state, packs with a smaller co-facial overlap than DTBT, we report experimental evidence for stronger optical absorption as well as stronger intra- and intermolecular contacts upon fluorination.

  13. On the Effect of Fluorination of 2,1,3-Benzothiadiazole

    KAUST Repository

    Nielsen, Christian B.; White, Andrew J. P.; McCulloch, Iain

    2015-01-01

    The 4,7-dithieno-2,1,3-benzothiadiazole (DTBT) moiety and its fluorinated counterpart are important pi-conjugated building blocks in the field of organic electronics. Here we present a combined experimental and theoretical investigation into fundamental properties relating to these two molecular entities and discuss the potential impact on extended π-conjugated materials and their electronic properties. While the fluorinated derivative, in the solid state, packs with a smaller co-facial overlap than DTBT, we report experimental evidence for stronger optical absorption as well as stronger intra- and intermolecular contacts upon fluorination.

  14. Improved stability of highly fluorinated phospholipid-based vesicles in the presence of bile salts.

    Science.gov (United States)

    Gadras, C; Santaella, C; Vierling, P

    1999-01-04

    The stability of fluorinated phospholipid-based vesicles in terms of detergent-induced release of encapsulated carboxyfluorescein has been evaluated. The fluorinated liposomes are substantially more resistant towards the lytic action of sodium taurocholate than conventional DSPC or even DSPC/CH 1/1 liposomes. Concerning structure/permeability relationships, the larger the fluorination degree of the membrane, the higher the resistance of the fluorinated liposomes to their destruction by the detergent. These results show that fluorinated liposomes have a promising potential as drug carrier and delivery systems for oral administration.

  15. Direct olefination of fluorinated benzothiadiazoles: a new entry to optoelectronic materials.

    Science.gov (United States)

    Xiao, Yu-Lan; Zhang, Bo; He, Chun-Yang; Zhang, Xingang

    2014-04-14

    Fluorinated olefin-containing benzothiadiazoles have important applications in optoelectronic materials. Herein, we reported the direct olefination of fluorinated benzothiadiazoles, as catalyzed by palladium. The reaction proceeds under mild reaction conditions and shows high functional-group compatibility. A preliminary study of the properties of the resulting symmetrical and unsymmetrical olefin-containing fluorinated benzothiadiazoles in red-light-emitting dyes has also been conducted. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Micro-PIGE determination of fluorine distribution in developing hamster tooth germs

    International Nuclear Information System (INIS)

    Lyaruu, D.M.; Lenglet, W.J.; Woeltgens, J.H.B.; Bronckers, A.L.

    1989-01-01

    A micro-PIGE (Proton-Induced gamma-ray Emission) technique based on the delayed 5/2+----1/2+ nuclear transition of fluorine (E gamma = 197 keV, t1/2 = 87 ns) emitted after 19 F(p,p', gamma) 19 F reaction was used to detect and study the distribution of fluorine in the developing enamel organ during pre-eruptive stages, i.e., the transitional to early maturation stages of enamel formation in neonatal hamsters administered a single IP dose of sodium fluoride (20 mg NaF/kg body weight). The aforementioned nuclear reaction is unique for fluorine, and therefore detection of gamma-rays emanating from this reaction in a biological specimen implies a positive identification of fluorine at that particular site. Calcium and phosphorus X-rays were also recorded and used as parameters for assessment of the relationship between the degree of mineralization and fluoride incorporation into the enamel organ. The highest fluorine concentration in the enamel organ was recorded in the dentin near the dentin-enamel junction (DEJ). In the enamel, the highest concentration of fluorine was found to be associated with the more mature areas of the enamel near the DEJ, but gradually decreased in the direction of the enamel surface. Fluorine was not detected in the control germs. These results suggest that administration of fluoride in high doses during the pre-eruptive stages of enamel formation leads to incorporation of the ion into the forming dentin and enamel mineral, and that the enamel matrix does not seem to bind fluoride avidly

  17. Fluorinated tracers for imaging cancer with positron emission tomography

    International Nuclear Information System (INIS)

    Couturier, Olivier; Chatal, Jean-Francois; Luxen, Andre; Vuillez, Jean-Philippe; Rigo, Pierre; Hustinx, Roland

    2004-01-01

    2-[ 18 F]fluoro-2-deoxy-d-glucose (FDG) is currently the only fluorinated tracer used in routine clinical positron emission tomography (PET). Fluorine-18 is considered the ideal radioisotope for PET imaging owing to the low positron energy (0.64 MeV), which not only limits the dose rate to the patient but also results in a relatively short range of emission in tissue, thereby providing high-resolution images. Further, the 110-min physical half-life allows for high-yield radiosynthesis, transport from the production site to the imaging site and imaging protocols that may span hours, which permits dynamic studies and assessment of potentially fairly slow metabolic processes. The synthesis of fluorinated tracers as an alternative to FDG was initially tested using nucleophilic fluorination of the molecule, as performed when radiolabelling with iodine-124 or bromide-76. However, in addition to being long, with multiple steps, this procedure is not recommended for bioactive molecules containing reactive groups such as amine or thiol groups. Radiochemical yields are also often low. More recently, radiosynthesis from prosthetic group precursors, which allows easier radiolabelling of biomolecules, has led to the development of numerous fluorinated tracers. Given the wide availability of 18 F, such tracers may well develop into important routine tracers. This article is a review of the literature concerning fluorinated radiotracers recently developed and under investigation for possible PET imaging in cancer patients. Two groups can be distinguished. The first includes ''generalist'' tracers, i.e. tracers amenable to use in a wide variety of tumours and indications, very similar in this respect to FDG. These are tracers for non-specific cell metabolism, such as protein synthesis, amino acid transport, nucleic acid synthesis or membrane component synthesis. The second group consists of ''specific'' tracers for receptor expression (i.e. oestrogens or somatostatin), cell

  18. Oral frostbite injury from intentional abuse of a fluorinated hydrocarbon.

    Science.gov (United States)

    Kuspis, D A; Krenzelok, E P

    1999-01-01

    A serious but rarely reported complication of halogenated hydrocarbon inhalation abuse is severe mucosal frostbite. A 16-year-old male attempted to "get high" by inhaling airbrush propellant which contained 1,1-difluoroethane (CAS #75-376). The patient lost consciousness and upon awakening his lips and tongue were frozen. He suffered first- and second-degree burns of the larynx with vocal cord involvement and first-degree burns of the trachea, main stem bronchi, and esophagus. The oral cavity had second- and third-degree burns which required debridement. This case demonstrates the unusual but severe damage that can occur with the abuse of fluorinated hydrocarbons.

  19. Karyopherin β3: A new cellular target for the HPV-16 E5 oncoprotein

    International Nuclear Information System (INIS)

    Krawczyk, Ewa; Hanover, John A.; Schlegel, Richard; Suprynowicz, Frank A.

    2008-01-01

    Epidemiological and experimental studies have shown that high-risk human papillomaviruses (HPVs) are the causative agents of cervical cancer worldwide, and that HPV-16 is associated with more than half of these cases. In addition to the well-characterized E6 and E7 oncoproteins of HPV-16, recent evidence increasingly has implicated the HPV-16 E5 protein (16E5) as an important mediator of oncogenic transformation. Since 16E5 has no known intrinsic enzymatic activity, its effects on infected cells are most likely mediated by interactions with various cellular proteins and/or its documented association with lipid rafts. In the present study, we describe a new cellular target that binds to 16E5 in COS cells and in stable human ectocervical cell lines. This target is karyopherin β3, a member of the nuclear import receptor family with critical roles in the nuclear import of ribosomal proteins and in the secretory pathway

  20. Exploration of fluorine chemistry at the multidisciplinary interface of chemistry and biology.

    Science.gov (United States)

    Ojima, Iwao

    2013-07-05

    Over the last three decades, my engagement in "fluorine chemistry" has evolved substantially because of the multidisciplinary nature of the research programs. I began my research career as a synthetic chemist in organometallic chemistry and homogeneous catalysis directed toward organic synthesis. Then, I was brought into a very unique world of "fluorine chemistry" in the end of 1970s. I started exploring the interface of fluorine chemistry and transition metal homogeneous catalysis first, which was followed by amino acids, peptides, and peptidomimetics for medicinal chemistry. Since then, I have been exploring the interfaces of fluorine chemistry and multidisciplinary fields of research involving medicinal chemistry, chemical biology, cancer biology, and molecular imaging. This perspective intends to cover my fruitful endeavor in the exploration of fluorine chemistry at the multidisciplinary interface of chemistry and biology in a chronological order to show the evolution of my research interest and strategy.

  1. Spectrographic determination of chlorine and fluorine

    International Nuclear Information System (INIS)

    Contamin, G.

    1965-04-01

    Experimental conditions have been investigated in order to obtain the highest sensitivity in spectrographic determination of chlorine and fluorine using the Fassel method of excitation in an inert atmosphere. The influence of the nature of the atmosphere, of the discharge conditions and of the matrix material has been investigated. The following results have been established: 1. chlorine determination is definitely possible: a working curve has been drawn between 10 μg and 100 μg, the detection limit being around 5 μg; 2. fluorine determination is not satisfactory: the detection limit is still of the order of 80 μg. The best operating conditions have been defined for both elements. (author) [fr

  2. Ionization of one-electron oxygen and fluorine projectiles by molecular hydrogen

    International Nuclear Information System (INIS)

    Tipping, T.N.; Sanders, J.M.; Hall, J.; Shinpaugh, J.L.; Lee, D.H.; McGuire, J.H.; Richard, P.

    1988-01-01

    Cross sections for projectile ionization have been measured for hydrogenlike oxygen and fluorine ions incident on a molecular-hydrogen target over a projectile energy range of 0.5--2.5 MeV/amu. The experimental cross sections are compared to the plane-wave Born approximation (PWBA) and to the Glauber-approximation cross sections all of which were calculated for atomic hydrogen and multiplied by 2. The PWBA calculations have a projectile energy dependence similar to the measured cross sections but slightly underestimate them. The Glauber approximation also underestimates the measured projectile-ionization cross sections when the hydrogen target electrons are neglected, while it overestimates the measured cross sections when the effects of the hydrogen target electrons are included. The measured projectile-ionization cross sections for hydrogenlike ions incident on molecular hydrogen are approximately a factor of 2 smaller than previously reported projectile-ionization cross sections for hydrogenlike ions incident on helium. No cross sections are available for atomic hydrogen in this velocity and ion-charge regime

  3. Synthesis of Fluorinated Graphene/CoAl-Layered Double Hydroxide Composites as Electrode Materials for Supercapacitors.

    Science.gov (United States)

    Peng, Weijun; Li, Hongqiang; Song, Shaoxian

    2017-02-15

    CoAl-layered double hydroxide/fluorinated graphene (CoAl-LDH/FGN) composites were fabricated via a two-step hydrothermal method. The synthesized CoAl-LDH/FGN composites have been characterized by powder X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FT-IR), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), field-emission scanning electron microscopy (FESEM), energy dispersive X-ray spectroscopy (EDS), and electrochemical measurements. The results indicated that the fluorinated carbon with various configuration forms were grafted onto the framework of graphene, and the C-F bond configuration and fluorine content could be tuned by the fluorination time. Most of semi-ionic C-F bonds were formed at an appropriate fluorination time and, then, converted into fluorine rich surface groups (such as CF 2 , CF 3 , etc.) which were electrochemically inactive as the fluorination time prolonged. Moreover, the CoAl-LDH/FGN composites prepared at the optimal fluorination time exhibited the highest specific capacitance (1222 F/g at 1 A/g), the best rate capability, and the most stable capacitance retention, which offered great promise as electrode materials for supercapacitors.

  4. Corrosion-Mitigating, Bondable, Fluorinated Barrier Coating for Anodized Magnesium

    Science.gov (United States)

    2016-05-01

    ARL-TR-7669 ● MAY 2016 US Army Research Laboratory Corrosion -Mitigating, Bondable, Fluorinated Barrier Coating for Anodized...ARL-TR-7669 ● MAY 2016 US Army Research Laboratory Corrosion -Mitigating, Bondable, Fluorinated Barrier Coating for Anodized...TO THE ABOVE ADDRESS. 1. REPORT DATE (DD-MM-YYYY) May 2016 2. REPORT TYPE Final 3. DATES COVERED (From - To) January–December 2015 4. TITLE

  5. Mutasynthesis of fluorinated pactamycin analogues and their antimalarial activity.

    Science.gov (United States)

    Almabruk, Khaled H; Lu, Wanli; Li, Yuexin; Abugreen, Mostafa; Kelly, Jane X; Mahmud, Taifo

    2013-04-05

    A mutasynthetic strategy has been used to generate fluorinated TM-025 and TM-026, two biosynthetically engineered pactamycin analogues produced by Streptomyces pactum ATCC 27456. The fluorinated compounds maintain excellent activity and selectivity toward chloroquine-sensitive and multidrug-resistant strains of malarial parasites as the parent compounds. The results also provide insights into the biosynthesis of 3-aminobenzoic acid in S. pactum.

  6. Fluorine atom subsurface diffusion and reaction in photoresist

    International Nuclear Information System (INIS)

    Greer, Frank; Fraser, D.; Coburn, J.W.; Graves, David B.

    2003-01-01

    Kinetic studies of fluorine and deuterium atoms interacting with an OiR 897 10i i-line photoresist (PR) are reported. All experiments were conducted at room temperature. Films of this PR were coated on quartz-crystal microbalance (QCM) substrates and exposed to alternating fluxes of these atoms in a high vacuum apparatus. Mass changes of the PR were observed in situ and in real time during the atom beam exposures using the QCM. A molecular-beam sampled differentially pumped quadrupole mass spectrometer (QMS) was used to measure the species desorbing from the PR surface during the F and D atom exposures. During the D atom exposures, hydrogen abstraction and etching of the PR was observed, but no DF formation was detected. However, during the F atom exposures, the major species observed to desorb from the surface was DF, formed from fluorine abstraction of deuterium from the photoresist. No evidence of film etching or fluorine self-abstraction was observed. The film mass increased during F atom exposure, evidently due to the replacement of D by F in the film. The rate of DF formation and mass uptake were both characterized by the same kinetics: An initially rapid step declining exponentially with time (e -t/τ ), followed by a much slower step following inverse square root of time (t -1/2 ) kinetics. The initially rapid step was interpreted as surface abstraction of D by F to form DF, which desorbs, with subsequent F impacting the surface inserted into surface C dangling bonds. The slower step was interpreted as F atoms diffusing into the fluorinated photoresist, forming DF at the boundary of the fluorinated carbon layer. The t -1/2 kinetics of this step are interpreted to indicate that F diffusion through the fluorinated carbon layer is much slower than the rate of F abstraction of D to form DF, or the rate of F insertion into the carbon dangling bonds left behind after DF formation. A diffusion-limited growth model was formulated, and the model parameters are

  7. [Fluorine as a factor in premature aging].

    Science.gov (United States)

    Machoy-Mokrzyńska, Anna

    2004-01-01

    The use of fluorine compounds in various areas of medicine, particularly in dentistry, as well as in agriculture and industry became very popular in the second half of the 20th century. Fluorine owed this widespread acceptance to observations that its compounds stimulate ossification processes and reduce the prevalence of caries. Unfortunately, growing expectations overshadowed the truth regarding interactions of fluoride on the molecular level. The fact was often ignored that fluoride is toxic, even though laboratory data stood for a careful approach to the benefits of usage. Excessive exposure to fluoride may lead to acute poisoning, hyperemia, cerebral edema, and degeneration of the liver and kidneys. Acute intoxication through the airways produces coughing, choking, and chills, followed by fever and pulmonary edema. Concentrated solutions of fluorine compounds produce difficult to heal necrotic lesions. In spite of these dramatic symptoms, acute intoxications are relatively rare; the more common finding is chronic intoxication attributable to the universal presence of fluorine compounds in the environment. The first noticeable signs of excessive exposure to fluoride in contaminated water, air, and food products include discolorations of the enamel. Dental fluorosis during tooth growth and loss of dentition in adulthood are two consequences of chronic intoxication with fluorine compounds. Abnormalities in mineralization processes affect by and large the osteoarticular system and are associated with changes in the density and structure of the bone presenting as irregular mineralization of the osteoid. Fluorine compounds also act on the organic part of supporting tissues, including collagen and other proteins, and on cells of the connective tissue. These interactions reduce the content of collagen proteins, modify the structure and regularity of collagen fibers, and induce mineralization of collagen. Interactions with cells produce transient activation of

  8. Enhanced optical limiting effect in fluorine-functionalized graphene oxide

    Science.gov (United States)

    Zhang, Fang; Wang, Zhengping; Wang, Duanliang; Wang, Shenglai; Xu, Xinguang

    2017-09-01

    Nonlinear optical absorption of fluorine-functionalized graphene oxide (F-GO) solution was researched by the open-aperture Z-scan method using 1064 and 532 nm lasers as the excitation sources. The F-GO dispersion exhibited strong optical limiting property and the fitted results demonstrated that the optical limiting behavior was the result of a two-photon absorption process. For F-GO nanosheets, the two-photon absorption coefficients at 1064 nm excitation are 20% larger than the values at 532 nm excitation and four times larger than that of pure GO nanosheets. It indicates that the doping of fluorine can effectively improve the nonlinear optical property of GO especially in infrared waveband, and fluorine-functionalized graphene oxide is an excellent nonlinear absorption material in infrared waveband.

  9. Fluorine Abundances in AGB Carbon Stars: New Results?

    Science.gov (United States)

    Abia, C.; de Laverny, P.; Recio-Blanco, A.; Domínguez, I.; Cristallo, S.; Straniero, O.

    2009-09-01

    A recent reanalysis of the fluorine abundance in three Galactic Asymptotic Giant Branch (AGB) carbon stars (TX Psc, AQ Sgr and R Scl) by Abia et al. (2009) results in estimates of fluorine abundances systematically lower by ~0.8 dex on average, with respect to the sole previous estimates by Jorissen, Smith & Lambert (1992). The new F abundances are in better agreement with the predictions of full-network stellar models of low-mass (<3 Msolar) AGB stars.

  10. Determination of fluorine trace amounts in metallic uranium

    Energy Technology Data Exchange (ETDEWEB)

    Kukisheva, T N; Bolshakova, A S; Yefimova, N S

    1976-05-01

    A simple and rapid method was proposed for the determination of fluorine in metallic uranium without the removal of the latter. The method is based on the weakening of the color intensity of a complex of zirconium with xylenol orange in the presence of fluorine in a 1 N solution with respect to hydrochloric acid. For preparation for photometry, the solution to be analyzed is neutralized with ammonia to a pH of approximately 3. It is suggested that a complex of sulfosalicylic acid with uranium (VI) be used as the indicator in neutralization. The required acidity in the solution subjected to photometry is provided by the addition of a 5 N hydrochloric acid solution of zirconium. The coefficient of variation V/sub 15/ (at a fluorine content 3x10/sup -3/%) is 10%. In 7 h, 15-20 determinations can be performed.

  11. Electromagnetic dissociation of target nuclei by $^{16}$O and $^{32}$S projectiles

    CERN Multimedia

    2002-01-01

    We have measured the inclusive cross sections for electromagnetic dissociation (ED) of $^{197}$Au targets by 60 and 200 GeV/nucleon $^{16}$O and $^{32}$S projectiles. This is an extension of similar measurements carried out earlier at 2 GeV/nucleon. ED is a purely electromagnetic process occuring when a virtual photon is exchanged between projectile and target. The experiment emphasized precise measurement of total one-neutron-out cross sections. A secondary goal was to test the applicability of the concepts of factorization and limiting fragmentation at ultrarelativistic energies.\\\\ \\\\ Each individual target will be irradiated upstream and parasitic to experiment NA38 on the dimuon spectrometer. Cross sections for reactions of interest will be determined by off-line counting of the appropriate residual $\\gamma$ ray activities in Ames, Iowa, USA. Preliminary results indicate an ED one-neutron removal cross section for 200 GeV/nucleon $^{16}$O projectiles on $^{197}$Au of approximately 0.45~barns. The result i...

  12. Influence of Backbone Fluorination in Regioregular Poly(3-alkyl-4-fluoro)thiophenes

    KAUST Repository

    Fei, Zhuping

    2015-06-03

    © 2015 American Chemical Society. We report two strategies toward the synthesis of 3-alkyl-4-fluorothiophenes containing straight (hexyl and octyl) and branched (2-ethylhexyl) alkyl groups. We demonstrate that treatment of the dibrominated monomer with 1 equiv of alkyl Grignard reagent leads to the formation of a single regioisomer as a result of the pronounced directing effect of the fluorine group. Polymerization of the resulting species affords highly regioregular poly(3-alkyl-4-fluoro)thiophenes. Comparison of their properties to those of the analogous non-fluorinated polymers shows that backbone fluorination leads to an increase in the polymer ionization potential without a significant change in optical band gap. Fluorination also results in an enhanced tendency to aggregate in solution, which is ascribed to a more co-planar backbone on the basis of Raman and DFT calculations. Average charge carrier mobilities in field-effect transistors are found to increase by up to a factor of 5 for the fluorinated polymers.

  13. Fluorine follows water: Effect on electrical conductivity of silicate minerals by experimental constraints from phlogopite

    Science.gov (United States)

    Li, Yan; Jiang, Haotian; Yang, Xiaozhi

    2017-11-01

    Fluorine and hydroxyl groups are minor constituents of silicate minerals, and share a lot of similarities concerning their physical and chemical properties. Hydroxyl groups significantly enhance the electrical conductivity of many silicate minerals, and it is expected that fluorine would have a comparable effect. This, however, has never been documented quantitatively. Here we present experimental approaches on this issue, by investigating the electrical conductivity of phlogopite with a wide range of fluorine content (but with broadly similar contents for other major elements). Electrical conductivities of gem-quality single crystal phlogopites, with samples prepared along the same orientation (normal to the (0 0 1) plane), were determined at 1 GPa and 200-650 °C using an end-loaded piston cylinder apparatus and a Solartron-1260 Impedance/Gain Phase Analyzer over the frequency range of 106 to 0.1 Hz. The complex spectra usually show an arc in the high frequency range and a short tail in the low frequency range, which are caused by lattice conduction and electrode effects, respectively. The electrical conductivity increases with increasing fluorine content, and the main charge carriers are fluorine. The activation enthalpies are ∼180 to 200 kJ/mol, nearly independent of fluorine content. The conductivity is linearly proportional to the content of fluorine, with an exponent factor of ∼1. The results demonstrate that conduction by fluorine leads to very high electrical conductivity at high temperatures. The influence of fluorine on electrical conductivity may be compared to that of hydrogen in nominally anhydrous minerals. This, along with the close association of fluorine and hydroxyl groups in silicate minerals and their similar crystal-chemical behaviors, suggests a more general role of fluorine in enhancing the electrical conductivity of many silicate minerals. Fluorine-rich assemblages, e.g., phlogopite and amphibole, could be locally enriched in the upper

  14. Thermogravimetric study of the reaction of uranium oxides with fluorine

    International Nuclear Information System (INIS)

    Komura, Motohiro; Sato, Nobuaki; Kirishima, Akira; Tochiyama, Osamu

    2008-01-01

    Thermogravimetric study of the reaction of uranium oxides with fluorine was conducted by TG-DTA method using anti-corrosion type differential thermobalance. When UO 2 was heated from R.T. to 500 deg. C in 5% F 2 /He atmosphere, the weight increase appeared at ca. 250 deg. C with an exothermic peak. Then the weight decreased slightly with a small exothermic peak followed by the complete volatilization with a large exothermic peak at ca. 350 deg. C. At a flow rate of 15, 30, 60 ml min -1 , there seemed to be no significant change for the fluorination of UO 2 . With the different heating rates of 1, 2, 5 and 10 deg. C min -1 , the fluorination peak shifted to higher temperature with increasing heating rates. For the comparison with thermogravimetric results, phase analysis by XRD method was conducted for the products obtained at different temperatures. At 260 deg. C, the product was UO 2 with a small amount of the intermediate compound, UO 2 F. The amount of this compound increased with increasing temperature up to 320 deg. C. Then another phase of UO 2 F 2 appeared at 340 deg. C but it was immediately fluorinated to the volatile fluoride. When U 3 O 8 was used as a starting material, it was found that the steep weight decrease peak appeared at ca. 350 deg. C and the uranium volatilized completely. This result suggests that fluorination of U 3 O 8 occurs at this temperature forming UF 6 . Uranium trioxide showed the similar fluorination behavior to that of U 3 O 8

  15. Target and beam-target spin asymmetries in exclusive π+ and π- electroproduction with 1.6- to 5.7-GeV electrons

    Science.gov (United States)

    Bosted, P. E.; Biselli, A. S.; Careccia, S.; Dodge, G.; Fersch, R.; Guler, N.; Kuhn, S. E.; Pierce, J.; Prok, Y.; Zheng, X.; Adhikari, K. P.; Adikaram, D.; Akbar, Z.; Amaryan, M. J.; Anefalos Pereira, S.; Asryan, G.; Avakian, H.; Badui, R. A.; Ball, J.; Baltzell, N. A.; Battaglieri, M.; Batourine, V.; Bedlinskiy, I.; Boiarinov, S.; Briscoe, W. J.; Bültmann, S.; Burkert, V. D.; Cao, T.; Carman, D. S.; Celentano, A.; Chandavar, S.; Charles, G.; Chetry, T.; Ciullo, G.; Clark, L.; Colaneri, L.; Cole, P. L.; Contalbrigo, M.; Cortes, O.; Crede, V.; D'Angelo, A.; Dashyan, N.; De Vita, R.; Deur, A.; Djalali, C.; Dupre, R.; Egiyan, H.; El Alaoui, A.; El Fassi, L.; Eugenio, P.; Fanchini, E.; Fedotov, G.; Filippi, A.; Fleming, J. A.; Forest, T. A.; Fradi, A.; Garçon, M.; Gevorgyan, N.; Ghandilyan, Y.; Gilfoyle, G. P.; Giovanetti, K. L.; Girod, F. X.; Gleason, C.; Gohn, W.; Golovatch, E.; Gothe, R. W.; Griffioen, K. A.; Guo, L.; Hafidi, K.; Hanretty, C.; Harrison, N.; Hattawy, M.; Heddle, D.; Hicks, K.; Holtrop, M.; Hughes, S. M.; Ilieva, Y.; Ireland, D. G.; Ishkhanov, B. S.; Isupov, E. L.; Jenkins, D.; Jiang, H.; Jo, H. S.; Joo, K.; Joosten, S.; Keller, D.; Khandaker, M.; Kim, W.; Klein, A.; Klein, F. J.; Kubarovsky, V.; Kuleshov, S. V.; Lanza, L.; Lenisa, P.; Livingston, K.; Lu, H. Y.; MacGregor, I. J. D.; Markov, N.; McCracken, M. E.; McKinnon, B.; Meyer, C. A.; Minehart, R.; Mirazita, M.; Mokeev, V.; Movsisyan, A.; Munevar, E.; Munoz Camacho, C.; Nadel-Turonski, P.; Net, L. A.; Ni, A.; Niccolai, S.; Niculescu, G.; Niculescu, I.; Osipenko, M.; Ostrovidov, A. I.; Paremuzyan, R.; Park, K.; Pasyuk, E.; Peng, P.; Phelps, W.; Pisano, S.; Pogorelko, O.; Price, J. W.; Procureur, S.; Protopopescu, D.; Puckett, A. J. R.; Raue, B. A.; Ripani, M.; Rizzo, A.; Rosner, G.; Rossi, P.; Roy, P.; Sabatié, F.; Salgado, C.; Schumacher, R. A.; Seder, E.; Sharabian, Y. G.; Simonyan, A.; Skorodumina, Iu.; Smith, G. D.; Sparveris, N.; Stankovic, Ivana; Stepanyan, S.; Strakovsky, I. I.; Strauch, S.; Sytnik, V.; Taiuti, M.; Tian, Ye; Torayev, B.; Ungaro, M.; Voskanyan, H.; Voutier, E.; Walford, N. K.; Watts, D. P.; Wei, X.; Weinstein, L. B.; Wood, M. H.; Zachariou, N.; Zana, L.; Zhang, J.; Zhao, Z. W.; Zonta, I.; CLAS Collaboration

    2016-11-01

    Beam-target double-spin asymmetries and target single-spin asymmetries in exclusive π+ and quasiexclusive π- electroproduction were obtained from scattering of 1.6- to 5.7-GeV longitudinally polarized electrons from longitudinally polarized protons (for π+) and deuterons (for π-) using the CEBAF Large Acceptance Spectrometer (CLAS) at Jefferson Lab. The kinematic range covered is 1.1 1.5 GeV. Very large target-spin asymmetries are observed for W >1.6 GeV. When combined with cross-section measurements, the present results can provide powerful constraints on nucleon resonance amplitudes at moderate and large values of Q2, for resonances with masses as high as 2.3 GeV.

  16. Aliphatic Nucleophilic Radio-fluorination

    International Nuclear Information System (INIS)

    Roeda, D.; Dolle, F.

    2010-01-01

    In this review we are looking at some aspects of nucleophilic aliphatic radio-fluorination, notably the labelled fluoride source, design aspects, the leaving group and the solvent. It should be clear that there is more to this branch of radiolabelling than one would suspect from the frequently used standard tosylate replacement with kryptofix/[ 18 F]fluoride in acetonitrile or DMSO. Competitive elimination can be a serious problem that can affect both yield and purification. De-protection of sensitive groups after radiolabelling and its possible side reactions can complicate purification. The right choice of leaving group and protecting groups may be crucial. Newer developments such as the use of tertiary alcohols or ionic liquids as solvents, long-chain poly-fluorinated sulphonate leaving groups facilitating fluorous solid phase extraction, or immobilisation of the precursor on a solid phase support may help to solve these problems, for example the longstanding problems with [ 18 F]FLT, whereas older concepts such as certain cyclic reactive entities for ring opening or even an abandoned reagent as [ 18 F]DAST should not be forgotten. (authors)

  17. Towards understanding the lifespan extension by reduced insulin signaling: bioinformatics analysis of DAF-16/FOXO direct targets in Caenorhabditis elegans.

    Science.gov (United States)

    Li, Yan-Hui; Zhang, Gai-Gai

    2016-04-12

    DAF-16, the C. elegans FOXO transcription factor, is an important determinant in aging and longevity. In this work, we manually curated FOXODB http://lyh.pkmu.cn/foxodb/, a database of FOXO direct targets. It now covers 208 genes. Bioinformatics analysis on 109 DAF-16 direct targets in C. elegans found interesting results. (i) DAF-16 and transcription factor PQM-1 co-regulate some targets. (ii) Seventeen targets directly regulate lifespan. (iii) Four targets are involved in lifespan extension induced by dietary restriction. And (iv) DAF-16 direct targets might play global roles in lifespan regulation.

  18. Cationic fluorinated polymer binders for microbial fuel cell cathodes

    KAUST Repository

    Chen, Guang; Wei, Bin; Logan, Bruce E.; Hickner, Michael A.

    2012-01-01

    Fluorinated quaternary ammonium-containing polymers were used as catalyst binders in microbial fuel cell (MFC) cathodes. The performance of the cathodes was examined and compared to NAFION ® and other sulfonated aromatic cathode catalyst binders using linear sweep voltammetry (LSV), impedance spectroscopy, and performance tests in single chamber air-cathode MFCs. The cathodes with quaternary ammonium functionalized fluorinated poly(arylene ether) (Q-FPAE) binders showed similar current density and charge transfer resistance (R ct) to cathodes with NAFION ® binders. Cathodes containing either of these fluorinated binders exhibited better electrochemical responses than cathodes with sulfonated or quaternary ammonium-functionalized RADEL ® poly(sulfone) (S-Radel or Q-Radel) binders. After 19 cycles (19 d), the power densities of all the MFCs declined compared to the initial cycles due to biofouling at the cathode. MFC cathodes with fluorinated polymer binders (1445 mW m -2, Q-FPAE-1.4-H; 1397 mW m -2, Q-FPAE-1.4-Cl; 1277 mW m -2, NAFION ®; and 1256 mW m -2, Q-FPAE-1.0-Cl) had better performance than those with non-fluorinated polymer binders (880 mW m -2, S-Radel; 670 mW m -2, Q-Radel). There was a 15% increase in the power density using the Q-FPAE binder with a 40% higher ion exchange capacity (Q-FPAE-1.4-H compared to Q-FPAE-1.0-Cl) after 19 cycles of operation, but there was no effect on the power production due to counter ions in the binder (Cl -vs. HCO 3 -). The highest-performance cathodes (NAFION ® and Q-FPAE binders) had the lowest charge transfer resistances (R ct) in fresh and in fouled cathodes despite the presence of thick biofilms on the surface of the electrodes. These results show that fluorinated binders may decrease the penetration of the biofilm and associated biopolymers into the cathode structure, which helps to combat MFC performance loss over time. © 2012 The Royal Society of Chemistry.

  19. WO3 and W Thermal Atomic Layer Etching Using "Conversion-Fluorination" and "Oxidation-Conversion-Fluorination" Mechanisms.

    Science.gov (United States)

    Johnson, Nicholas R; George, Steven M

    2017-10-04

    The thermal atomic layer etching (ALE) of WO 3 and W was demonstrated with new "conversion-fluorination" and "oxidation-conversion-fluorination" etching mechanisms. Both of these mechanisms are based on sequential, self-limiting reactions. WO 3 ALE was achieved by a "conversion-fluorination" mechanism using an AB exposure sequence with boron trichloride (BCl 3 ) and hydrogen fluoride (HF). BCl 3 converts the WO 3 surface to a B 2 O 3 layer while forming volatile WO x Cl y products. Subsequently, HF spontaneously etches the B 2 O 3 layer producing volatile BF 3 and H 2 O products. In situ spectroscopic ellipsometry (SE) studies determined that the BCl 3 and HF reactions were self-limiting versus exposure. The WO 3 ALE etch rates increased with temperature from 0.55 Å/cycle at 128 °C to 4.19 Å/cycle at 207 °C. W served as an etch stop because BCl 3 and HF could not etch the underlying W film. W ALE was performed using a three-step "oxidation-conversion-fluorination" mechanism. In this ABC exposure sequence, the W surface is first oxidized to a WO 3 layer using O 2 /O 3 . Subsequently, the WO 3 layer is etched with BCl 3 and HF. SE could simultaneously monitor the W and WO 3 thicknesses and conversion of W to WO 3 . SE measurements showed that the W film thickness decreased linearly with number of ABC reaction cycles. W ALE was shown to be self-limiting with respect to each reaction in the ABC process. The etch rate for W ALE was ∼2.5 Å/cycle at 207 °C. An oxide thickness of ∼20 Å remained after W ALE, but could be removed by sequential BCl 3 and HF exposures without affecting the W layer. These new etching mechanisms will enable the thermal ALE of a variety of additional metal materials including those that have volatile metal fluorides.

  20. Depth profiling of fluorine-doped diamond-like carbon (F-DLC) film: Localized fluorine in the top-most thin layer can enhance the non-thrombogenic properties of F-DLC

    Energy Technology Data Exchange (ETDEWEB)

    Hasebe, Terumitsu [Center for Science of Environment, Resources and Energy, Keio University Faculty of Science and Technology, 3-14-1 Hiyoshi, Kohoku-ku, Yokohama, Kanagawa 223-8522 (Japan); Department of Radiology, Tachikawa Hospital, 4-2-22, Nishiki-cho, Tachikawa, Tokyo 190-8531 (Japan)], E-mail: teru_hasebe@hotmail.com; Nagashima, So [Center for Science of Environment, Resources and Energy, Keio University Faculty of Science and Technology, 3-14-1 Hiyoshi, Kohoku-ku, Yokohama, Kanagawa 223-8522 (Japan); Kamijo, Aki [Department of Transfusion Medicine, the University of Tokyo Hospital, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8655 (Japan); Yoshimura, Taichi; Ishimaru, Tetsuya; Yoshimoto, Yukihiro; Yohena, Satoshi; Kodama, Hideyuki; Hotta, Atsushi [Center for Science of Environment, Resources and Energy, Keio University Faculty of Science and Technology, 3-14-1 Hiyoshi, Kohoku-ku, Yokohama, Kanagawa 223-8522 (Japan); Takahashi, Koki [Department of Transfusion Medicine, the University of Tokyo Hospital, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8655 (Japan); Suzuki, Tetsuya [Center for Science of Environment, Resources and Energy, Keio University Faculty of Science and Technology, 3-14-1 Hiyoshi, Kohoku-ku, Yokohama, Kanagawa 223-8522 (Japan)

    2007-12-03

    Fluorine-doped diamond-like carbon (F-DLC) has recently drawn a great deal of attention as a more non-thrombogenic coating than conventional DLC for blood-contacting medical devices. We conducted quantitative depth profiling of F-DLC film by X-ray photoelectron spectroscopy (XPS) in order to elucidate the effects of fluorine and fluorine distribution in F-DLC film in connection with the prevention of surface blood adhesion. F-DLC films were prepared on silicon substrates using the radio frequency plasma enhanced chemical vapor deposition method, and the thickness of films was {approx} 50 nm. 50-nm-thick F-DLC film samples were etched at 10-nm thickness intervals using argon plasma, and each surface was examined by XPS. Thereafter, each etched film layer was incubated with platelet-rich plasma isolated from human whole blood, and the platelet-covered area per unit area was evaluated for each surface. XPS spectra showed the localization of doped fluorine in the top-most thin layer of the film. Platelet-covered areas represented progressively larger portions of the surfaces of deeper etched layers, corresponding to the decreasing fluorine content in such sample surfaces. These results indicate that the localized fluorine in the top-most thin layer is one of the key factors in the promotion of the non-thrombogenicity of F-DLC film.

  1. Investigation of fluorine adsorption on nitrogen doped MgAl_2O_4 surface by first-principles

    International Nuclear Information System (INIS)

    Lv, Xiaojun; Xu, Zhenming; Li, Jie; Chen, Jiangan; Liu, Qingsheng

    2016-01-01

    Graphical abstract: First-principles calculations indicate that MgAl_2O_4 surface is fluorine-loving, but hydrophobic. N doped MgAl_2O_4 (100) surface structure shows the highest fluorine adsorption performance and fluorine atom is more preferentially adsorbed on the Mg-Al bridge site. The fluorine adsorption intensity follow this order: N doped MgAl_2O_4 (100) > Al_2O_3 (0001) > MgAl_2O_4 (100) > MgO (100). N doped MgAl_2O_4 is a promising candidate for fluorine removal. - Highlights: • MgAl_2O_4 surface is fluorine-loving, not hydrophilic. • Fluorine preferentially adsorbs on the Mg-Al bridge site. • Adsorption intensity follow this order: N doped MgAl_2O_4 > Al_2O_3 > MgAl_2O_4 > MgO. • Excellent adsorption performance attributes to electron compensation of N atom. • Nitrogen doped MgAl_2O_4 is a promising candidate for fluorine removal. - Abstract: The nature of fluorine adsorption on pure and N doped MgAl_2O_4 surface has been investigated by first-principles calculations based on the density functional theory. Calculated results indicate that MgAl_2O_4 surface is fluorine-loving, not hydrophilic. Nitrogen doped MgAl_2O_4 (100) surface shows the highest fluorine adsorption performance and fluorine atom preferentially adsorbs on the Mg-Al bridge site. The fluorine adsorption intensity follow this order: Nitrogen doped MgAl_2O_4 (100) > Al_2O_3 (0001) > MgAl_2O_4 (100) > MgO (100). In-depth PDOS analysis suggested that 2p orbitals of F atom strongly hybridized with 3s- and 3p-orbitals of Al atom contribute to its high adsorption intensity. According to the analysis of Hirshfeld charge, the excellent fluorine adsorption performance of nitrogen doped MgAl_2O_4 attributes to the electron compensation effect of nitrogen atom and strong electrostatic interactions. All these evidences demonstrate a fact nitrogen doped MgAl_2O_4 is a promising candidate for fluorine removal.

  2. THE FIRST FLUORINE ABUNDANCE DETERMINATIONS IN EXTRAGALACTIC ASYMPTOTIC GIANT BRANCH CARBON STARS

    International Nuclear Information System (INIS)

    Abia, C.; Cristallo, S.; Dominguez, I.; Cunha, K.; Smith, V. V.; De Laverny, P.; Recio-Blanco, A.; Straniero, O.

    2011-01-01

    Fluorine ( 19 F) abundances (or upper limits) are derived in six extragalactic asymptotic giant branch (AGB) carbon stars from the HF(1-0) R9 line at 2.3358 μm in high-resolution spectra. The stars belong to the Local Group galaxies, Large Magellanic Cloud, Small Magellanic Cloud, and Carina dwarf spheroidal, spanning more than a factor of 50 in metallicity. This is the first study to probe the behavior of F with metallicity in intrinsic extragalactic C-rich AGB stars. Fluorine could be measured only in four of the target stars, showing a wide range in F enhancements. Our F abundance measurements together with those recently derived in Galactic AGB carbon stars show a correlation with the observed carbon and s-element enhancements. The observed correlations, however, display a different dependence on the stellar metallicity with respect to theoretical predictions in low-mass, low-metallicity AGB models. We briefly discuss the possible reasons for this discrepancy. If our findings are confirmed in a larger number of metal-poor AGBs, the issue of F production in AGB stars will need to be revisited.

  3. Fluorine emissions of industrial origin. Effect of fluorine on plants and animals

    Energy Technology Data Exchange (ETDEWEB)

    Cristiani, H

    1927-05-01

    Shrinkage and drooping of cress plants and grass, and lesions in dandelion leaves, caused by a 1-hr exposure to fluorine vapors in a test chamber are described. In the vicinity of an aluminum plant, where the electrolyte bath is composed of cryolite, a sodium aluminum fluoride, vegetables and the leaves of fruit trees show signs of burning and great damage can be observed on forest trees. Animals are affected by fluoride through their fodder. Guinea pigs fed plant food exposed to hydrofluoric acid gases develop fluorosis, but with very small concentrations, death may occur only after a year or more. Cows afflicted with this disease due to fodder harvested in fluorine-infested areas show initial symptoms of lameness of one or more legs, stamping by the animal, resting on one leg and then the other, inability to rise, and spontaneous sprains and bone fractures occurring in the stable. After several months, the animal gradually grows thin with a dry, hard hide and eventually dies. Experiments with corpses of animals who died of fluorosis have shown that their bones are more brittle than those of normal healthy animals.

  4. Direct fluorination? Useful tool to enhance commercial properties of polymer articles

    NARCIS (Netherlands)

    Kharitonov, A.P.; Taege, R.; Ferrier, G.; Teplyakov, V.V.; Syrtsova, D.A.; Syrtsova, D.A.; Koops, G.H.

    2005-01-01

    Fundamental features and industrial applications of the direct fluorination of polymers are reviewed. Fundamental features of the direct fluorination of a set of polymers, such as polystyrene, polyethyleneterephthalate, poly(2,6-dimethyl-1,4-phenylene oxide), PMMA, LDPE (two types), HDPE (six

  5. A system for the synthesis of uranium hexafluoride by high pressure fluorination of uranium oxides

    International Nuclear Information System (INIS)

    Elizalde T, J.; Saniger B, J.M.; Nava S, R.

    1986-01-01

    An equipment for the synthesis of uranium hexafluoride by a direct fluorination method is reported. The equipment is composed by a gaseous fluorine supply, a gas burette, a reactor tube inside a protective shield, a soda-lime chemical trap and a vacuum system. The fluorination is accomplished at a pressure of about 70 kg/cm 2 (1000 lb in 2 ), using gaseous fluorine. (Author). 5 refs, 4 figs, 2 tabs

  6. [Health effects of fluorine and its compounds].

    Science.gov (United States)

    Kono, K

    1994-12-01

    Fluoride, the ionic form of fluorine, is a natural component of the biosphere and 13th most abundant element in the crust of the earth. It is, therefore, found in a wide range of concentrations in virtually all inanimate and living things. Many trace elements perform a definite function in human metabolism and the question of the value of fluoride, always found in the body, has been raised. Much evidence suggesting that the inclusion of fluoride in drinking water has beneficial as well as adverse effects on human health was obtained. Either alone or in combination with calcium and/or vitamin D, it is used in high daily doses for the treatment of osteoporosis. Although organic fluorine compounds are used in medicine and commerce, the inorganic fluorine compounds are of greater importance toxicologically because they are more readily available. The major pathway of fluoride elimination from the human body is via the kidney. When renal function deteriorates, the ability to excrete fluoride markedly decreases, possibly resulting in greater retention of fluoride in the body. At this point, more research is needed to evaluate the effects of physiological variables on the fluoride metabolism in humans.

  7. 40 CFR 721.9075 - Quaternary ammonium salt of fluorinated alkylaryl amide.

    Science.gov (United States)

    2010-07-01

    ... fluorinated alkylaryl amide. 721.9075 Section 721.9075 Protection of Environment ENVIRONMENTAL PROTECTION... amide. (a) Chemical substance and significant new uses subject to reporting. (1) The chemical substance identified generically as quaternary ammonium salt of fluorinated alkylaryl amide (PMN No. P-92-688) is...

  8. Fluorinated Poly(p-phenylenevinylenes: Synthesis and Optical Properties of an Intriguing Class of Luminescent Polymers

    Directory of Open Access Journals (Sweden)

    Gianluca M. Farinola

    2010-05-01

    Full Text Available This review is an overview of our previous work on the synthesis and properties of poly(p-phenylenevinylenes (PPVs selectively fluorinated in different positions of the conjugated backbone. Both the synthetic challenges and the effects of functionalization with fluorine atoms on the optical behavior are discussed, highlighting the peculiarities and the interest of this class of conjugated polymers. A general polymerization protocol for PPVs, that is based on the Pd-catalyzed Stille cross-coupling reaction of bis-stannylated vinylene monomers with aromatic bis-halides, has been successfully extended to the synthesis of selectively fluorinated poly(p-phenylenevinylenes. The properties of a series of these PPVs differing in the number and positions of the fluorine atoms on the conjugated backbone have been studied, even in comparison with the non-fluorinated counterparts. The intriguing optical features of the resulting materials are discussed considering not only the role of the electronic and steric effects induced by the fluorine substituents, but also the impact of the fluorination on the solid state organization and intermolecular interactions.

  9. Defect pair formation in fluorine and nitrogen codoped TiO2

    Science.gov (United States)

    Kordatos, A.; Kelaidis, N.; Chroneos, A.

    2018-04-01

    Titanium oxide is extensively investigated because of its high chemical stability and its photocatalytic properties; nevertheless, the large band gap limits its activity to a small portion of the solar spectrum. Nitrogen and fluorine codoping is an efficient defect engineering strategy to increase the photocatalytic activity of titanium oxide. In the present study, we apply density functional theory to investigate the interaction of nitrogen with fluorine and the formation of defect pairs. We show that in fluorine and nitrogen codoped titanium oxide, the FiNi, FONi, and FiNTi defects can form. Their impact on the electronic structure of titanium oxide is discussed.

  10. Two-photon-excited fluorescence spectroscopy of atomic fluorine at 170 nm

    Science.gov (United States)

    Herring, G. C.; Dyer, Mark J.; Jusinski, Leonard E.; Bischel, William K.

    1988-01-01

    Two-photon-excited fluorescence spectroscopy of atomic fluorine is reported. A doubled dye laser at 286-nm is Raman shifted in H2 to 170 nm (sixth anti-Stokes order) to excite ground-state 2P(0)J fluorine atoms to the 2D(0)J level. The fluorine atoms are detected by one of two methods: observing the fluorescence decay to the 2PJ level or observing F(+) production through the absorption of an additional photon by the excited atoms. Relative two-photon absorption cross sections to and the radiative lifetimes of the 2D(0)J states are measured.

  11. Fluorinated Polymers as Smart Materials for Advanced Biomedical Applications

    Directory of Open Access Journals (Sweden)

    Vanessa F. Cardoso

    2018-02-01

    Full Text Available Fluorinated polymers constitute a unique class of materials that exhibit a combination of suitable properties for a wide range of applications, which mainly arise from their outstanding chemical resistance, thermal stability, low friction coefficients and electrical properties. Furthermore, those presenting stimuli-responsive properties have found widespread industrial and commercial applications, based on their ability to change in a controlled fashion one or more of their physicochemical properties, in response to single or multiple external stimuli such as light, temperature, electrical and magnetic fields, pH and/or biological signals. In particular, some fluorinated polymers have been intensively investigated and applied due to their piezoelectric, pyroelectric and ferroelectric properties in biomedical applications including controlled drug delivery systems, tissue engineering, microfluidic and artificial muscle actuators, among others. This review summarizes the main characteristics, microstructures and biomedical applications of electroactive fluorinated polymers.

  12. Generation of highly N-type, defect passivated transition metal oxides using plasma fluorine insertion

    Science.gov (United States)

    Baker, L. Robert; Seo, Hyungtak; Hervier, Antoine; Somorjai, Gabor A.

    2016-04-12

    A new composition of matter is disclosed wherein oxygen vacancies in a semiconducting transition metal oxide such as titanium dioxide are filled with a halogen such as Fluorine, whereby the conductivity of the composition is greatly enhanced, while at the same time the chemical stability of the composition is greatly improved. Stoichiometric titanium dioxide having less than 3 % oxygen vacancies is subject to fluorine insertion such that oxygen vacancies are filled, limited amounts of fluorine replace additional oxygen atoms and fluorine interstitially inserts into the body of the TiO.sub.2 composition.

  13. Influence of fluorine substitution on the morphology and structure of hydroxyapatite nanocrystals prepared by hydrothermal method

    International Nuclear Information System (INIS)

    Joseph Nathanael, A.; Mangalaraj, D.; Hong, S.I.; Masuda, Y.; Rhee, Y.H.; Kim, H.W.

    2013-01-01

    Hydroxyapatite (HAp) nanocrystals with different levels of fluorine substitution (P/F = 0, 6, 4 and 2) on the OH sites were produced via hydrothermal method. The fluorine substitution was found to alter the morphology of crystals appreciably. The aspect ratio and the crystallinity of HAp crystals increased with increasing fluorine substitution. The presence of broad ring and hallow ring patterns in electron diffraction suggests the low-crystalline nature of HAp crystals. With increasing fluorine substitution, the diffraction patterns exhibited discrete rings and numerous diffraction spots, implying the increased crystallinity. Raman spectra from the HAp nanoparticles also support the less-crystalline nature of the pristine HAp and the enhanced crystallization by fluorine substitution. In HAp crystals processed with no fluorine substitution, surface energy and planar Ca 2+ density are less sensitive to the crystallographic orientation because of its low-crystalline nature, favoring equi-axed or slightly elongated particles. The addition of fluorine apparently increased the crystallinity, enhancing the orientation dependent growth and accordingly the aspect ratio. Osteoblast proliferation was observed to be enhanced by fluorine substitution in HAp. In vitro biological data support that the excellent osteoblastic cell viability and functional activity of the fluoridated apatite. -- Highlights: ► Fluorapatite nanorods were produced hydrothermally with different fluorine content. ► Fluorine substitution was found to alter the morphology of crystals appreciably. ► It enhances the crystallinity, orientation dependent growth and hence aspect ratio. ► In vitro cellular analysis shows excellent cell viability of the fluorapatite.

  14. Fluorine walk: The impact of fluorine in quinolone amides on their activity against African sleeping sickness.

    Science.gov (United States)

    Berninger, Michael; Erk, Christine; Fuß, Antje; Skaf, Joseph; Al-Momani, Ehab; Israel, Ina; Raschig, Martina; Güntzel, Paul; Samnick, Samuel; Holzgrabe, Ulrike

    2018-05-25

    Human African Trypanosomiasis, also known as African sleeping sickness, is caused by the parasitic protozoa of the genus Trypanosoma. If there is no pharmacological intervention, the parasites can cross the blood-brain barrier (BBB), inevitably leading to death of the patients. Previous investigation identified the quinolone amide GHQ168 as a promising lead compound having a nanomolar activity against T. b. brucei. Here, the role of a fluorine substitution at different positions was investigated in regard to toxicity, pharmacokinetics, and antitrypanosomal activity. This 'fluorine walk' led to new compounds with improved metabolic stability and consistent activity against T. b. brucei. The ability of the new quinolone amides to cross the BBB was confirmed using an 18 F-labelled quinolone amide derivative by means of ex vivo autoradiography of a murine brain. Copyright © 2018 Elsevier Masson SAS. All rights reserved.

  15. Avoidance of fluorinated greenhouse gases. Possibilities of an early exit; Fluorierte Treibhausgase vermeiden. Wege zum Ausstieg

    Energy Technology Data Exchange (ETDEWEB)

    Becken, Katja; Graaf, Daniel de; Elsner, Cornelia; Hoffmann, Gabriele; Krueger, Franziska; Martens, Kerstin; Plehn, Wolfgang; Sartorius, Rolf

    2010-11-15

    In comparison to carbon dioxide, fluorinated greenhouse gases are more harmful up to a factor of 24,000. Today the amount of fluorinated greenhouse gases of the world-wide emissions of climatic harmful gases amounts 2 % and increases to 6 % in the year 2050. The authors of the contribution under consideration report on possibilities for the avoidance of the emissions of fluorinated greenhouse gases. The characteristics and ecological effects of fluorinated gases as well as the development of the emission in Germany are presented. Subsequently, the applications of fluorinated hydrocarbons are described.

  16. Photochemistry of fluorinated 4-iodophenylnitrenes: matrix isolation and spectroscopic characterization of phenylnitrene-4-yls.

    Science.gov (United States)

    Grote, Dirk; Sander, Wolfram

    2009-10-02

    The photochemistry of a series of fluorinated p-iodophenyl azides 2 has been investigated using matrix isolation IR and EPR spectroscopy. In all cases, the corresponding phenylnitrenes 1 were formed as primary photoproducts. Further irradiation of the nitrenes 1 resulted in the formation of azirines 3, ketenimines 4, and nitreno radicals 5. The yield of 5 depends on the number of ortho fluorine substituents: with two ortho fluorine atoms the highest yield is observed, whereas without fluorine atoms the yield is too low for IR spectroscopic detection. The interconversion between the isomers 1, 3, and 4 proved to be rather complex. If the fluorine atoms are distributed unsymmetrically, two isomers of azirines 3 and ketenimines 4 can be formed. The yields of these isomers depend critically on the irradiation conditions.

  17. Application of PIGE to determine fluorine concentration in human teeth. Contribution to fluorosis study

    International Nuclear Information System (INIS)

    Salah, H.; Arab, N.

    2007-01-01

    Fluorosis, osteoselerosis, and systemic illness may result when tolerance levels of fluorine intake are exceeded. To avoid these abnormalities, we shall be aware of the mechanisms of their development. For this, quantitative results gathered from different parts of the world are necessary. In this paper, PIGE method is used to determine fluorine concentration in human teeth, collected from different regions of the Algerian Sahara. Both enamel and dentine regions were inspected. The external and internal mappings of the analyzed teeth have shown more variation in the localized fluorine concentration in dentine than in enamel, and the lowest level of fluorine concentration was observed in the frontier crown-root zone. The obtained results reveal relatively high fluorine concentration lying within the limit of fluorosis, according to the standardised grading dental fluorosis. (author)

  18. Magnetic phase investigations on fluorine (F) doped LiFePO4

    Science.gov (United States)

    Radhamani, A. V.

    2018-03-01

    LiFePO4 (LFP) is a very promising cathode material for Li-ion batteries due to its high thermal stability, less toxicity and high theoretical capacity (170 mAh g-1). Anion doping, especially fluorine (F) at the oxygen site is one way to improve the low electronic conductivity of the material. In this line, fluorine doped LFP was prepared at different fluorine concentrations (1 to 40 mol%) to study the structural, spectroscopic and magnetic properties in view of the material property optimization for battery applications. The investigation of the magnetic properties was found to be successful for the determination of small amounts of magnetic impurities which were not noticeably observed from structural characterizations. Determination of conducting magnetic impurities has its own relevance in the current scenario of Li-ion based battery applications. Systematic characterization studies along with the implications of magnetic phases on the material activity of fluorine doped LiFePO4 nanoparticles will be discussed in detail.

  19. Novel treatment option for MUC16-positive malignancies with the targeted TRAIL-based fusion protein Meso-TR3

    International Nuclear Information System (INIS)

    Garg, Gunjal; Spitzer, Dirk; Gibbs, Jesse; Belt, Brian; Powell, Matthew A; Mutch, David G; Goedegebuure, Peter; Collins, Lynne; Piwnica-Worms, David; Hawkins, William G

    2014-01-01

    The targeted delivery of cancer therapeutics represents an ongoing challenge in the field of drug development. TRAIL is a promising cancer drug but its activity profile could benefit from a cancer-selective delivery mechanism, which would reduce potential side effects and increase treatment efficiencies. We recently developed the novel TRAIL-based drug platform TR3, a genetically fused trimer with the capacity for further molecular modifications such as the addition of tumor-directed targeting moieties. MUC16 (CA125) is a well characterized biomarker in several human malignancies including ovarian, pancreatic and breast cancer. Mesothelin is known to interact with MUC16 with high affinity. In order to deliver TR3 selectively to MUC16-expressing cancers, we investigated the possibility of targeted TR3 delivery employing the high affinity mesothelin/MUC16 ligand/receptor interaction. Using genetic engineering, we designed the novel cancer drug Meso-TR3, a fusion protein between native mesothelin and TR3. The recombinant proteins were produced with mammalian HEK293T cells. Meso-TR3 was characterized for binding selectivity and killing efficacy against MUC16-positive cancer cells and controls that lack MUC16 expression. Drug efficacy experiments were performed in vitro and in vivo employing an intraperitoneal xenograft mouse model of ovarian cancer. Similar to soluble mesothelin itself, the strong MUC16 binding property was retained in the Meso-TR3 fusion protein. The high affinity ligand/receptor interaction was associated with a selective accumulation of the cancer drug on MUC16-expressing cancer targets and directly correlated with increased killing activity in vitro and in a xenograft mouse model of ovarian cancer. The relevance of the mesothelin/MUC16 interaction for attaching Meso-TR3 to the cancer cells was verified by competitive blocking experiments using soluble mesothelin. Mechanistic studies using soluble DR5-Fc and caspase blocking assays confirmed

  20. HN125: A Novel Immunoadhesin Targeting MUC16 with Potential for Cancer Therapy

    Directory of Open Access Journals (Sweden)

    Xinran Xiang, Mingqian Feng, Mildred Felder, Joseph P. Connor, Yan-gao Man, Manish S. Patankar, Mitchell Ho

    2011-01-01

    Full Text Available Background: The mucin MUC16 expresses the repeating peptide epitope CA125 that has been known for decades to be a well-validated cancer marker that is overexpressed on the cell surface of ovarian cancers and other malignant tumors. In spite of recent efforts to make mouse monoclonal antibodies to MUC16 to treat ovarian cancer, a human monoclonal antibody against this mucin has not been described. MUC16 interacts with mesothelin, a protein that mediates heterotypic cancer cell adhesion, indicating that MUC16 and mesothelin play an important role in the peritoneal implantation and metastasis of ovarian tumors. Therefore, a suitable candidate for therapeutic targeting of MUC16 would functionally block the interaction of MUC16 and mesothelin.Methodology/Principal Findings: Here we report the generation of a novel immunoadhesin, HN125, against MUC16 that consists of a functional MUC16 binding domain of mesothelin (IAB and the Fc portion of a human antibody IgG1. The yield for purified HN125 proteins is over 100 µg/mL of HEK-293 culture supernatant. We show that HN125 has high and specific affinity for MUC16-expressing cancer cells by flow cytometry and immunohistochemistry. HN125 has the ability to disrupt the heterotypic cancer cell adhesion mediated by the MUC16-mesothelin interaction. Moreover, it elicits strong antibody-dependent cell mediated cytotoxicity against MUC16-positive cancer cells in vitro.Conclusion/Significance: This report describes a novel human immunotherapeutic agent highly specific for MUC16 with potential for treating ovarian cancer and other MUC16-expressing tumors. Because of its lower immunogenicity in patients, a fully human protein is the most desirable format for clinical applications. We believe that the methods developed here may apply to the generation of other tumor-targeting immunoadhesins when it is difficult to obtain a human monoclonal antibody to a given antigen for clinical applications. The resultant

  1. Measurement of proton inelastic scattering cross sections on fluorine

    Energy Technology Data Exchange (ETDEWEB)

    Chiari, M., E-mail: chiari@fi.infn.it [Department of Physics and Astronomy, University of Florence and INFN Florence, Sesto Fiorentino (Italy); Caciolli, A. [Department of Physics and Astronomy, University of Padua and INFN Padua, Padova (Italy); Calzolai, G. [Department of Physics and Astronomy, University of Florence and INFN Florence, Sesto Fiorentino (Italy); Climent-Font, A. [CMAM, Universidad Autonoma de Madrid, Madrid (Spain); Lucarelli, F.; Nava, S. [Department of Physics and Astronomy, University of Florence and INFN Florence, Sesto Fiorentino (Italy)

    2016-10-01

    Differential cross-sections for proton inelastic scattering on fluorine, {sup 19}F(p,p’){sup 19}F, from the first five excited levels of {sup 19}F at 110, 197, 1346, 1459 and 1554 keV were measured for beam energies from 3 to 7 MeV at a scattering angle of 150° using a LiF thin target (50 μg/cm{sup 2}) evaporated on a self-supporting C thin film (30 μg/cm{sup 2}). Absolute differential cross-sections were calculated with a method not dependent on the absolute values of collected beam charge and detector solid angle. The validity of the measured inelastic scattering cross sections was then tested by successfully reproducing EBS spectra collected from a thick Teflon (CF{sub 2}) target. As a practical application of these measured inelastic scattering cross sections in elastic backscattering spectroscopy (EBS), the feasibility of quantitative light element (C, N and O) analysis in aerosol particulate matter samples collected on Teflon by EBS measurements and spectra simulation is demonstrated.

  2. Influence of fluorine substitution on the morphology and structure of hydroxyapatite nanocrystals prepared by hydrothermal method

    Energy Technology Data Exchange (ETDEWEB)

    Joseph Nathanael, A., E-mail: ajosephnc@yahoo.com [Department of Nanomaterials Engineering, Chungnam National University, Daejeon 305-764 (Korea, Republic of); Thin Film and Nanomaterials Laboratory, Department of Physics, Bharathiar University, Coimbatore 641 046 (India); Mangalaraj, D., E-mail: dmraj800@yahoo.com [Department of Nanoscience and Technology, Bharathiar University, Coimbatore 641 046 (India); Hong, S.I., E-mail: sihong@cnu.ac.kr [Thin Film and Nanomaterials Laboratory, Department of Physics, Bharathiar University, Coimbatore 641 046 (India); Masuda, Y. [National Institute of Advanced Industrial Science and Technology (AIST), 2266-98 Anagahora, Shimoshidami, Moriyama-ku, Nagoya 463-8560 (Japan); Rhee, Y.H.; Kim, H.W. [Department of Microbiology, Chungnam National University, Daejeon 305-764 (Korea, Republic of)

    2013-01-15

    Hydroxyapatite (HAp) nanocrystals with different levels of fluorine substitution (P/F = 0, 6, 4 and 2) on the OH sites were produced via hydrothermal method. The fluorine substitution was found to alter the morphology of crystals appreciably. The aspect ratio and the crystallinity of HAp crystals increased with increasing fluorine substitution. The presence of broad ring and hallow ring patterns in electron diffraction suggests the low-crystalline nature of HAp crystals. With increasing fluorine substitution, the diffraction patterns exhibited discrete rings and numerous diffraction spots, implying the increased crystallinity. Raman spectra from the HAp nanoparticles also support the less-crystalline nature of the pristine HAp and the enhanced crystallization by fluorine substitution. In HAp crystals processed with no fluorine substitution, surface energy and planar Ca{sup 2+} density are less sensitive to the crystallographic orientation because of its low-crystalline nature, favoring equi-axed or slightly elongated particles. The addition of fluorine apparently increased the crystallinity, enhancing the orientation dependent growth and accordingly the aspect ratio. Osteoblast proliferation was observed to be enhanced by fluorine substitution in HAp. In vitro biological data support that the excellent osteoblastic cell viability and functional activity of the fluoridated apatite. -- Highlights: Black-Right-Pointing-Pointer Fluorapatite nanorods were produced hydrothermally with different fluorine content. Black-Right-Pointing-Pointer Fluorine substitution was found to alter the morphology of crystals appreciably. Black-Right-Pointing-Pointer It enhances the crystallinity, orientation dependent growth and hence aspect ratio. Black-Right-Pointing-Pointer In vitro cellular analysis shows excellent cell viability of the fluorapatite.

  3. Determination of fluorine in fodder phosphates and phosphorite flour by fast neutron activation method

    International Nuclear Information System (INIS)

    Abashin, E.G.; Lisovskij, I.P.; Smakhtin, L.A.

    1980-01-01

    A neutron-activation method is suggested for determination of fluorine in fodder phosphates and phosphorite flour. Used as the source of fast neutrons was an NG-150M neutron generator with a maximum yield of 10 8 nxcm -2 xs -1 . Samples were irradiated in polyethylene ampoules using a pneumatic shuttle. Fluorine was determined with reference to the fluorine-18 isotope. The accuracy of determining fluorine in fodder phosphates and phosphorite flour is 1 to 4% (rel.) at a rate of not less than 10 samples per hour. The method is suitable for in-process testing of products

  4. Determination of fluorine in fodder phosphates and phosphorite flour by fast neutron activation method

    Energy Technology Data Exchange (ETDEWEB)

    Abashin, E G; Lisovskii, I P; Smakhtin, L A

    1980-01-01

    A neutron-activation method is suggested for determination of fluorine in fodder phosphates and phosphorite flour. Used as the source of fast neutrons was an NG-150M neutron generator with a maximum yield of 10/sup 8/ nxcm/sup -2/xs/sup -1/. Samples were irradiated in polyethylene ampoules using a pneumatic shuttle. Fluorine was determined with reference to the fluorine-18 isotope. The accuracy of determining fluorine in fodder phosphates and phosphorite flour is 1 to 4% (rel.) at a rate of not less than 10 samples per hour. The method is suitable for in-process testing of products.

  5. Fluorine-18 heart dosimetry in myocardial perfusion imaging

    Energy Technology Data Exchange (ETDEWEB)

    Toledo, Janine M.; Trindade, Bruno; Campos, Tarcísio P.R., E-mail: janine.toledo@gmail.com [Universidade Federal de Minas Gerais (UFMG), Belo Horizonte, MG (Brazil). Programa de Pós-Graduação em Ciências e Técnicas Nucleares

    2017-07-01

    This paper conducts a recalling in myocardial perfusion imaging (MPI) followed by a spatial dosimetric investigation of the Fluorine-18 distributed at the myocardium by self-absorption of the heart uptake. Methods and Results: Radiological data manipulation was prepared and a computational heart voxelized model was assembled. A set of images from the abdominal aorta and angiotomography of the thorax was set up providing anatomic and functional information for heart modeling in SISCODES code. A homogeneous distribution of fluorine-18 was assumed into the heart myocardial wall. MCNP – Monte Carlo Code was used to provide the photon transport into the heart model taken in consideration the interactions into the tissues. The spatial dose distribution and histogram dose versus volume are presented. An analytical alternative model was addressed to the data validation. The present developed tools can produce spatial dose distribution in MPI at heart. Specially, the dosimetry performed elucidates imparted dose in the myocardial muscle per unit of injected Fluorine-18 activity by self-absorption of the heart uptake, which can contribute to future deterministic effect investigations. (author)

  6. Fluorine-18 heart dosimetry in myocardial perfusion imaging

    International Nuclear Information System (INIS)

    Toledo, Janine M.; Trindade, Bruno; Campos, Tarcísio P.R.

    2017-01-01

    This paper conducts a recalling in myocardial perfusion imaging (MPI) followed by a spatial dosimetric investigation of the Fluorine-18 distributed at the myocardium by self-absorption of the heart uptake. Methods and Results: Radiological data manipulation was prepared and a computational heart voxelized model was assembled. A set of images from the abdominal aorta and angiotomography of the thorax was set up providing anatomic and functional information for heart modeling in SISCODES code. A homogeneous distribution of fluorine-18 was assumed into the heart myocardial wall. MCNP – Monte Carlo Code was used to provide the photon transport into the heart model taken in consideration the interactions into the tissues. The spatial dose distribution and histogram dose versus volume are presented. An analytical alternative model was addressed to the data validation. The present developed tools can produce spatial dose distribution in MPI at heart. Specially, the dosimetry performed elucidates imparted dose in the myocardial muscle per unit of injected Fluorine-18 activity by self-absorption of the heart uptake, which can contribute to future deterministic effect investigations. (author)

  7. Copolymers of fluorinated polydienes and sulfonated polystyrene

    Science.gov (United States)

    Mays, Jimmy W [Knoxville, TN; Gido, Samuel P [Hadley, MA; Huang, Tianzi [Knoxville, TN; Hong, Kunlun [Knoxville, TN

    2009-11-17

    Copolymers of fluorinated polydienes and sulfonated polystyrene and their use in fuel cell membranes, batteries, breathable chemical-biological protective materials, and templates for sol-gel polymerization.

  8. H18F: production and use in aromatic fluorinations via triazenes

    International Nuclear Information System (INIS)

    Kilbourn, M.R.; Saji, H.; Welch, M.J.

    1982-01-01

    Studies with the triazene method of radiofluorination are presented, including the production and use of anhydrous H 18 F, investigations into the best reaction conditions, and studies of the stability and purification of the 18 F-labeled products. Despite problems with low yields, the use of triazenes in the prepartion of fluorine-18 labeled receptor ligands remains a sound synthetic approach, and the only one available for no-carrier-added syntheses. However, it appears that the fluorine-18 fluorination yields are much higher with simpler triazenes. For this reason, synthetic efforts are now focused on the preparation of 18 F-spiroperidol by a convergent synthesis

  9. Fluorine determinations in biological materials by instrumental neutron activation analysis

    International Nuclear Information System (INIS)

    Demiralp, R.; Guinn, V.P.; Becker, D.A.

    1992-01-01

    Exploratory studies were carried out at the University of California, Irvine on several freeze-dried human diet materials and on two freeze-dried vegetation materials - all prospective reference materials. The University of California, Irvine equipment includes a 250-kW TRIGA Mark 1 reactor, 2.5 x 10 12 n/cm 2 ·s thermal flux, 3-s sample transfer time, and a typical 18% Ge(Li)/4,096-channel gamma-ray spectrometer with a detector resolution of 3.3 keV at 1,332 keV. In these exploratory studies, it was found that it was not feasible to measure fluorine with adequate precision or accuracy at fluorine concentrations much less than ∼100 ppm. These initial studies, however, defined the magnitudes of the various difficulties. One good outcome of these studies was the demonstration that the otherwise excellent Teflon-mill brittle-fracture method for homogenizing freeze-dried biological samples was not suitable if fluorine was to be determined. Abrasion of the Teflon increased the fluorine content of a human diet sample about sevenfold (compared with similar treatment of the same material in an all-titanium mill)

  10. Fluorination effects on the thermodynamic, thermophysical and surface properties of ionic liquids

    International Nuclear Information System (INIS)

    Vieira, N.S.M.; Luís, A.; Reis, P.M.; Carvalho, P.J.; Lopes-da-Silva, J.A.; Esperança, J.M.S.S.; Araújo, J.M.M.; Rebelo, L.P.N.; Freire, M.G.; Pereiro, A.B.

    2016-01-01

    Highlights: • Surface tension of fluorinated ionic liquids. • Thermophysical properties of fluorinated ionic liquids. • Thermal properties and thermodynamic functions. - Abstract: This paper reports the thermal, thermodynamic, thermophysical and surface properties of eight ionic liquids with fluorinated alkyl side chain lengths equal or greater than four carbon atoms. Melting and decomposition temperatures were determined together with experimental densities, surface tensions, refractive indices, dynamic viscosities and ionic conductivities in a temperature interval ranging from (293.15 to 353.15) K. The surface properties of these fluorinated ionic liquids were discussed and several thermodynamic functions, as well as critical temperatures, were estimated. Coefficients of isobaric thermal expansion, molecular volumes and free volume effects were calculated from experimental values of density and refractive index and compared with previous data. Finally, Walden plots were used to evaluate the ionicity of the investigated ionic liquids.

  11. Synthesis and ATRP of novel fluorinated aromatic monomer with pendant sulfonate group

    DEFF Research Database (Denmark)

    Dimitrov, Ivaylo; Jankova Atanasova, Katja; Hvilsted, Søren

    2013-01-01

    Novel, fluorinated monomer with pendant sulfonate group was synthesized utilizing a two-step derivatization of 2,3,4,5,6-pentafluorostyrene (FS). The first step was a nucleophilic substitution of the fluorine atom in para position by hydroxyl group followed by sulfopropylation. The monomer...... was polymerized under aqueous ATRP conditions to yield phenyl-fluorinated aromatic homopolymer bearing pendant sulfonates on each repeating unit. Furthermore, this polymer was used as macroinitiator for the ATRP of poly(ethylene glycol) methacrylate. The polymers were characterized by 1H NMR, SEC and FTIR...

  12. Observance to the teeth casts of fluorination after head and neck irradiation

    International Nuclear Information System (INIS)

    Albarghach, N.; Righini, C.; Thariat, J.

    2009-01-01

    The application of teeth casts with fluorinated gel is omitted in practice after six months for most of patients. The reasons can be an inadequate information on the application time of casts, mucitis pain during excessive application of fluorinated gel during irradiation creating then, apprehension of fluorinated gels. A questionnaire of observance during the consultation can be an education tool. repeated for the same patients at the next follow up consultation and compared it could allow to measure the impact of awareness measures during the follow up. (N.C.)

  13. Development of F2 two-step fluorination process for non-aqueous reprocessing

    International Nuclear Information System (INIS)

    1976-02-01

    To establish the F 2 two-step fluorination for stable and high recoveries of plutonium, the fluorination process has been studied with the simulated fuel to a FBR containing UO 2 - PuO 2 and non-radioactive fission products in the 2''phi fluid-bed. The process principle was demonstrated and the effect of FPs on fluorination of U and Pu and the possibility of reducing the Pu loss could be clarified. The feasibility of separating PuF 6 from UF 6 onto UO 2 F 2 by adsorption, was also indicated. (auth.)

  14. Chronic intestinal intoxication with fluorine

    Energy Technology Data Exchange (ETDEWEB)

    Cristiani, H; Gautier, R

    1925-01-01

    The accumulation of fluorine in bones of guinea pigs which died of an osteomalacia-like condition is described. The time required for the condition to develop varied from a few weeks to several months when hay with a F content of 1:1000 to 1:10000 was used as food.

  15. Diels-Alder reactions onto fluorinated and hydrogenated graphene

    Science.gov (United States)

    Denis, Pablo A.

    2017-09-01

    We studied Diels-Alder (DA) reactions onto functionalized graphene. When fluorine, hydrogen or oxygen functional groups are present on one side of the sheet, the DA cycloadditions become significantly more exergonic when performed on the opposite side. Hydrogen is more effective than fluorine and oxygen to promote these cycloadditions. In contrast with the results obtained for perfect graphene, the functionalization with H, F or O turns the DA reactions exergonic, with ΔG°298 = -127.2 kcal/mol. The reaction barriers are expected to be considerably lowered with respect to perfect graphene because the functional groups significantly reduce the distortion energy.

  16. Fluorinated epoxy resins with high glass transition temperatures

    Science.gov (United States)

    Griffith, James R.

    1991-01-01

    Easily processed liquid resins of low dielectric constants and high glass transition temperatures are useful for the manufacture of certain composite electronic boards. That combination of properties is difficult to acquire when dielectric constants are below 2.5, glass transition temperatures are above 200 C and processability is of conventional practicality. A recently issued patent (US 4,981,941 of 1 Jan. 1991) teaches practical materials and is the culmination of 23 years of research and effort and 15 patents owned by the Navy in the field of fluorinated resins of several classes. In addition to high fluorine content, practical utility was emphasized.

  17. Fluorine doped vanadium dioxide thin films for smart windows

    International Nuclear Information System (INIS)

    Kiri, Pragna; Warwick, Michael E.A.; Ridley, Ian; Binions, Russell

    2011-01-01

    Thermochromic fluorine doped thin films of vanadium dioxide were deposited from the aerosol assisted chemical vapour deposition reaction of vanadyl acetylacetonate, ethanol and trifluoroacetic acid on glass substrates. The films were characterised with scanning electron microscopy, variable temperature Raman spectroscopy and variable temperature UV/Vis spectroscopy. The incorporation of fluorine in the films led to an increase in the visible transmittance of the films whilst retaining the thermochromic properties. This approach shows promise for improving the aesthetic properties of vanadium dioxide thin films.

  18. The surface chemical properties of multi-walled carbon nanotubes modified by thermal fluorination for electric double-layer capacitor

    Science.gov (United States)

    Jung, Min-Jung; Jeong, Euigyung; Lee, Young-Seak

    2015-08-01

    The surfaces of multi-walled carbon nanotubes (MWCNTs) were thermally fluorinated at various temperatures to enhance the electrochemical properties of the MWCNTs for use as electric double-layer capacitor (EDLC) electrodes. The fluorine functional groups were added to the surfaces of the MWCNTs via thermal fluorination. The thermal fluorination exposed the Fe catalyst on MWCNTs, and the specific surface area increased due to etching during the fluorination. The specific capacitances of the thermally fluorinated at 100 °C, MWCNT based electrode increased from 57 to 94 F/g at current densities of 0.2 A/g, respectively. This enhancement in capacitance can be attributed to increased polarization of the thermally fluorinated MWCNT surface, which increased the affinity between the electrode surface and the electrolyte ions.

  19. Study of the chemical species of fluorine 18 produced by neutron irradiation of lithium aluminate

    International Nuclear Information System (INIS)

    Jimenez-Becerril, J.

    1990-01-01

    In the present work, the chemical form of fluorine-18 obtained by means of the neutron irradiated lithium aluminate was studied, in order to know its chemical behavior and to observe if it volatilizes and adheres to the walls of a tritium distillation system; for this matter paper chromatography and high voltage electrophoresis techniques were used. Lithium aluminate was synthetized, being characterized as LiAlO 2 which was irradiated with neutrons in order to produce fluorine-18. Lithium aluminate is a non-soluble solid, therefore fluorine produced may not be extracted, unless it is dissolved or extracted through the solid. So as not affect in a drastic way the chemical form, it was submitted to extraction processes, agitating the irradiated samples with different acids and basic solutions in order to analyze fluorine-18. The best extraction agent was found to be HCl, where two forms of fluorine-18 were found, one at the point of application, probably as a complex hexafluoride-aluminate and the other as a characteristic Rf of the fluorine ion. In the tritium distillation with helium as a carrier of a sample irradiated and heated up to 220-250 o C, no volatile types of fluorine-18 were found, thus it can be considered that in commercial production of tritium by means of neutron irradiation of lithium aluminate, fluorine-18 is not a damaging pollutant of the equipment pipe system. (Author)

  20. Fluorination of vertically aligned carbon nanotubes: from CF4 plasma chemistry to surface functionalization.

    Science.gov (United States)

    Struzzi, Claudia; Scardamaglia, Mattia; Colomer, Jean-François; Verdini, Alberto; Floreano, Luca; Snyders, Rony; Bittencourt, Carla

    2017-01-01

    The surface chemistry of plasma fluorinated vertically aligned carbon nanotubes (vCNT) is correlated to the CF 4 plasma chemical composition. The results obtained via FTIR and mass spectrometry are combined with the XPS and Raman analysis of the sample surface showing the dependence on different plasma parameters (power, time and distance from the plasma region) on the resulting fluorination. Photoemission and absorption spectroscopies are used to investigate the evolution of the electronic properties as a function of the fluorine content at the vCNT surface. The samples suffer a limited ageing effect, with a small loss of fluorine functionalities after two weeks in ambient conditions.

  1. Fluorination of vertically aligned carbon nanotubes: from CF4 plasma chemistry to surface functionalization

    Directory of Open Access Journals (Sweden)

    Claudia Struzzi

    2017-08-01

    Full Text Available The surface chemistry of plasma fluorinated vertically aligned carbon nanotubes (vCNT is correlated to the CF4 plasma chemical composition. The results obtained via FTIR and mass spectrometry are combined with the XPS and Raman analysis of the sample surface showing the dependence on different plasma parameters (power, time and distance from the plasma region on the resulting fluorination. Photoemission and absorption spectroscopies are used to investigate the evolution of the electronic properties as a function of the fluorine content at the vCNT surface. The samples suffer a limited ageing effect, with a small loss of fluorine functionalities after two weeks in ambient conditions.

  2. Bose-Einstein correlations in the target fragmentation region in 200A GeV 16O + nucleus collisions

    International Nuclear Information System (INIS)

    Albrecht, R.; Bock, R.; Gutbrod, H.H.; Kolb, B.W.; Lund, I.; Schmidt, H.R.; Awes, T.C.; Ferguson, R.L.; Franz, A.; Obenshain, F.E.; Plasil, F.; Saini, S.; Soerensen, S.P.; Tincknell, M.L.; Young, G.R.; Beckmann, P.; Berger, F.; Bock, D.; Clewing, G.; Dragon, L.; Glasow, R.; Hartig, M.; Hoelker, G.; Kampert, K.H.; Loehner, H.; Peitzmann, T.; Purschke, M.; Roters, B.; Santo, R.; Schmidt, R.; Steffens, K.; Steinhaeuser, P.; Stueken, D.; Twyhues, A.; Bloomer, M.A.; Jacobs, P.; Poskanzer, A.M.; Ritter, H.G.; Claesson, G.; Eklund, A.; Garpman, S.; Gustafsson, H.A.; Idh, J.; Kristiansson, P.; Oskarsson, A.; Otterlund, I.; Persson, S.; Stenlund, E.

    1992-01-01

    Correlations between positive pions are investigated in the target fragmentation region of 200 A GeV 16 O+nucleus collisions. The pions are measured with the Plastic Ball detector in the WA80 experiment at the CERN SPS. The target mass dependence of the radii and the correlation strength extracted by interferometry is studied. A new approach to the fit of the correlation functions is introduced. The correlation strength and both invariant and transverse radii increase with decreasing target mass. The transverse radius for 16 O+C reactions appears to be much larger than the geometrical radius of the nuclei involved. For the Au target only a small fraction of the measured pions contributes to the apparent correlation. Hints for a much larger second component in 16 O+Au reactions are observed. Rescattering phenomena may provide a clue to understand these phenomena. (orig.)

  3. The influence of diffusion of fluorine compounds for silicon lateral etching

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick; Goodyear, Alec; Braithwaite, Nicholas St.John

    2004-07-01

    In an earlier study, it was proposed that long-range surface transport of fluorine atoms could precede the eventual binding to a silicon atom. The rate of binding increases if the silicon is bombarded with high energy ions. In this study, the lateral etching of a silicon layer, sandwiched between two silicon dioxide layers, was studied in order to investigate and extend these hypotheses. The under etching of the silicon layer was higher for wafers which suffered ion bombardment, showing that this mechanism is important even for horizontal etching. At the same time, the thickness of the silicon layer was varied. In all cases, the thinner silicon layer etched much faster then the thicker layer, indicating that fluorine surface transport is much more important than re-emission for these processes. The etch rate increase with ion bombardment can be explained by the fact that part of the energy of the incoming ions is transferred to the fluorine compounds which are on the horizontal surfaces and that ion bombardment enhances the fluorine surface transport.

  4. Studies on the sensitivity of several plant species to fluorine gases in Valais

    Energy Technology Data Exchange (ETDEWEB)

    Bolay, A; Bovay, E

    1965-01-01

    Analysis of apricot, apple and vine leaves showed that at leaf fluorine concentrations up to 25 p.p.m. the foliage was generally free of burns, except that of apricot which showed some damage at a level of 15 p.p.m. Between 26 and 105 p.p.m. the reactions of the foliage were variable, depending on the vegetative state of the plant and on atmospheric conditions (mainly R.H. and rainfall). Above 105 p.p.m. burns were nearly always present on apricot and vine leaves; for apple foliage the toxic level was about 160 p.p.m. The 75 plant species studied were divided into 4 categories depending on their susceptibility to fluorine damage; very susceptible species were those showing typical burning of the leaves when the fluorine content of apricot and vine leaves growing in the immediate vicinity was lower than 100 p.p.m.; susceptible species were those showing necrosis when the fluorine content of apricot leaves was slightly higher than 100 p.p.m.; species of low sensitivity showed necrosis only in heavily polluted zones; and tolerant species were those able to store over 500 p.p.m. fluorine in their leaves without showing visible damage. The very susceptible species may be used as indicators of fluorine in the atmosphere.

  5. Results of the topical in vitro and in vivo fluorination of dental enamel

    International Nuclear Information System (INIS)

    Baijot-Stroobants, J.; Deconninck, G.; Vreven, J.

    1978-01-01

    Fluorine in human enamel has been analysed by proton bombardment and detection of prompt γ-rays. Proton beam is used at atmospheric pressure; two different sets of experiments are reported: the first one consists in studying fluoridation effects on extracted teeth and the second one in making in vivo Fluorine determinations before and after topical applications. Several commercial gels and solutions have been tested with regard to their efficiency for Fluorine fixation: in vitro and in vivo results are in good agreement. (author)

  6. Theranostic Approach for Metastatic Pigmented Melanoma Using ICF15002, a Multimodal Radiotracer for Both PET Imaging and Targeted Radionuclide Therapy

    Directory of Open Access Journals (Sweden)

    Latifa Rbah-Vidal

    2017-01-01

    Full Text Available PURPOSE: This work reports, in melanoma models, the theranostic potential of ICF15002 as a single fluorinated and iodinated melanin-targeting compound. METHODS: Studies were conducted in the murine syngeneic B16BL6 model and in the A375 and SK-MEL-3 human xenografts. ICF15002 was radiolabeled with fluorine-18 for positron emission tomography (PET imaging and biodistribution, with iodine-125 for metabolism study, and iodine-131 for targeted radionuclide therapy (TRT. TRT efficacy was assessed by tumor volume measurement, with mechanistics and dosimetry parameters being determined in the B16BL6 model. Intracellular localization of ICF15002 was characterized by secondary ion mass spectrometry (SIMS. RESULTS: PET imaging with [18F]ICF15002 evidenced tumoral uptake of 14.33 ± 2.11%ID/g and 4.87 ± 0.93%ID/g in pigmented B16BL6 and SK-MEL-3 models, respectively, at 1 hour post inoculation. No accumulation was observed in the unpigmented A375 melanoma. SIMS demonstrated colocalization of ICF15002 signal with melanin polymers in melanosomes of the B16BL6 tumors. TRT with two doses of 20 MBq [131I]ICF15002 delivered an absorbed dose of 102.3 Gy to B16BL6 tumors, leading to a significant tumor growth inhibition [doubling time (DT of 2.9 ± 0.5 days in treated vs 1.8 ± 0.3 in controls] and a prolonged median survival (27 days vs 21 in controls. P53S15 phosphorylation and P21 induction were associated with a G2/M blockage, suggesting mitotic catastrophe. In the human SK-MEL-3 model, three doses of 25 MBq led also to a DT increase (26.5 ± 7.8 days vs 11.0 ± 3.8 in controls and improved median survival (111 days vs 74 in controls. CONCLUSION: Results demonstrate that ICF15002 fulfills suitable properties for bimodal imaging/TRT management of patients with pigmented melanoma.

  7. Fluorine and chlorine determination in oxides and metals by ion chromatography

    International Nuclear Information System (INIS)

    Evseeva, T.I.; Poletaeva, I.L.; Zemlyanukhina, N.A.; Pavlova, I.V.; Rybin, A.M.; Malykh, M.Yu.; Fedorova, L.A.

    1989-01-01

    Method for simultaneous determination of fluorine and chlorine microquantitie in tantalum, uranium and plutonium oxides, based on combined methods of pyrohydrolysis (1000-1100 deg C) and two-column ion chromatography with conductometric detection is suggested. The relative root-mean-square deviation of determination error is 0.2, the fluorine and chlorine content being 5·10 -4 mass%

  8. Reactive flow analysis with fluorine thermal dissociation in a FLUOREX flame reactor

    International Nuclear Information System (INIS)

    Ohtsuka, Masaya; Tagawa, Hisato; Sasahira, Akira; Hoshino, Kuniyoshi; Kawamura, Fumio; Homma, Shunji; Amano, Osamu

    2004-01-01

    A reactive flow analysis method for flame reactors of the FLUOREX (Hybrid Process of Fluoride Volatility and Solvent Extraction) method was been developed. Transport equations for UO 2 /PuO 2 mixed particles were formulated in the Lagrangian framework and several fluid/particles interactions were modeled using mass, momentum and energy exchanges through surface chemical reactions, forces and heat transfers. The coal combustion model was modified without devolatilization and the char burnout model was replaced by the UO 2 /PuO 2 fluorination model. Overall reaction rates were calculated using the combined model of the surface reaction rate and the diffusion rate of F2 and F. Fluid flows were modeled through incompressible flows using the k-ε turbulent model in the Euler framework. A cylindrical flame reactor (φ 80 mm x 500mm was analyzed where 99%UO 2 +1%PuO 2 mixed particles were injected with Ar and 5% excess F 2 flow. The average particle diameter was 4 μm and the flow rate was 300 g/h. The fluorination reaction of PuO 2 was limited through fluorine molecular reaction but was accelerated due to fluorine thermal dissociation. The simulated corresponded to the experimental result in that both UO 2 and PuO 2 were almost completely fluorinated. (author)

  9. miR-16 promotes the apoptosis of human cancer cells by targeting FEAT

    International Nuclear Information System (INIS)

    Liang, Hongwei; Fu, Zheng; Jiang, Xueyuan; Wang, Nan; Wang, Feng; Wang, Xueliang; Zhang, Suyang; Wang, Yanbo; Yan, Xin; Guan, Wen-xian; Zhang, Chen-Yu; Zen, Ke; Zhang, Yujing; Chen, Xi; Zhou, Guangxin

    2015-01-01

    Although human cancers have heterogeneous combinations of altered oncogenes, some crucial genes are universally dysregulated in most cancers. One such gene, FEAT (faint expression in normal tissues, aberrant overexpression in tumors), is uniformly overexpressed in a variety of human cancers and plays an important role in tumorigenesis by suppressing apoptosis. However, the precise molecular mechanism through which FEAT is upregulated during tumorigenesis remains largely unknown. In this study, we used bioinformatic analyses to search for miRNAs that potentially target FEAT. We examined the expression of FEAT protein level by western blotting and miR-16 level by qRT-PCR assay. Cancer cell lines (A549, MCF-7 and Huh-7) with miR-16 upregulation and FEAT silencing were established and the effects on apoptosis of cancer cells in vitro were assessed. Luciferase reporter assay was also performed to investigate the interaction between miR-16 and FEAT. We identified a specific target site for miR-16 in the 3′-untranslated region (3′-UTR) of FEAT. Consistent with the bioinformatic analyses, we identified an inverse correlation between the miR-16 and FEAT protein levels in lung cancer, breast cancer, and hepatocellular cancer tissues. We then experimentally validated miR-16 as a direct regulator of FEAT using cell transfection and luciferase assays. Finally, we demonstrated that the repression of FEAT by miR-16 promoted the apoptosis of cancer cells. Our findings provide the first clues regarding the role of miR-16 as a tumor suppressor in cancer cells through the inhibition of FEAT translation. The online version of this article (doi:10.1186/s12885-015-1458-8) contains supplementary material, which is available to authorized users

  10. Reversible Changes in Resistance of Perovskite Nickelate NdNiO3 Thin Films Induced by Fluorine Substitution.

    Science.gov (United States)

    Onozuka, Tomoya; Chikamatsu, Akira; Katayama, Tsukasa; Hirose, Yasushi; Harayama, Isao; Sekiba, Daiichiro; Ikenaga, Eiji; Minohara, Makoto; Kumigashira, Hiroshi; Hasegawa, Tetsuya

    2017-03-29

    Perovskite nickel oxides are of fundamental as well as technological interest because they show large resistance modulation associated with phase transition as a function of the temperature and chemical composition. Here, the effects of fluorine doping in perovskite nickelate NdNiO 3 epitaxial thin films are investigated through a low-temperature reaction with polyvinylidene fluoride as the fluorine source. The fluorine content in the fluorinated NdNiO 3-x F x films is controlled with precision by varying the reaction time. The fully fluorinated film (x ≈ 1) is highly insulating and has a bandgap of 2.1 eV, in contrast to NdNiO 3 , which exhibits metallic transport properties. Hard X-ray photoelectron and soft X-ray absorption spectroscopies reveal the suppression of the density of states at the Fermi level as well as the reduction of nickel ions (valence state changes from +3 to +2) after fluorination, suggesting that the strong Coulombic repulsion in the Ni 3d orbitals associated with the fluorine substitution drives the metal-to-insulator transition. In addition, the resistivity of the fluorinated films recovers to the original value for NdNiO 3 after annealing in an oxygen atmosphere. By application of the reversible fluorination process to transition-metal oxides, the search for resistance-switching materials could be accelerated.

  11. Characteristics of fluorinated nitroazoles as hypoxic cell radiosensitizers

    International Nuclear Information System (INIS)

    Shibamoto, Y.; Nishimoto, S.; Shimokawa, K.

    1989-01-01

    Types of 2-nitroimidazoles and 3-nitro-1,2,4-triazoles bearing one or two fluorine atoms on their side chains were synthesized to evaluate their physicochemical properties, radiosensitizing effects, and toxicity. The reduction potential of the compounds containing one fluorine was similar to that of misonidazole (MISO), whereas that of the difluorinated compounds was slightly higher. Both mono- and difluorinated compounds had an in vitro sensitizing activity comparable to or slightly higher than that of MISO. The fluorinated 3-nitrotriazoles were almost as efficient as the 2-nitroimidazoles with the same substituent. In vivo, some of the compounds were up to twice more efficient than MISO, whereas others were as efficient as MISO. Toxicity in terms of LD50/7 in mice was quite variable depending on the side-chain structure; the amide derivatives were less toxic than MISO, whereas the alcohol and ether derivatives were more toxic. In view of the radiosensitizing effect and toxicity in vivo, at least one compound, KU-2285 (a 2-nitroimidazole with an N1-substituent of: CH2CF2CONHCH2CH2OH) has been found to be as useful a hypoxic cell sensitizer as SR-2508

  12. Experimental research on combustion fluorine retention using calcium-based sorbents during coal combustion (II)

    Energy Technology Data Exchange (ETDEWEB)

    Qi, Q.; Ma, X.; Liu, J.; Wu, X.; Zhou, J.; Cen, K. [Liaoning Technical University, Fuxin (China). College of Resource and Environment Engineering

    2008-12-15

    Fluoride pollution produced by coal burning can be controlled with the calcium-based sorbent combustion fluorine technique in which calcium-based sorbents are mixed with the coal or sprayed into the combustion chamber. In a fixed bed tube furnace combustion experiment using one calcium-based natural mineral, limestone and one calcium-based building material, it was shown that the calcium-based sorbent particle grain size and pore structure have a big influence on the combustion fluorine retention effect. Reducing the calcium-based sorbent particle grain size and improving the calcium sorbent structure characteristics at very high temperature to enhance the fluorine retention effect is the important approach to the fluorine retention agent development. 8 refs., 1 fig., 5 tabs.

  13. Supercritical temperature synthesis of fluorine-doped VO2(M) nanoparticle with improved thermochromic property

    Science.gov (United States)

    Riapanitra, Anung; Asakura, Yusuke; Cao, Wenbin; Noda, Yasuto; Yin, Shu

    2018-06-01

    Fluorine-doped VO2(M) nanoparticles have been successfully synthesized using the hydrothermal method at a supercritical temperature of 490 °C. The pristine VO2(M) has the critical phase transformation temperature of 64 °C. The morphology and homogeneity of the monoclinic structure VO2(M) were adopted by the fluorine-doped system. The obtained particle size of the samples is smaller at the higher concentration of anion doping. The best reduction of critical temperature was achieved by fluorine doping of 0.13% up to 48 °C. The thin films of the fluorine-doped VO2(M) showed pronounced thermochromic property and therefore are suitable for smart window applications.

  14. Fluorine determination in human and animal bones by particle-induced gamma-ray emission

    International Nuclear Information System (INIS)

    Sastri, Chaturvedula S.; Hoffmann, Peter; Ortner, Hugo M.; Iyengar, Venkatesh; Blondiaux, Gilbert; Tessier, Yves; Petri, Hermann; Aras, Namik K.; Zaichick, Vladimir

    2002-01-01

    Fluorine was determined in the iliac crest bones of patients and in ribs collected from postmortem investigations by particle-induced gamma-ray emission based on the 19 F(p,pγ) 19 F reaction, using 20/2.5 MeV protons. The results indicate that for 68% of the human samples the F concentration is in the range 500-1999 μg g -1 . For comparison purposes fluorine was also determined in some animal bones; in some animal tissues lateral profiles of fluorine were measured. (abstract)

  15. Description of an engineering-scale facility for uranium fluorination studies

    International Nuclear Information System (INIS)

    Yagi, Eiji; Saito, Shinichi; Horiuchi, Masato

    1976-03-01

    In the research program of power reactor fuel reprocessing by fluoride volatility process, the engineering facility was constructed to establish the techniques of handling kilogram quantities of fluorine and uranium hexafluoride and to obtain engineering data on the uranium fluidized-bed oxidation and fluorination. This facility is designed for a capacity of 5 kg per batch. Descriptions on the facility and equipment are given, including design philosophy, safety and its analysis. (auth.)

  16. Synthesis and characterization of fluorine compounds

    International Nuclear Information System (INIS)

    Martinez Carrillo, M.

    1991-01-01

    The ( 18 F) D-glucose, 2-deoxy fluorine ( 18 FDG) is a radio pharmaceutic that is used in nuclear medicine it is utilized mainly in the glucose metabolism. It allows recently to observe the tumors accumulation and growing. The obtention of this radio pharmaceutic can realize by a nucleophilic or electrophilic process through the use of different fluorinated agents obtained as intermediates for introducing the 18 F radionuclide in a final step of synthesis. The first methods already has been studied in the National Institute of Nuclear Research. The second one which is based this work and it was realized through the reaction of acetyl hypo fluorite (CH 3 COOF) with tri acetyl glucal (TAG) in turn they require the obtention of several fluorated compounds that they serve as intermediates for their obtention so that objective of this work was to find the adequate technique for the obtention of anhydride hydrofluoric acid (HF), KF.2 HF and elemental fluorine so as the design and construction of the systems and equipment used for carry out each one of the reactions. Moreover it was designed the system that will be used for the obtention of acetyl hypo fluoride and the synthesis of composite tetraacetilide 3,4,6 tri-D-glucopyranosil fluoride (TAG-F) for that finally by hydrolysis it was obtained the 2-deoxy fluoride-D-glucose (TAG) in inactive. In this system were realized several preliminary tests. The results are showed in the content of this work also the techniques for compounds characterization were given. (Author)

  17. 75 FR 74773 - Mandatory Reporting of Greenhouse Gases: Additional Sources of Fluorinated GHGs

    Science.gov (United States)

    2010-12-01

    ...-mechanical systems (MEMS) manufacturing facilities. Fluorinated Gas Production....... 325120 Industrial gases... of Industrial Greenhouse Gases. Electrical Equipment Use General Stationary Fuel Combustion. Imports and Exports of Fluorinated Suppliers of Industrial Greenhouse GHGs Inside Pre-charged Equipment Gases...

  18. Autoionizing states in highly ionized oxygen, fluorine and silicon

    International Nuclear Information System (INIS)

    Forester, J.P.; Peterson, R.S.; Griffin, P.M.; Pegg, D.J.; Haselton, H.H.; Liao, K.H.; Sellin, I.A.; Mowat, J.R.; Thoe, R.S.

    1975-01-01

    Autoionizing states in high Z 3-electron ions associated with core excited configurations of the type 1s2snl and 1s2pnl are reported. The electron decay-in-flight spectra of lithium-like oxygen, fluorine, and silicon ions are presented. Initial beam energies of 6.75-MeV oxygen and fluorine ions and 22.5-MeV silicon ions were used. Stripping and excitation were done by passing the beams through a thin carbon foil. The experimental technique is described. 4 figs, 1 table, 7 refs

  19. Accumulation of fluorine in the leaves of trees and shrubs growing in industrial territories

    Energy Technology Data Exchange (ETDEWEB)

    Asadov, G G; Alekperov, S A; Mamedov, G G

    1977-01-01

    Measurements were made to compare the concentration of fluorine in various plants in the vicinity of an aluminum plant, a glass plant and a chemical plant. The accumulation of fluorine was higher in the leaves of plants near the aluminum and glass industry than in the vicinity of another chemical industry. The fluorine concentration was found to be highest in spring. Pines and poplars were the most sensitive of the species tested.

  20. Structure of a putative fluorinated natural product from Streptomyces sp. TC1.

    Science.gov (United States)

    Aldemir, Hülya; Kohlhepp, Stefanie V; Gulder, Tanja; Gulder, Tobias A M

    2014-11-26

    Fluorine-containing natural products are extremely rare. The recent report on the isolation and biological activity of the bacterial secondary metabolite 3-(3,5-di-tert-butyl-4-fluorophenyl)propionic acid was thus highly remarkable. The compound contained the first aromatic fluorine substituent known to date in any natural product. The promise to discover an enzyme capable of aromatic fluorination in the producing strain Streptomyces sp. TC1 prompted our immediate interest. A close inspection of the originally reported analytical data of the fluoro metabolite revealed inconsistencies that triggered us to validate the reported structure. The results of these efforts are presented in this communication.

  1. Investigation of fluorine adsorption on nitrogen doped MgAl{sub 2}O{sub 4} surface by first-principles

    Energy Technology Data Exchange (ETDEWEB)

    Lv, Xiaojun; Xu, Zhenming [School of Metallurgy and Environment, Central South University, Changsha 410083 (China); Li, Jie, E-mail: 15216105346@163.com [School of Metallurgy and Environment, Central South University, Changsha 410083 (China); Chen, Jiangan [Faculty of Resource and Environmental Engineering, Jiangxi University of Science and Technology, Ganzhou 341000 (China); Liu, Qingsheng [Faculty of Metallurgical and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000 (China)

    2016-07-15

    Graphical abstract: First-principles calculations indicate that MgAl{sub 2}O{sub 4} surface is fluorine-loving, but hydrophobic. N doped MgAl{sub 2}O{sub 4} (100) surface structure shows the highest fluorine adsorption performance and fluorine atom is more preferentially adsorbed on the Mg-Al bridge site. The fluorine adsorption intensity follow this order: N doped MgAl{sub 2}O{sub 4} (100) > Al{sub 2}O{sub 3} (0001) > MgAl{sub 2}O{sub 4} (100) > MgO (100). N doped MgAl{sub 2}O{sub 4} is a promising candidate for fluorine removal. - Highlights: • MgAl{sub 2}O{sub 4} surface is fluorine-loving, not hydrophilic. • Fluorine preferentially adsorbs on the Mg-Al bridge site. • Adsorption intensity follow this order: N doped MgAl{sub 2}O{sub 4} > Al{sub 2}O{sub 3} > MgAl{sub 2}O{sub 4} > MgO. • Excellent adsorption performance attributes to electron compensation of N atom. • Nitrogen doped MgAl{sub 2}O{sub 4} is a promising candidate for fluorine removal. - Abstract: The nature of fluorine adsorption on pure and N doped MgAl{sub 2}O{sub 4} surface has been investigated by first-principles calculations based on the density functional theory. Calculated results indicate that MgAl{sub 2}O{sub 4} surface is fluorine-loving, not hydrophilic. Nitrogen doped MgAl{sub 2}O{sub 4} (100) surface shows the highest fluorine adsorption performance and fluorine atom preferentially adsorbs on the Mg-Al bridge site. The fluorine adsorption intensity follow this order: Nitrogen doped MgAl{sub 2}O{sub 4} (100) > Al{sub 2}O{sub 3} (0001) > MgAl{sub 2}O{sub 4} (100) > MgO (100). In-depth PDOS analysis suggested that 2p orbitals of F atom strongly hybridized with 3s- and 3p-orbitals of Al atom contribute to its high adsorption intensity. According to the analysis of Hirshfeld charge, the excellent fluorine adsorption performance of nitrogen doped MgAl{sub 2}O{sub 4} attributes to the electron compensation effect of nitrogen atom and strong electrostatic interactions. All these

  2. Fluorine dynamics in BaF2 superionic conductors investigated by NMR

    International Nuclear Information System (INIS)

    Gumann, Patryk

    2008-01-01

    In this work the dynamics of fluorine in solid-state electrolytes having BaF 2 -structure was investigated using three different NMR-methods: field cycling relaxometry, lineshape analysis, and static field gradient NMR. For this purpose a pure BaF 2 crystal, as well as crystals doped with trivalent impurities (LaF 3 ), were studied as a function of temperature. Using MAS NMR it was possible to identify two lines in Ba 0.9 La 0.1 F 2.1 having different chemical shift, and to refer them to the modified crystal structure. On this basis a model for the fluorine lineshape has been developed, taking into account three motional processes characterized by their correlation times. It includes jump diffusion of the fluorine ions among equivalent sites within two crystallographically distinct sublattices, and inter-lattice exchange processes. By measuring frequency and temperature-dependent spin lattice relaxation times, it was possible to gain information about fluorine dynamics on microscopic length scales. An attempt was also made to analyze the data for pure BaF 2 and low admixture concentration samples with a non-exponential correlation function. (orig.)

  3. Fluorine: A key enabling element in the nuclear fuel cycle

    OpenAIRE

    Crouse, P.L.

    2015-01-01

    Fluorine - in the form of hydrofluoric acid, anhydrous hydrogen fluoride, elemental gaseous fluorine, fluoropolymers, volatile inorganic fluorides, and more - has played, and still plays, a major role in the nuclear industry. In order to enrich uranium, the metal has to be in the gaseous state. While more exotic methods are known, the standard and most cost-competitive way of achieving this is by means of uranium hexafluoride (UF6). This compound sublimates at low temperatures, and the vapour...

  4. Study of the elimination of fluorine from drinking water using adsorbent materials

    International Nuclear Information System (INIS)

    Flores de la Torre, J.A.; Badillo A, V.E.; Badillo A, V.; Lopez D, F.A.

    2004-01-01

    With the purpose of diminishing the levels of fluorine in the water in certain areas geographical of the country, the interaction of the fluorine is studied, with a Mexican natural clay, called kaolinite and a synthetic apatite called hydroxyapatite. Due to the discharges concentrations of this element in waters of human consumption cause fluorosis dental and osseous, it is important to propose adsorbent materials able to diminish those elevated concentrations of fluorine. In this investigation work the retention of the fluorine is studied in mineral phases using the tracer radioactive 8 F. This retention is expressed in terms of the fixed percent of 18 F, in a natural kaolinite in solution of NaCl 0.01 M, and in a synthetic hydroxyapatite setting in contact with a solution of NaF 0.01 M and a solution of NaH 2 PO 4 0.01 M, all in function of the value of the p H of the solution. The results demonstrate that the influence of the p H is remarkable in the retention of the fluoride in both minerals, demonstrating that the hydroxyapatite (calcium phosphate) it retains in a lot of bigger proportion to the fluorine that the kaolinite (aluminosilicate), all this to values of acid p H, diminishing as the value of the p H increases. (Author)

  5. (Liquid + liquid) equilibria of perfluorocarbons with fluorinated ionic liquids

    International Nuclear Information System (INIS)

    Martinho, S.; Araújo, J.M.M.; Rebelo, L.P.N.; Pereiro, A.B.; Marrucho, I.M.

    2013-01-01

    Highlights: • (Liquid + liquid) equilibria perfluorocarbons and fluorinated ionic liquids. • Non-Random Two Liquid model was successfully applied. • Thermodynamic functions that describe the solvation process were calculated. -- Abstract: In order to evaluate the feasibility of partially replace perfluorocarbons (PFCs) with fluorinated ionic liquids (FILs) in PFCs-in-water emulsions, usually used for biomedical purposes, herein the (liquid + liquid) phase equilibria of FILs containing fluorinated chains longer than four carbons with PFCs were carried out in a wide range of temperatures. With this goal in mind, two PFCs (perfluorooctane and perfluorodecalin) were selected and the (liquid + liquid) equilibria of the binary mixtures of these PFCs and FILs were studied at atmospheric pressure in a temperature range from T (293.15 to 343.15) K. For these studies, FILs containing ammonium, pyridinium and imidazolium cations and different anions with fluorocarbon alkyl chains between 4 and 8 were included. Additionally, Non-Random Two Liquid (NRTL) thermodynamic model was successfully applied to correlate the behaviour of the PFCs + FILs binary mixtures. Moreover, thermodynamic functions that describe the solvation process were calculated from the experimental data

  6. Fabrication of superhydrophilic or superhydrophobic self-cleaning metal surfaces using picosecond laser pulses and chemical fluorination

    Science.gov (United States)

    Zheng, Buxiang; Jiang, Gedong; Wang, Wenjun; Mei, Xuesong

    2016-05-01

    Bioinspired superhydrophilic/phobic self-cleaning surfaces have recently drawn a lot of interest in both fundamental and applied research. A hybrid method to produce the self-cleaning property of micro/nanostructured surface using ultra-fast laser pulses followed by chemical fluorination is proposed. The typical micro/nanocomposite structures that form from microporous arrays and microgroove groups have been processed by picosecond laser on titanium alloy surface. The surface hydrophilic/phobic and self-cleaning properties of micro/nanostructures before and after fluorination with fluoroalkyl-silane were investigated using surface contact angle measurements. The results indicate that surface properties change from hydrophilic to hydrophobic after fluorination, and the micro/nanostructured surface with increased roughness contributes to the improvement of surface hydrophobicity. The micro/nanomodification can make the original hydrophilic titanium alloy surface more hydrophilic or superhydrophilic. It also can make an originally hydrophobic fluorinated titanium alloy surface more hydrophobic or superhydrophobic. The produced micro/nanostructured titanium alloy surfaces show excellent self-cleaning properties regardless of the fluorination treatment, although the fluorinated surfaces have slightly better self-cleaning properties. It is found that surface treatment using ultra-fast laser pulses and subsequent chemical fluorination is an effective way to manipulate surface wettability and obtain self-cleaning properties.

  7. Note: Loading method of molecular fluorine using x-ray induced chemistry

    International Nuclear Information System (INIS)

    Pravica, Michael; Sneed, Daniel; White, Melanie; Wang, Yonggang

    2014-01-01

    We have successfully loaded molecular fluorine into a diamond anvil cell at high pressure using the synchrotron x-ray induced decomposition of perfluorohexane (C 6 F 14 ). “White” x-ray radiation from the Advanced Photon Source was used to initiate the chemical decomposition of C 6 F 14 , which resulted in the in situ production of F 2 as verified via Raman spectroscopy. Due to the toxic nature of fluorine, this method will offer significant advantages in the ability to easily load a relatively nontoxic and inert substance into a chamber (such as a diamond anvil cell) that, when sealed with other reactants and irradiate with hard x-rays (>7 keV), releases highly reactive and toxic fluorine into the sample/reaction chamber to enable novel chemical synthesis under isolated and/or extreme conditions

  8. Low power fluorine plasma effects on electrical reliability of AlGaN/GaN high electron mobility transistor

    International Nuclear Information System (INIS)

    Yang Ling; Zhou Xiao-Wei; Ma Xiao-Hua; Lv Ling; Zhang Jin-Cheng; Hao Yue; Cao Yan-Rong

    2017-01-01

    The new electrical degradation phenomenon of the AlGaN/GaN high electron mobility transistor (HEMT) treated by low power fluorine plasma is discovered. The saturated current, on-resistance, threshold voltage, gate leakage and breakdown voltage show that each experiences a significant change in a short time stress, and then keeps unchangeable. The migration phenomenon of fluorine ions is further validated by the electron redistribution and breakdown voltage enhancement after off-state stress. These results suggest that the low power fluorine implant ion stays in an unstable state. It causes the electrical properties of AlGaN/GaN HEMT to present early degradation. A new migration and degradation mechanism of the low power fluorine implant ion under the off-stress electrical stress is proposed. The low power fluorine ions would drift at the beginning of the off-state stress, and then accumulate between gate and drain nearby the gate side. Due to the strong electronegativity of fluorine, the accumulation of the front fluorine ions would prevent the subsequent fluorine ions from drifting, thereby alleviating further the degradation of AlGaN/GaN HEMT electrical properties. (paper)

  9. Fluorine and sulfur simultaneously co-doped suspended graphene

    Science.gov (United States)

    Struzzi, C.; Sezen, H.; Amati, M.; Gregoratti, L.; Reckinger, N.; Colomer, J.-F.; Snyders, R.; Bittencourt, C.; Scardamaglia, M.

    2017-11-01

    Suspended graphene flakes are exposed simultaneously to fluorine and sulfur ions produced by the μ-wave plasma discharge of the SF6 precursor gas. The microscopic and spectroscopic analyses, performed by Raman spectroscopy, scanning electron microscopy and photoelectron spectromicroscopy, show the homogeneity in functionalization yield over the graphene flakes with F and S atoms covalently bonded to the carbon lattice. This promising surface shows potential for several applications ranging from biomolecule immobilization to lithium battery and hydrogen storage devices. The present co-doping process is an optimal strategy to engineer the graphene surface with a concurrent hydrophobic character, thanks to the fluorine atoms, and a high affinity with metal nanoparticles due to the presence of sulfur atoms.

  10. Target fragmentation in proton-nucleus and /sup 16/O-nucleus reactions at 60 and 200 GeVnucleon

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, H R; Albrecht, R; Awes, T C; Baktash, C; Beckmann, P; Claesson, G; Berger, F; Bock, R; Dragon, L; Ferguson, R L; Franz, A; Garpman, S; Glasow, R; Gustafsson, H A; Gutbrod, H H; Kampert, K H; Kolb, B W; Kristiansson, P; Lee, I Y; Loehner, H; Lund, I; Obenshain, F E; Oskarsson, A; Otterlund, I; Peitzmann, T; Persson, S; Plasil, F; Poskanzer, A M; Purschke, M; Ritter, H G; Santo, R; Siemiarczuk, T; Sorensen, S P; Stenlund, E; Young, G R

    1987-01-01

    Target remnants with Z<3 from proton-nucleus and /sup 16/O-nulceus reactions at 60 and 200 GeVnucleon were measured in the angular range from 30)degree) to 160)degree) (-1.7<)eta)1.3) employing the Plastic Ball detector. The excitation energy of the target spectator matter in central oxygen-induced collisions is found to be high enough to allow for complete disintegration of the target nucelus into fragments with Z<3. The average longtitudinal momentum transfer per proton to the target in central collisions is considerably higher in the case of /sup 16/O-induced reactions (approx.300 MeVc) than in proton-induced reactions (approx.130 MeVc). The baryon rapidity distributions are roughly in agreement with one-fluid hydrodynamical calcualtions at 60 GeVnucleon /sup 16/O)plus)Au but are in disagreement at 200 GeVnucleon, indicating the higher degree of transparency at the higher bombarding energy. Both, the transverse moments of target spectators and the entropy produced in the target gfragmentation region are compared to those attained in head-on collisions of two heavy nuclei at Bevalac energies. They are found to be comparable or do even exceed the values for the participant matter at beam energies of about 1-2 GeVnucleon. 18 refs., 112 figs

  11. Self-lubricating fluorine shaft seal material

    Science.gov (United States)

    Munk, W. R.

    1970-01-01

    Lubricating film is produced by a reaction of fluorine with a composite of aluminum oxide and nickel powder. The rate of nickel fluoride generation is proportional to the rate at which the fluoride is rubbed off the surface, allowing the seal to operate with the lowest possible heating.

  12. Preparation and characterization of very pure zirconium tetrafluoride. Application to fluorinated glass

    International Nuclear Information System (INIS)

    Bridenne, M.

    1986-12-01

    The synthesis of anhydrous and very pure zirconium tetrafluoride from zirconium tetraborohydride is studied. Zr F 4 is used for fabrication of fluorozirconate glass. Zr (BH 4 ) 4 is purified by sublimation. Two fluorinating agents F 2 and anhydrous HF are used for fluorination. The apparatus is made of fluorinated polymers and a Kel-F prototype reactor was realized. 20 g of Zr F 4 are obtained in 44 hrs with a yield of 88 %. Purity is characterized by chemical analysis (atomique absorption spectroscopy and spark mass spectroscopy) and absorption of an optical fiber made of zirconium tetrafluoride. Cr, Ni, Co and Cu content is lower than 0.1 ppm. Possibility of pilot scale production is discussed [fr

  13. [Experimental study on fas expression of spermatogenic cell in male rats induced by fluorine].

    Science.gov (United States)

    Xu, Rui; Shang, Weichao; Liu, Jianmin; Cheng, Xuemin; Ba, Yue; Huang, Hui; Cui, Liuxin

    2010-05-01

    To research the effect of fluorine on the expression of Fas protein, then study the mechanism of male reproductive toxicity induced by fluoride on molecular level. Thirty Wistar male rats were divided into control group, low-dose group and high-dose group. The NaF dosage for every group were 0,2 and 4g/L. The content of NaF in testis was measured by using fluorine selective electrode. Changes of testosterone and Fas protein were observed using the methods of radioimmunoassay, in situ hybridization. In addition, we observed the quality of spermatozoa. The testis fluoride content of two fluorine treatment groups were higher than that of control group (P Fluorin could reduce the level of serum testosterone, then activated the Fas/FasL system, which caused damage to the reprodutive system.

  14. Electrochemical fluorination of La(2)CuO(4): a mild "chimie douce" route to superconducting oxyfluoride materials.

    Science.gov (United States)

    Delville, M H; Barbut, D; Wattiaux, A; Bassat, J M; Ménétrier, M; Labrugère, C; Grenier, J C; Etourneau, J

    2009-08-17

    The fluorination of La(2)CuO(4) was achieved for the first time under normal conditions of pressure and temperature (1 MPa and 298 K) via electrochemical insertion in organic fluorinated electrolytes and led to lanthanum oxyfluorides of general formula La(2)CuO(4)F(x). Analyses showed that, underneath a very thin layer of LaF(3) (a few atomic layers), fluorine is effectively inserted in the material's structure. The fluorination strongly modifies the lanthanum environment, whereas very little modification is observed on copper, suggesting an insertion in the La(2)O(2) blocks of the structure. In all cases, fluorine insertion breaks the translation symmetry and introduces a long-distance disorder, as shown by electron spin resonance. These results highlight the efficiency of electrochemistry as a new "chimie douce" type fluorination technique for solid-state materials. Performed at room temperature, it additionally does not require any specific experimental care. The choice of the electrolytic medium is crucial with regard to the fluorine insertion rate as well as the material deterioration. Successful application of this technique to the well-known La(2)CuO(4) material provides a basis for further syntheses from other oxides.

  15. Modulation of microRNA-mRNA Target Pairs by Human Papillomavirus 16 Oncoproteins

    Directory of Open Access Journals (Sweden)

    Mallory E. Harden

    2017-01-01

    Full Text Available The E6 and E7 proteins are the major oncogenic drivers encoded by high-risk human papillomaviruses (HPVs. While many aspects of the transforming activities of these proteins have been extensively studied, there are fewer studies that have investigated how HPV E6/E7 expression affects the expression of cellular noncoding RNAs. The goal of our study was to investigate HPV16 E6/E7 modulation of cellular microRNA (miR levels and to determine the potential consequences for cellular gene expression. We performed deep sequencing of small and large cellular RNAs in primary undifferentiated cultures of human foreskin keratinocytes (HFKs with stable expression of HPV16 E6/E7 or a control vector. After integration of the two data sets, we identified 51 differentially expressed cellular miRs associated with the modulation of 1,456 potential target mRNAs in HPV16 E6/E7-expressing HFKs. We discovered that the degree of differential miR expression in HFKs expressing HPV16 E6/E7 was not necessarily predictive of the number of corresponding mRNA targets or the potential impact on gene expression. Additional analyses of the identified miR-mRNA pairs suggest modulation of specific biological activities and biochemical pathways. Overall, our study supports the model that perturbation of cellular miR expression by HPV16 E6/E7 importantly contributes to the rewiring of cellular regulatory circuits by the high-risk HPV E6 and E7 proteins that contribute to oncogenic transformation.

  16. Decomposition of Fluorinated Graphene under Heat Treatment

    Czech Academy of Sciences Publication Activity Database

    Plšek, Jan; Drogowska, Karolina; Valeš, Václav; Ek Weis, Johan; Kalbáč, Martin

    2016-01-01

    Roč. 22, č. 26 (2016), s. 8990-8997 ISSN 1521-3765 R&D Projects: GA ČR(CZ) GAP208/12/1062 Institutional support: RVO:61388955 Keywords : fluorination * graphene * photoelectron spectroscopy Subject RIV: CF - Physical ; Theoretical Chemistry

  17. Barium coordination polymers based on fluorinated and fluorine-free benzene-dicarboxylates: Mechanochemical synthesis and spectroscopic characterization

    Science.gov (United States)

    Al-Terkawi, Abdal-Azim; Scholz, Gudrun; Emmerling, Franziska; Kemnitz, Erhard

    2018-05-01

    A series of new Ba-based coordination polymers (CPs) were mechanochemically synthesized by milling Ba-hydroxide samples with perfluorinated and fluorine-free benzene-dicarboxylic acids, including tetrafluoroisophthalic acid (H2mBDC-F4), tetrafluorophthalic acid (H2oBDC-F4), isophthalic acid (H2mBDC) and phthalic acid (H2oBDC). The new fluorinated CPs: [Ba(mBDC-F4)·0.5H2O] (1) and [Ba(oBDC-F4)·1.5H2O] (2) are compared to their nonfluorinated counterparts: [Ba(mBDC)·2.5H2O] (3), and [Ba(oBDC)·1H2O] (4). These materials are thoroughly characterized using powder X-ray diffraction. The products obtained by milling are all hydrated but vary in their water contents. Compositions and local structures are investigated by elemental analysis, thermal analysis, MAS NMR and attenuated total reflection-infrared spectroscopy. These materials exhibit high thermal stabilities but small surface areas that remain unchanged even after thermal treatments.

  18. Fluorination of Boron-Doped Diamond Film Electrodes for Minimization of Perchlorate Formation.

    Science.gov (United States)

    Gayen, Pralay; Chaplin, Brian P

    2017-08-23

    This research investigated the effects of surface fluorination on both rates of organic compound oxidation (phenol and terephthalic acid (TA)) and ClO 4 - formation at boron-doped diamond (BDD) film anodes at 22 °C. Different fluorination methods (i.e., electrochemical oxidation with perfluorooctanoic acid (PFOA), radio frequency plasma, and silanization) were used to incorporate fluorinated moieties on the BDD surface, which was confirmed by X-ray photoelectron spectroscopy (XPS). The silanization method was found to be the most effective fluorination method using a 1H,1H,2H,2H-perfluorodecyltrichlorosilane precursor to form a self-assembled monolayer (SAM) on the oxygenated BDD surface. The ClO 4 - formation decreased from rates of 0.45 ± 0.03 mmol m -2 min -1 during 1 mM NaClO 3 oxidation and 0.28 ± 0.01 mmol m -2 min -1 during 10 mM NaCl oxidation on the BDD electrode to below detectable levels (layer on the BDD surface that inhibited charge transfer via steric hindrance and hydrophobic effects. The surface coverages and thicknesses of the fluorinated films controlled the charge transfer rates, which was confirmed by estimates of film thicknesses using XPS and density functional theory simulations. The aliphatic silanized electrode also showed very high stability during OH • production. Perchlorate formation rates were below the detection limit (<0.12 μmoles m -2 min -1 ) for up to 10 consecutive NaClO 3 oxidation experiments.

  19. Fluorine and chlorine determination in mixed uranium-plutonium oxide fuel and plutonium dioxide

    International Nuclear Information System (INIS)

    Elinson, S.V.; Zemlyanukhina, N.A.; Pavlova, I.V.; Filatkina, V.P.; Tsvetkova, V.T.

    1981-01-01

    A technique of fluorine and chlorine determination in the mixed uranium-plutonium oxide fuel and plutonium dioxide, based on their simultaneous separation by means of pyrohydrolysis, is developed. Subsequently, fluorine is determined by photometry with alizarincomplexonate of lanthanum or according to the weakening of zirconium colouring with zylenol orange. Chlorine is determined using the photonephelometric method according to the reaction of chloride-ion interaction with silver nitrate or by spectrophotometric method according to the reaction with mercury rhodanide. The lower limit of fluorine determination is -6x10 -5 %, of chlorine- 1x10 -4 % in the sample of 1g. The relative mean quadratic deviation of the determination result (Ssub(r)), depends on the character of the material analyzed and at the content of nx10 -4 - nx10 -3 mass % is equal to from 0.05 to 0.32 for fluorine and from 0.11 to 0.35 for chlorine [ru

  20. Degree of functionalization and stability of fluorine groups fixed to carbon nanotubes and graphite nanoplates by CF{sub 4} microwave plasma

    Energy Technology Data Exchange (ETDEWEB)

    Abdelkader-Fernández, V.K.; Morales-Lara, F. [Departamento de Química Inorgánica, Facultad de Ciencias, Universidad de Granada, 18071 Granada (Spain); Melguizo, M.; García-Gallarín, C.; López-Garzón, R.; Godino-Salido, M.L. [Departamento de Química Inorgánica y Orgánica, Facultad de Ciencias Experimentales, Universidad de Jaén, 23071 Jaén (Spain); López-Garzón, F.J., E-mail: flopez@ugr.es [Departamento de Química Inorgánica, Facultad de Ciencias, Universidad de Granada, 18071 Granada (Spain); Domingo-García, M.; Pérez-Mendoza, M.J. [Departamento de Química Inorgánica, Facultad de Ciencias, Universidad de Granada, 18071 Granada (Spain)

    2015-12-01

    Highlights: • The surface area of GNPs and MWCNTs determines the degree of fluorination by plasma. • Fluorine is bound to carbon atoms in up to eight chemical environments. • The stability of the fluorine groups varies in a wide range of temperature. • The electronic properties of MWCNTs are changed as a consequence of fluorination. • The textural characteristics of the materials are not changed after fluorination. - Abstract: The fluorination of graphite nanoplates (GNPs) and multi-wall carbon nanotubes (MWCNTs) by CF{sub 4} cold plasma is reported. The aim is to analyze the influence of the textural characteristics in the degree of fluorination and in the thermal stability of the fluorine groups. We have used thermal programmed desorption which clearly discriminates the nature of the desorbing species and their stability. The degree of fluorination of both materials is similar up to 20 min of treatment and then it decreases in GNPs at longer treatments. Nevertheless, the fluorine content in MWCNTs keeps increasing after 45 min. This different evolution of the fluorination degree with the time is related to the surface areas. The fluorine bonding is produced not only in defects and irregularities but also on the external graphene sheets of both materials, and it results in up to eight different chemical environments having different thermal stabilities from 150 °C up to temperatures higher than 900 °C. The fluorination increases the electronic states near the Fermi level of the nanotubes whereas it does not affect the electronic properties of graphite nanoplates. It is shown that no intercalation compounds are formed and that the textural characteristics of the materials remain unchanged after fluorination.

  1. Passivation of fluorinated activated charcoal

    International Nuclear Information System (INIS)

    Del Cul, G.D.; Trowbridge, L.D.; Simmons, D.W.; Williams, D.F.; Toth, L.M.

    1997-10-01

    The Molten Salt Reactor Experiment (MSRE), at the Oak Ridge National Laboratory has been shut down since 1969 when the fuel salt was drained from the core into two Hastelloy N tanks at the reactor site. In 1995, a multiyear project was launched to remediate the potentially hazardous conditions generated by the movement of fissile material and reactive gases from the storage tanks into the piping system and an auxiliary charcoal bed (ACB). The top 12 in. of the ACB is known by gamma scan and thermal analysis to contain about 2.6 kg U-233. According to the laboratory tests, a few feet of fluorinated charcoal are believed to extend beyond the uranium front. The remainder of the ACB should consist of unreacted charcoal. Fluorinated charcoal, when subjected to rapid heating, can decompose generating gaseous products. Under confined conditions, the sudden exothermic decomposition can produce high temperatures and pressures of near-explosive characteristics. Since it will be necessary to drill and tap the ACB to allow installation of piping and instrumentation for remediation and recovery activities, it is necessary to chemically convert the reactive fluorinated charcoal into a more stable material. Ammonia can be administered to the ACB as a volatile denaturing agent that results in the conversion of the C x F to carbon and ammonium fluoride, NH 4 F. The charcoal laden with NH 4 F can then be heated without risking any sudden decomposition. The only consequence of heating the treated material will be the volatilization of NH 4 F as a mixture of NH 3 and HF, which would primarily recombine as NH 4 F on surfaces below 200 C. The planned scheme for the ACB denaturing is to flow diluted ammonia gas in steps of increasing NH 3 concentration, 2% to 50%, followed by the injection of pure ammonia. This report summarizes the planned passivation treatment scheme to stabilize the ACB and remove the potential hazards. It also includes basic information, results of laboratory tests

  2. Highly Stereoselective Gold-Catalyzed Coupling of Diazo Reagents and Fluorinated Enol Silyl Ethers to Tetrasubstituted Alkenes.

    Science.gov (United States)

    Liao, Fu-Min; Cao, Zhong-Yan; Yu, Jin-Sheng; Zhou, Jian

    2017-02-20

    We report a highly stereoselective synthesis of all-carbon or fluorinated tetrasubstituted alkenes from diazo reagents and fluorinated enol silyl ethers, using C-F bond as a synthetic handle. Cationic Au I catalysis plays a key role in this reaction. Remarkable fluorine effects on the reactivity and selectivity was also observed. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Method of quantitative analysis of fluorine in environmental samples using a pure-Ge detector

    International Nuclear Information System (INIS)

    Sera, K.; Terasaki, K.; Saitoh, Y.; Itoh, J.; Futatsugawa, S.; Murao, S.; Sakurai, S.

    2004-01-01

    We recently developed and reported a three-detector measuring system making use of a pure-Ge detector combined with two Si(Li) detectors. The efficiency curve of the pure-Ge detector was determined as relative efficiencies to those of the existing Si(Li) detectors and accuracy of it was confirmed by analyzing a few samples whose elemental concentrations were known. It was found that detection of fluorine becomes possible by analyzing prompt γ-rays and the detection limit was found to be less than 0.1 ppm for water samples. In this work, a method of quantitative analysis of fluorine has been established in order to investigate environmental contamination by fluorine. This method is based on the fact that both characteristic x-rays from many elements and 110 keV prompt γ-rays from fluorine can be detected in the same spectrum. The present method is applied to analyses of a few environmental samples such as tealeaves, feed for domestic animals and human bone. The results are consistent with those obtained by other methods and it is found that the present method is quite useful and convenient for investigation studies on regional pollution by fluorine. (author)

  4. Effect of fluorination on the structure and superconducting properties of the Hg-1201 phase

    International Nuclear Information System (INIS)

    Abakumov, A.M.; Aleshin, V.A.; Antipov, E.V.; Mikhajlova, D.A.; Putilin, S.N.; Rozova, M.G.; Aksenov, V.L.; Balagurov, A.M.

    1997-01-01

    A fluorination of the reduced Hg-1201 phase with T c =61 K carried out with XeF 2 resulted first in an increase in T c up to 97 K and then in a decrease and even a suppression of superconductivity due to overdoping. Neutron power refinement performed on fluorinated HgBa 2 CuO 4 F δ samples showed twice the amount of extra fluorine (δ≅0.24 and 0.32) in comparison with those for the oxygenated Hg-1201 phases with close T c (δ=0.12 and 0.19). This supports the ionic model of the hole doping in the Hg-1201: 2 holes per extra oxygen and 1 hole per extra fluorine. The exchange of extra oxygen for a double amount of fluorine extends the shortening of the apical Cu-O bond distances, while the in-plane distances, as well as T c , do not vary. These results show that the structural nature of T c variation in Hg-1201 under high pressure can be mainly due to the compression of the in-plane Cu-O bond distances

  5. Employment of fluorine doped zinc tin oxide (ZnSnOx:F) coating layer on stainless steel 316 for a bipolar plate for PEMFC

    International Nuclear Information System (INIS)

    Park, Ji Hun; Byun, Dongjin; Lee, Joong Kee

    2011-01-01

    Highlights: → Preparation of fluorine doped tin oxide (SnOx:F) and fluorine doped zinc tin oxide (ZnSnOx:F) coating layer on the surface of stainless steel 316 bipolar plate for PEMFCs (Proton Exchange Membrane Fuel Cells). → Evaluations of the corrosion resistance and the interfacial contact resistance of the bare, SnOx:F and ZnSnOx:F thin film coated stainless steel 316 bipolar plates. → Evaluation of single cell performance such as cell voltage and power density using bare stainless steel, SnOx:F and ZnSnOx:F film coated bipolar plates. - Abstract: The investigation of the electrochemical characteristics of the fluorine doped tin oxide (SnOx:F) and fluorine doped zinc tin oxide (ZnSnOx:F) was carried out in the simulated PEMFC environment and bare stainless steel 316 was used as a reference. The results showed that the ZnSnOx:F coating enhanced both the corrosion resistance and interfacial contact resistance (ICR). The corrosion current for ZnSnOx:F was 1.2 μA cm -2 which was much lower than that of bare stainless steel of 50.16 μA cm -2 . The ZnSnOx:F coated film had the smallest corrosion current due to the formation of a tight surface morphology with very few pin-holes. The ZnSnOx:F coated film exhibited the highest values of the cell voltage and power density due to its having the lowest ICR values.

  6. Offset-gated poly-Si TFTs using in-situ fluorine passivation and excimer laser doping

    International Nuclear Information System (INIS)

    Jung, Sang Hoon; Kim, Cheon Hong; Yoo, Juhn Suk; Han, Min Koo

    2000-01-01

    A new low-temperature poly-Si thin film transistor (TFT) fabrication method employing in-situ fluorine passivation and excimer-laser doping is proposed to fabricate offset-gated poly-Si TFTs. In the new process, the crystallization, the in-situ fluorine passivation of the active layer, and the doping of the source/drain region are performed simultaneously with only one step of excimer laser annealing while the conventional fabrication method requires two laser annealing steps. Employing phosphosilicate glass (PSG) films as a diffusion source, we successfully accomplished excimer laser doping. The subthreshold and the on-state characteristics of the device with in-situ fluorine passivation were considerably improved. This improvement was due to the fluorine passivation effects, which cured dangling bonds and strained bonds in the poly-Si channel, the offset region, and the SiO 2 /poly-Si interface

  7. Offset-gated poly-Si TFTs using in-situ fluorine passivation and excimer laser doping

    CERN Document Server

    Jung, S H; Yoo, J S; Han, M K

    2000-01-01

    A new low-temperature poly-Si thin film transistor (TFT) fabrication method employing in-situ fluorine passivation and excimer-laser doping is proposed to fabricate offset-gated poly-Si TFTs. In the new process, the crystallization, the in-situ fluorine passivation of the active layer, and the doping of the source/drain region are performed simultaneously with only one step of excimer laser annealing while the conventional fabrication method requires two laser annealing steps. Employing phosphosilicate glass (PSG) films as a diffusion source, we successfully accomplished excimer laser doping. The subthreshold and the on-state characteristics of the device with in-situ fluorine passivation were considerably improved. This improvement was due to the fluorine passivation effects, which cured dangling bonds and strained bonds in the poly-Si channel, the offset region, and the SiO sub 2 /poly-Si interface.

  8. Measurement of fluorine total concentration in dental enamel using fast neutron activation

    International Nuclear Information System (INIS)

    Mouadili, A.; Vernais, J.; Isabelle, D.B.

    1988-01-01

    Fluorine which is present in dental enamel, at the level of a few tens to a few hundred ppm, plays an important role in the behaviour of this tissue. Therefore quantitative determination is of interest for particular studies of the dental system. We present a nuclear nondestructive method to determine the total fluorine content in dental enamel by cyclotron-produced fast-neutron activation. The 19 F(n,2n) reaction leads to 18 F which is a β + emitter with a 109.8 min half-life. The irradiated sample activity is measured by detecting in coincidence the annihilation photons. A fluorine standard is used for calibration. The detection limit is of the order of 1 ppm, while the reproducibility is better than 95% [pt

  9. Modes of Occurrence of Fluorine by Extraction and SEM Method in a Coal-Fired Power Plant from Inner Mongolia, China

    Directory of Open Access Journals (Sweden)

    Guangmeng Wang

    2015-12-01

    Full Text Available In this study, an extraction method and environmental scanning electron microscopy (SEM are employed to reveal the changes in the occurrence mode of fluorine in a coal-fired power plant in Inner Mongolia, China. The different occurrence states of fluorine during coal combustion and emission show that fluorine in coal mainly assumes insoluble inorganic mineral forms. The results illustrate that the three typical occurrence modes in coal are CaF2, MgF2 and AlF3. The fluorine in fly ash can be captured by an electrostatic precipitator (EPS or a bag filter. In contrast, the gaseous fluorine content in flue gas is only in the range of several parts per million; thus, it cannot be used in this study. The occurrence mode of fluorine in bottom ash and slag is inorganic villiaumite (e.g., soluble NaF, KF and insoluble CaF2 which is difficult to break down even at high temperatures. The occurrence mode of fluorine with the highest content in fly ash is physically adsorbed fluorine along the direction of the flue gas flow. The insoluble inorganic mineral fluoride content in fly ash is also high, but the gradually increasing fluorine content in fly ash is mainly caused by physical adsorption. Fluorine in the coal-fired power plant discharges mostly as solid products; however, very little fluorine emitted into the environment as gas products (HF, SiF4 cannot be captured. The parameters used in this study may provide useful references in developing a monitoring and control system for fluorine in coal-fired power plants.

  10. The synthesis of fluorine-18 lomefloxacin and its preliminary use in human studies

    International Nuclear Information System (INIS)

    Tewson, T.J.; Yang, D.; Wong, G.; Macy, D.; Jesus, O.J. de; Nickles, R.J.; Perlman, S.B.; Taylor, M.; Frank, P.

    1996-01-01

    Lomefloxacin is a new fluorine-containing antibiotic that has recently been approved for general use. Fluorine-18 lomefloxacin has been prepared by fluoride exchange between fluorine-18 fluoride and lomefloxacin in DMSO. Both time and temperature of the reaction have been optimized and conditions developed for the isolation and purification of the labeled product in a form suitable for oral administration. The exchange reaction provides sufficient labeled material for human studies with pharmacologically relevant quantities of the drug. We have performed preliminary human studies with this compound using positron emission tomography to estimate the tissue distribution of the compound and show the distribution of the compound into the liver and lungs

  11. Target fragmentation in proton-nucleus and 16O-nucleus reactions at 60 and 200 GeV/nucleon

    International Nuclear Information System (INIS)

    Schmidt, H.R.; Albrecht, R.; Claesson, G.; Bock, R.; Gutbrod, H.H.; Kolb, B.W.; Lund, I.; Siemiarczuk, T.; Awes, T.C.; Baktash, C.; Ferguson, R.L.; Lee, I.Y.; Obenshain, F.E.; Plasil, F.; Sorensen, S.P.; Young, G.R.; Beckmann, P.; Berger, F.; Dragon, L.; Glasow, R.; Kampert, K.H.; Loehner, H.; Peitzmann, T.; Purschke, M.; Santo, R.; Franz, A.; Kristiansson, P.; Poskanzer, A.M.; Ritter, H.G.; Garpman, S.; Gustafsson, H.A.; Oskarsson, A.; Otterlund, I.; Persson, S.; Stenlund, E.

    1988-01-01

    Target remnants with Z 16 O-nucleus reactions at 60 and 200 GeV/nucleon were measured in the angular range from 30 0 to 160 0 (-1.7 16 O-induced reactions (≅ 300 MeV/c) than in proton-induced reactions (≅ 130 MeV/c). The baryon rapidity distributions are roughly in agreement with one-fluid hydrodynamical calculations at 60 GeV/nucleon 16 O+Au but are in disagreement at 200 GeV/nucleon, indicating the higher degree of transparency at the higher bombarding energy. Both, the transverse momenta of target spectators and the entropy produced in the target fragmentation region are compared to those attained in head-on collisions of two heavy nuclei at Bevalac energies. They are found to be comparable or do even exceed the values for the participant matter at beam energies of about 1-2 GeV/nucleon. (orig.)

  12. Target fragmentation in proton-nucleus and 16O-nucleus reactions at 60 and 200 GeV/nucleon

    International Nuclear Information System (INIS)

    Schmidt, H.R.; Albrecht, R.; Claesson, G.; Bock, R.; Gutbrod, H.H.; Kolb, B.W.; Lund, I.; Siemiarczuk, T.; Awes, T.C.; Baktash, C.; Ferguson, R.L.; Lee, I.Y.; Obenshain, F.E.; Plasil, F.; Sorensen, S.P.; Young, G.R.; Beckmann, P.; Berger, F.; Dragon, L.; Glasow, R.; Kampert, K.H.; Loehner, H.; Peitzmann, T.; Purschke, M.; Santo, R.; Franz, A.; Kristiansson, P.; Poskanzer, A.M.; Ritter, H.G.; Garpman, S.; Gustafsson, H.A.; Oskarsson, A.; Otterlund, I.; Persson, S.; Stenlund, E.

    1988-01-01

    Target remnants with Z 16 O-nucleus reactions at 60 and 200 GeV/nucleon were measured in the angular range from 30 0 to 160 0 (-1.7 16 O-induced reactions (= 300 MeV/c) than in proton-induced reactions (= 130 MeV/c). The baryon rapidity distributions are roughly in agreement with one-fluid hydrodynamical calculations at 60 GeV/nucleon 16 O+Au but are in disagreement at 200 GeV/nucleon, indicating the higher degree of transparency at the higher bombarding energy. Both, the transverse momenta of target spectators and the entropy produced in the target fragmentation region are compared to those attained in head-on collisions of two heavy nuclei at Bevalac energies. They are found to be comparable or do even exceed the values for the participant matter at beam energies of about 1-2 GeV/nucleon. (orig.)

  13. Chemoselective, Substrate-directed Fluorination of Functionalized Cyclopentane β-Amino Acids.

    Science.gov (United States)

    Kiss, Loránd; Nonn, Melinda; Sillanpää, Reijo; Haukka, Matti; Fustero, Santos; Fülöp, Ferenc

    2016-12-06

    This work describes a substrate-directed fluorination of some highly functionalized cyclopentane derivatives. The cyclic products incorporating CH 2 F or CHF 2 moieties in their structure have been synthesized from diexo- or diendo-norbornene β-amino acids following a stereocontrolled strategy. The synthetic study was based on an oxidative transformation of the ring carbon-carbon double bond of the norbornene β-amino acids, followed by transformation of the resulted "all cis" and "trans" diformyl intermediates by fluorination with "chemodifferentiation". © 2016 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. The effect of fluorine and homeopathic medicines in rats fed cariogenic diet.

    Science.gov (United States)

    Almeida, N T; Dalmeida, V; Pustiglione, M

    2004-07-01

    Although some sectors of dentistry have benefited from technological advances, dental caries is still a major problem. Prevention and treatment of dental caries by fluorine is considered a major advance in public health. Nevertheless fluorosis, caused by ingestion of excessive amounts of fluorine during the period of teeth formation, is of great concern. In accordance with the homeopathic doctrine, minimum doses of fluorine and other substances could prevent and/or treat caries. In this experiment, we compared the preventive action of fluorine and evaluated the effect of homeopathic medicines on the teeth of rats fed a cariogenic diet. None of the groups included in this study developed caries. However, microscopy revealed the presence of precipitate and/or deposit in the groups treated with homeopathic medicines. This phenomenon might be due to deposit in the dental surface or precipitation of bacterial plaque or calcium salts. It was not possible to identify the composition of the deposit/precipitate due for technical reasons. In one of the groups treated with homeopathic medicines fur loss was observed in 40% of animals. These reactions might be caused due to the action of the homeopathic medicines.

  15. Fluorine dynamics in BaF{sub 2} superionic conductors investigated by NMR

    Energy Technology Data Exchange (ETDEWEB)

    Gumann, Patryk

    2008-07-01

    In this work the dynamics of fluorine in solid-state electrolytes having BaF{sub 2}-structure was investigated using three different NMR-methods: field cycling relaxometry, lineshape analysis, and static field gradient NMR. For this purpose a pure BaF{sub 2} crystal, as well as crystals doped with trivalent impurities (LaF{sub 3}), were studied as a function of temperature. Using MAS NMR it was possible to identify two lines in Ba{sub 0.9}La{sub 0.1}F{sub 2.1} having different chemical shift, and to refer them to the modified crystal structure. On this basis a model for the fluorine lineshape has been developed, taking into account three motional processes characterized by their correlation times. It includes jump diffusion of the fluorine ions among equivalent sites within two crystallographically distinct sublattices, and inter-lattice exchange processes. By measuring frequency and temperature-dependent spin lattice relaxation times, it was possible to gain information about fluorine dynamics on microscopic length scales. An attempt was also made to analyze the data for pure BaF{sub 2} and low admixture concentration samples with a non-exponential correlation function. (orig.)

  16. The potential of fluorinated surfactants in membrane biochemistry.

    Science.gov (United States)

    Shepherd, F H; Holzenburg, A

    1995-01-01

    Detergents are important reagents in membrane biochemistry. Since each membrane system studied places different demands on the detergent in terms of desirous physicochemical properties, detergents new to biochemistry must continuously be sought. Ammonium perfluorooctanoate (APFO) was investigated, as representative of fluorinated surfactants, in terms of its suitability as a "biological detergent." It did not interfere with the Markwell modification of the Lowry procedure at detergent concentrations of up to 2% (w/v). Critical micellization concentration (cmc) values (0.013-0.0275 M) for this detergent were determined in a number of buffers of biological interest. It was demonstrated that the detergent can be removed by dialysis, albeit slowly. This slow removal may be particularly useful for reconstitution/crystallization studies. Solubilization studies on several membrane systems containing the proteins listed (the major protein of the membrane sector of the vacuolar H(+)-ATPase (16 kDa protein); photosystem II; equine herpes virus (EHV) envelope proteins) indicate that it is a potent solubilizing agent, likely to enhance the yield in cases where solubilization has already been demonstrated, and, in other cases, to solubilize proteins formerly recalcitrant to solubilization. The removal of APFO from solubilized 16-kDa protein by means of Extracti-Gel D resin as a means of exchanging detergents quickly and with a minimum requirement for second detergent was investigated.

  17. Enhanced nanoscale friction on fluorinated graphene.

    Science.gov (United States)

    Kwon, Sangku; Ko, Jae-Hyeon; Jeon, Ki-Joon; Kim, Yong-Hyun; Park, Jeong Young

    2012-12-12

    Atomically thin graphene is an ideal model system for studying nanoscale friction due to its intrinsic two-dimensional (2D) anisotropy. Furthermore, modulating its tribological properties could be an important milestone for graphene-based micro- and nanomechanical devices. Here, we report unexpectedly enhanced nanoscale friction on chemically modified graphene and a relevant theoretical analysis associated with flexural phonons. Ultrahigh vacuum friction force microscopy measurements show that nanoscale friction on the graphene surface increases by a factor of 6 after fluorination of the surface, while the adhesion force is slightly reduced. Density functional theory calculations show that the out-of-plane bending stiffness of graphene increases up to 4-fold after fluorination. Thus, the less compliant F-graphene exhibits more friction. This indicates that the mechanics of tip-to-graphene nanoscale friction would be characteristically different from that of conventional solid-on-solid contact and would be dominated by the out-of-plane bending stiffness of the chemically modified graphene. We propose that damping via flexural phonons could be a main source for frictional energy dissipation in 2D systems such as graphene.

  18. Some considerations in the handling of fluorine and the chlorine fluorides

    International Nuclear Information System (INIS)

    Farrar, R.L. Jr.; Barber, E.J.

    1979-01-01

    This paper reviews many considerations in the safe handling of fluorine and the chlorine fluorides. The physical, chemical, and toxicological properties of the materials are reviewed. Proper choice of materials of construction and materials in contact with the active gases are considered. The survey includes safe practices in design and operation of experiments and processes. Soda lime traps and caustic scrubbing are reviewed for fluorine disposal methods. Finally some explosive reactions and explosive situations are discussed

  19. Synthesis and characterization of UV-absorbing fluorine-silicone acrylic resin polymer

    Science.gov (United States)

    Lei, Huibin; He, Deliang; Guo, Yanni; Tang, Yining; Huang, Houqiang

    2018-06-01

    A series of UV-absorbing fluorine-silicone acrylic resin polymers containing different amount of UV-absorbent were successfully prepared by solution polymerization, with 2-[3-(2H-Benzotriazol-2-yl)-4-hydroxyphenyl] ethyl methacrylate (BHEM), vinyltrimethoxysilane (VTMS) and hexafluorobutyl methacrylate (HFMA) as modifying monomers. The acrylic polymers and the coatings thereof were characterized by Fourier transform infrared spectrum (FT-IR), X-ray photoelectron spectroscopy (XPS), Ultraviolet-visible (UV-vis) absorption spectrum, thermogravimetric analysis (TGA), water contact angle (CA) and Xenon lamp artificial accelerated aging tests. Results indicated that the resin exhibited high UV absorption performance as well as good thermal stability. The hydrophobicity of the coatings was of great improvement because of the bonded fluorine and silicone. Meanwhile, the weather-resistance was promoted through preferably colligating the protective effects of BHEM, organic fluorine and silicone. Also, a fitting formula about the weatherability with the BMHE content was tentatively proposed.

  20. Laboratory-scale catalysis studies of uranium and plutonium fluorination reactions by solid metal-fluorides

    International Nuclear Information System (INIS)

    Hochel, R.C.

    1984-03-01

    Various catalysts were evaluated for their effect on the rate of fluorination of the tetrafluorides of uranium and plutonium to produce the hexafluorides. Results of this work show that CoF 3 and AgF 2 are more effective than NiF 2 for UF 4 fluorination, producing rate increases in the range of 150 to 300 compared to UF 4 and fluorine alone. The use of these three catalysts was also found effective in the fluorinations of PuO 2 /PuF 4 and pure PuF 4 . However, enhancements were less. NiF 2 produced the best increases which were 8.1 for PuO 2 /PuF 4 and 3.6 for PuF 4 . Experiments were conducted in a simple flow-loop. Even larger enhancements might be obtained with fluidized beds. Details of the apparatus, experiments, methods, and a discussion of results are presented

  1. Impact of Backbone Fluorination on π-Conjugated Polymers in Organic Photovoltaic Devices: A Review

    Directory of Open Access Journals (Sweden)

    Nicolas Leclerc

    2016-01-01

    Full Text Available Solution-processed bulk heterojunction solar cells have experienced a remarkable acceleration in performances in the last two decades, reaching power conversion efficiencies above 10%. This impressive progress is the outcome of a simultaneous development of more advanced device architectures and of optimized semiconducting polymers. Several chemical approaches have been developed to fine-tune the optoelectronics and structural polymer parameters required to reach high efficiencies. Fluorination of the conjugated polymer backbone has appeared recently to be an especially promising approach for the development of efficient semiconducting polymers. As a matter of fact, most currently best-performing semiconducting polymers are using fluorine atoms in their conjugated backbone. In this review, we attempt to give an up-to-date overview of the latest results achieved on fluorinated polymers for solar cells and to highlight general polymer properties’ evolution trends related to the fluorination of their conjugated backbone.

  2. Evaluation of Fluorine-Trapping Agents for Use During Storage of the MSRE Fuel Salt

    Energy Technology Data Exchange (ETDEWEB)

    Brynestad, J.; Williams, D.F.

    1999-05-01

    A fundamental characteristic of the room temperature Molten Salt Reactor Experiment (MSRE) fuel is that the radiation from the retained fission products and actinides interacts with this fluoride salt to produce fluorine gas. The purpose of this investigation was to identify fluorine-trapping materials for the MSRE fuel salt that can meet both the requirement of interim storage in a sealed (gastight) container and the vented condition required for disposal at the Waste Isolation Pilot Plant (WIPP). Sealed containers will be needed for interim storage because of the large radon source that remains even in fuel salt stripped of its uranium content. An experimental program was undertaken to identify the most promising candidates for efficient trapping of the radiolytic fluorine generated by the MSRE fuel salt. Because of the desire to avoid pressurizing the closed storage containers, an agent that traps fluorine without the generation of gaseous products was sought.

  3. Evaluation of Fluorine-Trapping Agents for Use During Storage of the MSRE Fuel Salt

    International Nuclear Information System (INIS)

    Brynestad, J.; Williams, D.F.

    1999-01-01

    A fundamental characteristic of the room temperature Molten Salt Reactor Experiment (MSRE) fuel is that the radiation from the retained fission products and actinides interacts with this fluoride salt to produce fluorine gas. The purpose of this investigation was to identify fluorine-trapping materials for the MSRE fuel salt that can meet both the requirement of interim storage in a sealed (gastight) container and the vented condition required for disposal at the Waste Isolation Pilot Plant (WIPP). Sealed containers will be needed for interim storage because of the large radon source that remains even in fuel salt stripped of its uranium content. An experimental program was undertaken to identify the most promising candidates for efficient trapping of the radiolytic fluorine generated by the MSRE fuel salt. Because of the desire to avoid pressurizing the closed storage containers, an agent that traps fluorine without the generation of gaseous products was sought

  4. Rhombohedral iron trifluoride with a hierarchized macroporous/mesoporous texture from gaseous fluorination of iron disilicide

    Energy Technology Data Exchange (ETDEWEB)

    Guérin, Katia, E-mail: katia.araujo_da_silva@univ-bpclermont.fr [Université Clermont Auvergne, Université Blaise Pascal, Institut de Chimie de Clermont-Ferrand, BP 10448, F-63000 Clermont-Ferrand (France); CNRS, UMR 6296, ICCF, F-63171 Aubière (France); Delbègue, Diane; Louvain, Nicolas; Doubtsof, Léa; Hamwi, André [Université Clermont Auvergne, Université Blaise Pascal, Institut de Chimie de Clermont-Ferrand, BP 10448, F-63000 Clermont-Ferrand (France); CNRS, UMR 6296, ICCF, F-63171 Aubière (France); Laik, Barbara; Pereira-Ramos, Jean-Pierre [Université Paris Est Créteil, Institut de Chimie et des Matériaux Paris-Est, UMR CNRS 7182, Thiais (France); Tahar-sougrati, Moulay; Jumas, Jean-Claude [Université Montpellier II, Institut Charles Gerhardt de Montpellier, UMR CNRS 5253, Montpellier (France); Willmann, Patrick; Cénac-Morthe, Céline [Centre National d' Etudes Spatiales, Toulouse (France)

    2016-04-15

    Stable low temperature rhombohedral iron trifluoride has been obtained by the fluorination under the pure fluorine gas of iron disilicide. The combination of both unusual fluorination process and precursor avoids to get unhydrated crystalline FeF{sub 3} particles and allows the formation of hierarchized channels of mesoporous/macroporous texture favorable for lithium diffusion. The fluorination mechanism proceeds by temperature steps from the formation, for a fluorination temperature below 200 °C, of an amorphous phase and an intermediate iron difluoride identified mainly by {sup 57}Fe Mössbauer spectroscopy before getting, as soon as a fluorination temperature of 260 °C is reached, the rhombohedral FeF{sub 3}. Both amorphous and crystallized samples display good ability for electrochemical process when used as cathode in lithium-ion battery. The low diameter of rhombohedral structure channels is balanced by an appropriate mesoporous texture and a capacity of 225 mAh.g{sup −1} after 5 cycles for a discharge cut-off of 2.5 V vs. Li{sup +}/Li at a current density of C/20 has been obtained and stabilized at 95 mAh.g{sup −1} after 116 cycles. - Highlights: • We investigated the synthesis of rhombohedral FeF{sub 3} by solid–gas reaction from iron disilicide. • We demonstrated that depending on the fluorination temperature various phases are stabilized. • We got a hierarchized macroporous/mesoporous texture. • We studied the electrochemical performances of amorphous and crystallized FeF{sub 3}. • Crystallized FeF{sub 3} presents a high faradic yield at first cycle focusing on insertion process.

  5. Aromatic fluorine compounds. VI. Displacement of aryl fluorine in diazonium salts

    Science.gov (United States)

    Finger, G.C.; Oesterling, R.E.

    1956-01-01

    Several chlorofluorobenzenes have been isolated from the Schiemann synthesis of fluorobenzenes. These have been shown to be the products of two side reactions occurring during thermal decomposition of the dry benzenediazonium fluoborate salt containing coprecipitated sodium chloride, an unavoidable contaminant in large preparations involving the use of hydrochloric acid and sodium fluoborate. The major side reaction and its chloro product were unexpected; a unique displacement of fluorine ortho to the diazonium group was observed. Replacement of the diazo group with chlorine was the predicted side reaction which proved to be minor. Conditions causing the side reactions and the isolation and identification of the products are described.

  6. Electronic stopping powers for fluorine ions in 19F+-implanted silver gallium diselenide

    International Nuclear Information System (INIS)

    Liu Xiangdong; Xia Yueyuan; Li Feng; Lu Qingming; Huang Boda

    2004-01-01

    Electronic stopping powers for 80-350 keV 19 F ions in AgGaSe 2 were obtained by range measurement. Depth profiles of 19 F in AgGaSe 2 were measured by using the 19 F(p,αγ) 16 O resonant nuclear reaction at E R =872.1 keV. A proper convolution calculation method was used to extract the true distribution of fluorine from the experimental excitation yield curves. The electronic stopping powers were derived through fitting the projected range distributions, simulated by using the TRIM/XLL code, to the experimentally measured range distributions. The electronic stopping cross-sections were compared with those obtained from Monte Carlo simulation codes

  7. Low-fluorine Stockwork Molybdenite Deposits

    Science.gov (United States)

    Ludington, Steve; Hammarstrom, Jane; Piatak, Nadine M.

    2009-01-01

    Low-fluorine stockwork molybdenite deposits are closely related to porphyry copper deposits, being similar in their tectonic setting (continental volcanic arc) and the petrology (calc-alkaline) of associated igneous rock types. They are mainly restricted to the Cordillera of western Canada and the northwest United States, and their distribution elsewhere in the world may be limited. The deposits consist of stockwork bodies of molybdenite-bearing quartz veinlets that are present in and around the upper parts of intermediate to felsic intrusions. The deposits are relatively low grade (0.05 to 0.2 percent Mo), but relatively large, commonly >50 million tons. The source plutons for these deposits range from granodiorite to granite in composition; the deposits primarily form in continental margin subduction-related magmatic arcs, often concurrent with formation of nearby porphyry copper deposits. Oxidation of pyrite in unmined deposits or in tailings and waste rock during weathering can lead to development of acid-rock drainage and limonite-rich gossans. Waters associated with low-fluorine stockwork molybdenite deposits tend to be nearly neutral in pH; variable in concentrations of molybdenum (10,000 ug/L); below regulatory guidelines for copper, iron, lead, zinc, and mercury; and locally may exceed guidelines for arsenic, cadmium, and selenium.

  8. Total fluorine, extractable organic fluorine, perfluorooctane sulfonate and other related fluorochemicals in liver of Indo-Pacific humpback dolphins (Sousa chinensis) and finless porpoises (Neophocaena phocaenoides) from South China

    Energy Technology Data Exchange (ETDEWEB)

    Yeung, L.W.Y. [Centre for Coastal Pollution and Conservation, Department of Biology and Chemistry, City University of Hong Kong, Kowloon Tong, Hong Kong (China); National Institute of Advanced Industrial Science and Technology (AIST), Onogawa 16-1, Tsukuba, Ibaraki 305-8569 (Japan); Miyake, Y. [National Institute of Advanced Industrial Science and Technology (AIST), Onogawa 16-1, Tsukuba, Ibaraki 305-8569 (Japan); Wang, Y. [Centre for Coastal Pollution and Conservation, Department of Biology and Chemistry, City University of Hong Kong, Kowloon Tong, Hong Kong (China); National Institute of Advanced Industrial Science and Technology (AIST), Onogawa 16-1, Tsukuba, Ibaraki 305-8569 (Japan); Taniyasu, S. [National Institute of Advanced Industrial Science and Technology (AIST), Onogawa 16-1, Tsukuba, Ibaraki 305-8569 (Japan); Yamashita, N. [National Institute of Advanced Industrial Science and Technology (AIST), Onogawa 16-1, Tsukuba, Ibaraki 305-8569 (Japan)], E-mail: nob.yamashita@aist.go.jp; Lam, P.K.S. [Centre for Coastal Pollution and Conservation, Department of Biology and Chemistry, City University of Hong Kong, Kowloon Tong, Hong Kong (China)], E-mail: bhpksl@cityu.edu.hk

    2009-01-15

    The concentrations of 10 PFCs (perfluorinated compounds: PFOS, PFHxS, PFOSA, N-EtFOSA, PFDoDA, PFUnDA, PFDA, PFNA, PFOA, and PFHpA) were measured in liver samples of Indo-Pacific humpback dolphins (Sousa chinensis) (n = 10) and finless porpoises (Neophocaena phocaenoides) (n = 10) stranded in Hong Kong between 2003 and 2007. PFOS was the dominant PFC in the tissues at concentrations ranging at 26-693 ng/g ww in dolphins and 51.3-262 ng/g ww in porpoises. A newly developed combustion ion chromatography for fluorine was applied to measure total fluorine (TF) and extractable organic fluorine (EOF) in these liver samples to understand PFC contamination using the concept of mass balance analysis. Comparisons between the amounts of known PFCs and EOF in the livers showed that a large proportion ({approx}70%) of the organic fluorine in both species is of unknown origin. These investigations are critical for a comprehensive assessment of the risks of these compounds to humans and other receptors. - Comparison between the amounts of known PFCs and EOF in the livers of Indo-Pacific humpback dolphin and finless porpoise in South China showed that a large proportion ({approx}70%) of the organofluorine is of unknown origin.

  9. Total fluorine, extractable organic fluorine, perfluorooctane sulfonate and other related fluorochemicals in liver of Indo-Pacific humpback dolphins (Sousa chinensis) and finless porpoises (Neophocaena phocaenoides) from South China

    International Nuclear Information System (INIS)

    Yeung, L.W.Y.; Miyake, Y.; Wang, Y.; Taniyasu, S.; Yamashita, N.; Lam, P.K.S.

    2009-01-01

    The concentrations of 10 PFCs (perfluorinated compounds: PFOS, PFHxS, PFOSA, N-EtFOSA, PFDoDA, PFUnDA, PFDA, PFNA, PFOA, and PFHpA) were measured in liver samples of Indo-Pacific humpback dolphins (Sousa chinensis) (n = 10) and finless porpoises (Neophocaena phocaenoides) (n = 10) stranded in Hong Kong between 2003 and 2007. PFOS was the dominant PFC in the tissues at concentrations ranging at 26-693 ng/g ww in dolphins and 51.3-262 ng/g ww in porpoises. A newly developed combustion ion chromatography for fluorine was applied to measure total fluorine (TF) and extractable organic fluorine (EOF) in these liver samples to understand PFC contamination using the concept of mass balance analysis. Comparisons between the amounts of known PFCs and EOF in the livers showed that a large proportion (∼70%) of the organic fluorine in both species is of unknown origin. These investigations are critical for a comprehensive assessment of the risks of these compounds to humans and other receptors. - Comparison between the amounts of known PFCs and EOF in the livers of Indo-Pacific humpback dolphin and finless porpoise in South China showed that a large proportion (∼70%) of the organofluorine is of unknown origin

  10. Preparation and characterization of silane-modified SiO2 particles reinforced resin composites with fluorinated acrylate polymer.

    Science.gov (United States)

    Liu, Xue; Wang, Zengyao; Zhao, Chengji; Bu, Wenhuan; Na, Hui

    2018-04-01

    A series of fluorinated dental resin composites were prepared with two kinds of SiO 2 particles. Bis-GMA (bisphenol A-glycerolate dimethacrylate)/4-TF-PQEA (fluorinated acrylate monomer)/TEGDMA (triethylene glycol dimethacrylate) (40/30/30, wt/wt/wt) was introduced as resin matrix. SiO 2 nanopartices (30nm) and SiO 2 microparticles (0.3µm) were silanized with 3-methacryloxypropyl trimethoxysilane (γ-MPS) and used as fillers. After mixing the resin matrix with 0%, 10%, 20%, 30% SiO 2 nanopartices and 0%, 10%, 20%, 30%, 40%, 50% SiO 2 microparticles, respectively, the fluorinated resin composites were obtained. Properties including double bond conversion (DC), polymerization shrinkage (PS), water sorption (W p ), water solubility (W y ), mechanical properties and cytotoxicity were investigated in comparison with those of neat resin system. The results showed that, filler particles could improve the overall performance of resin composites, particularly in improving mechanical properties and reducing PS of composites along with the addition of filler loading. Compared to resin composites containing SiO 2 microparticles, SiO 2 nanoparticles resin composites had higher DC, higher mechanical properties, lower PS and lower W p under the same filler content. Especially, 50% SiO 2 microparticles reinforced resins exhibited the best flexural strength (104.04 ± 7.40MPa), flexural modulus (5.62 ± 0.16GPa), vickers microhardness (37.34 ± 1.13 HV), compressive strength (301.54 ± 5.66MPa) and the lowest polymerization (3.42 ± 0.22%). Copyright © 2018 Elsevier Ltd. All rights reserved.

  11. Experimental study of the plasma fluorination of Y-Ba-Cu-O thin films

    CERN Document Server

    Li Qi; Ji Zheng Ming; Feng Yi Jun; Kang Lin; Yang Sen Zu; Wu Pei Heng; Wang Xiao Shu; Ye Yuda

    2002-01-01

    The authors have experimentally studied the surface modifications of Y-Ba-Cu-O (YBCO) thin films using CF sub 4 plasma. The intensity of the plasma fluorination was controlled by changing the biasing voltage and the time of the plasma treatment. Microstructural analyses reveal that the oxygen content of the YBCO thin films was changed. Transport measurements of sufficient fluorinated YBCO films imply that the films changed totally into an oxygen-deficient semi-conducting state. From these experimental results, the authors believe that plasma fluorination is quite a useful method to form controllable a thin barrier layer in fabricating interface engineered junctions and to form a stable narrow weak-link region in fabricating planar superconductor-normal-superconductor junctions

  12. Target fragmentation in proton-nucleus and16O-nucleus reactions at 60 and 200 GeV/nucleon

    Science.gov (United States)

    Albrecht, R.; Awes, T. C.; Baktash, C.; Beckmann, P.; Claesson, G.; Berger, F.; Bock, R.; Dragon, L.; Ferguson, R. L.; Franz, A.; Garpman, S.; Glasow, R.; Gustafsson, H. Å.; Gutbrod, H. H.; Kampert, K. H.; Kolb, B. W.; Kristiansson, P.; Lee, I. Y.; Löhner, H.; Lund, I.; Obenshain, F. E.; Oskarsson, A.; Otterlund, I.; Peitzmann, T.; Persson, S.; Plasil, F.; Poskanzer, A. M.; Purschke, M.; Ritter, H. G.; Santo, R.; Schmidt, H. R.; Siemiarczuk, T.; Sorensen, S. P.; Stenlund, E.; Young, G. R.

    1988-03-01

    Target remnants with ZPlastic Ball detector. The excitation energy of the target spectator matter in central oxygen-induced collisions is found to be high enough to allow for complete disintegration of the target nucleus into fragments with Z<3. The average longitudinal momentum transfer per proton to the target in central collisions is considerably higher in the case of16O-induced reactions (≈300 MeV/c) than in proton-induced reactions (≈130 MeV/c). The baryon rapidity distributions are roughly in agreement with one-fluid hydrodynamical calculations at 60 GeV/nucleon16O+Au but are in disagreement at 200 GeV/nucleon, indicating the higher degree of transparency at the higher bombarding energy. Both, the transverse momenta of target spectators and the entropy produced in the target fragmentation region are compared to those attained in head-on collisions of two heavy nuclei at Bevalac energies. They are found to be comparable or do even exceed the values for the participant matter at beam energies of about 1 2 GeV/nucleon.

  13. Effects of low level fluorine pollution in a mountain valley

    Energy Technology Data Exchange (ETDEWEB)

    Bourbon, P; Tournut, J; Alary, J; Rouzaud, J F; Alengrin, F

    1971-02-01

    Fluoride content in the air and forage were determined, and symptoms of chronic fluoride poisoning in cattle raised in a mountain valley accommodating a phosphoric acid production plant were studied systematically over 10 years. The plant, processing phosphates with a fluoride content of 3.8%, emitted 20 kg of F daily. The atmospheric average F concentration ranged from zero to 10 micrograms/cu M, with 90% in the form of hydrofluoric acid and silicotetrafluoride, and 10% in the form of apatite. The fluorine content in forage, ranging from 20 to 50 ppm, and up to 164 ppm in one case, is responsible for pathological symptoms of fluorine poisoning in cattle. Fluorine content of about 30 ppm causes discoloration of the dental enamel in cattle fed such forage over more than 3 years, which corresponds to the fluorine residues of more than 1000 ppm in the jawbones. Periodic limping occurs in cattle fed forage with about 50 ppm of F over 5 years, corresponding to an F content of more than 4000 ppm. Such animals lose weight and yield much less milk than normal ones. Caries and loose teeth in cattle whose jawbones contain F residues of more than 3000 ppm are observed. Osteosis is, however, practically nonexistent. The urine F content, ranging from 2 to 20 ppm, was normal with less than 100 ppm in 60% of all animals. The results show an accumulation of F in bones, and suggest an F content of 20 ppm in forage as a safe limit.

  14. Synthesis of no-carrier-added fluorine-18 2-fluoro-2-deoxy-d-glucose

    International Nuclear Information System (INIS)

    Tewson, T.J.

    1983-01-01

    A new synthetic procedure for the preparation of fluorine-18 2-fluoro-2-deoxy-glucose has been developed. This procedure offers the advantages of flexibility in the source of the fluorine-18, high yields, and short synthesis times. The procedure works at the no-carrier-added level and gives a product of very high specific activity

  15. Attempt at interpreting some optical absorption bands in X-ray irradiated fluorine

    International Nuclear Information System (INIS)

    Allain, Yves

    1959-01-01

    According to the results of one of our experiments, the 575 mμ absorption band of fluorine irradiated with X-Rays seams due to F - ion vacancies. Our goal has been to find a color centers model in fluorine colored in various conditions. Reprint of a paper published in Comptes rendus des seances de l'Academie des Sciences, t. 248, p. 2318-2320, sitting of Aril 20, 1959 [fr

  16. Experimental design for a basic mixture on a fluorinated packing. The effect of composition of the mobile phase.

    Science.gov (United States)

    Wang, Y; Harrison, M; Clark, B J

    2006-02-10

    An optimization methodology is introduced for investigating the separation and the retention behavior of analytes on a new fluorinated reversed-phase packing. Ten basic compounds were selected as test probes to study the predictive models developed by using SPSS and MATLAB software. A two-level orthogonal array design (OAD) was used to extract significant parameters. The significant factors were optimised using a central composite design to obtain the quadratic relationship between the dependent and the independent variables. Using this strategy, response surfaces were derived as the 3D and contour plots, and mathematical models were defined for the separation. The models had a satisfactory coefficient (R(2) > 0.97, n = 16). For the test compounds, the best separation condition was: MeCN/30 mM phosphate buffer pH 7.1(55.5:44.5, v/v) and 10 basic solutes were resolved in 22 min. The significant influence of the concentration of buffer shows that different mechanisms of separation for basic compounds on the fluorinated packing exist compared with a common ODS stationary phase.

  17. Partially fluorinated aarylene polyethers and their ternary blends with PBI and H3PO4

    DEFF Research Database (Denmark)

    Li, Qingfeng; Jensen, Jens Oluf; Pan, Chao

    2008-01-01

    Ternary blend membranes based on sulphonated partially fluorinated arylene polyether, polybenzimidazole (PBI) and phosphoric acid were prepared and characterised as electrolyte for high temperature proton exchange membrane fuel cells. Partially fluorinated arylene polyether was first prepared from...

  18. An alternative fluorine precursor for the synthesis of SnO2:F by spray pyrolysis

    International Nuclear Information System (INIS)

    Arca, E.; Fleischer, K.; Shvets, I.V.

    2012-01-01

    An alternative, non-toxic precursor was employed for the synthesis of SnO 2 :F transparent conducting oxide. The performance of benzenesulfonyl fluoride (BSF) as F source for spray pyrolysis was investigated. Its decomposition and the actual incorporation of fluorine in the tin oxide matrix were confirmed by X-ray photoelectron spectroscopy while its effect on the electrical properties was investigated by resistance and Hall measurements. Results were compared with respect to samples grown using a common fluorine source (NH 4 F), a commercial available sample and a sample grown by spray pyrolysis at an independent laboratory. We show that BSF leads to actively doped conductive SnO 2 with good carrier mobility, though the fluorine incorporation rate and hence overall conductivity of the films is lower than for fluorine precursors commonly used in spray pyrolysis.

  19. Dipolar rotors orderly aligned in mesoporous fluorinated organosilica architectures

    KAUST Repository

    Bracco, Silvia; Beretta, Mario; Cattaneo, Alice Silvia; Comotti, Angiolina; Falqui, Andrea; Zhao, Ke; Rogers, Charles T.; Sozzani, Piero

    2015-01-01

    New mesoporous covalent frameworks, based on hybrid fluorinated organosilicas, were prepared to realize a periodic architecture of fast molecular rotors containing dynamic dipoles in their structure. The mobile elements, designed on the basis of fluorinated p-divinylbenzene moieties, were integrated into the robust covalent structure through siloxane bonds, and showed not only the rapid dynamics of the aromatic rings (ca. 108 Hz at 325 K), as detected by solid-state NMR spectroscopy, but also a dielectric response typical of a fast dipole reorientation under the stimuli of an applied electric field. Furthermore, the mesochannels are open and accessible to diffusing in gas molecules, and rotor mobility could be individually regulated by I2 vapors. The iodine enters the channels of the periodic structure and reacts with the pivotal double bonds of the divinyl-fluoro-phenylene rotors, affecting their motion and the dielectric properties. Oriented molecular rotors: Fluorinated molecular rotors (see picture) were engineered in mesoporous hybrid organosilica architectures with crystalline order in their walls. The rotor dynamics was established by magic angle spinning NMR and dielectric measurements, indicating a rotational correlation time as short as 10-9 s at 325 K. The dynamics was modulated by I2 vapors entering the pores.

  20. Dipolar rotors orderly aligned in mesoporous fluorinated organosilica architectures

    KAUST Repository

    Bracco, Silvia

    2015-02-16

    New mesoporous covalent frameworks, based on hybrid fluorinated organosilicas, were prepared to realize a periodic architecture of fast molecular rotors containing dynamic dipoles in their structure. The mobile elements, designed on the basis of fluorinated p-divinylbenzene moieties, were integrated into the robust covalent structure through siloxane bonds, and showed not only the rapid dynamics of the aromatic rings (ca. 108 Hz at 325 K), as detected by solid-state NMR spectroscopy, but also a dielectric response typical of a fast dipole reorientation under the stimuli of an applied electric field. Furthermore, the mesochannels are open and accessible to diffusing in gas molecules, and rotor mobility could be individually regulated by I2 vapors. The iodine enters the channels of the periodic structure and reacts with the pivotal double bonds of the divinyl-fluoro-phenylene rotors, affecting their motion and the dielectric properties. Oriented molecular rotors: Fluorinated molecular rotors (see picture) were engineered in mesoporous hybrid organosilica architectures with crystalline order in their walls. The rotor dynamics was established by magic angle spinning NMR and dielectric measurements, indicating a rotational correlation time as short as 10-9 s at 325 K. The dynamics was modulated by I2 vapors entering the pores.

  1. Electrochemical stability and transformations of fluorinated poly(2,6-dimethyl-1,4-phenylene oxide)

    NARCIS (Netherlands)

    Pud, A.A.; Rogalsky, S.P.; Ghapoval, G.S.; Kharitonov, A.P.; Kemperman, Antonius J.B.

    2000-01-01

    Fluorination of poly(2,6-dimethyl-1,4-phenylene oxide) (PPO) leads to narrowing of its window of electrochemical stability in a cathodic range of potentials. It is found this is connected with appearance of both perfluorinated and incompletely fluorinated units in the polymer. The former units are

  2. Fluoride emanations from fatories: experimental study of the action of fluorine plants

    Energy Technology Data Exchange (ETDEWEB)

    Cristiani, H; Gautier, R

    1925-01-01

    Research work from 1883 onward and the author's own experiments on the damages done to plants by fluoric emanations from aluminum and/or chemical fertilizer factories are reviewed. Fluoric compounds may act through the soil and water that feed the plants, or directly on the plant organs exposed to fluorine-polluted air. Of the various toxic gases, hydrofluoric acid is the most noxious since it forms thick fogs with the humidity in the air. The effects are cumulative and may not become visible before repeated exposure of the plants to the gases. The toxic action of NaF derives from its ability to precipitate lime and to attach itself to other substances, such as proteins. Also, fluorine salts have antiseptic action on unicellular elements, and this has had practical applications. At the doses utilized, alkaline salts of fluorine do not precipitate albumin, and can hamper microbial growth. On the other hand, examination of plant lesions enables the detection of their fluoric, as opposed to other (sulfur, chlorine) origin. Stoklasa claims that the amount of emanation and smoke has increased 100-fold in the last century, reducing the crops in some regions by 30 to 90%. The work on fodder from industrial areas was confirmed by experiments with fluorine compounds in air and in water.

  3. The uranyl cation as a visible-light photocatalyst for C(sp{sup 3})-H fluorination

    Energy Technology Data Exchange (ETDEWEB)

    West, Julian G.; Bedell, T. Aaron; Sorensen, Erik J. [Department of Chemistry, Princeton University, Princeton, NJ (United States)

    2016-07-25

    The fluorination of unactivated C(sp{sup 3})-H bonds remains a desirable and challenging transformation for pharmaceutical, agricultural, and materials scientists. Previous methods for this transformation have used bench-stable fluorine atom sources; however, many still rely on the use of UV-active photocatalysts for the requisite high-energy hydrogen atom abstraction event. Uranyl nitrate hexahydrate is described as a convenient, hydrogen atom abstraction catalyst that can mediate fluorinations of certain alkanes upon activation with visible light. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Studies on nitrile rubber degradation in zinc bromide completion fluid and its prevention by surface fluorination

    Science.gov (United States)

    Vega-Cantu, Yadira Itzel

    Poly(acrylonitrile-co-butadiene) or nitrile-butadiene rubber (NBR) is frequently used as an O-ring material in the oil extraction industry due to its excellent chemical properties and resistance to oil. However, degradation of NBR gaskets is known to occur during the well completion and oil extraction process where packers are exposed to completion fluids such as ZnBr2 brine. Under these conditions NBR exhibits accelerated chemical degradation resulting in embrittlement and cracking. Samples of NBR, poly(acrylonitrile) (PAN) and poly(butadiene) (PB) have been exposed to ZnBr2 based completion fluid, and analyzed by ATR and diffuse reflectance IR. Analysis shows the ZnBr2 based completion fluid promotes hydrolysis of the nitrile group to form amides and carboxylic groups. Analysis also shows that carbon-carbon double bonds in NBR are unaffected after short exposure to zinc bromide based completion fluid, but are quickly hydrolyzed in acidic bromide mixtures. Although fluoropolymers have excellent chemical resistance, their strength is less than nitrile rubber and replacing the usual gasket materials with fluoroelastomers is expensive. However, a fluoropolymer surface on a nitrile elastomer can provide the needed chemical resistance while retaining their strength. In this study, we have shown that this can be achieved by direct fluorination, a rather easy and inexpensive process. Samples of NBR O-rings have been fluorinated by exposure to F2 and F2/HF mixtures at various temperatures. Fluorination with F 2 produces the desired fluoropolymer layer; however, fluorination by F2/HF mixtures gave a smoother fluorinated layer at lower temperatures and shorter times. Fluorinated samples were exposed to ZnBr2 drilling fluid and solvents. Elemental analysis shows that the fluorinated layer eliminates ZnBr2 diffusion into the NBR polymeric matrix. It was also found that surface fluorination significantly retards the loss of mechanical properties such as elasticity, tensile

  5. Passivation of fluorinated activated charcoal

    Energy Technology Data Exchange (ETDEWEB)

    Del Cul, G.D.; Trowbridge, L.D.; Simmons, D.W.; Williams, D.F.; Toth, L.M.

    1997-10-01

    The Molten Salt Reactor Experiment (MSRE), at the Oak Ridge National Laboratory has been shut down since 1969 when the fuel salt was drained from the core into two Hastelloy N tanks at the reactor site. In 1995, a multiyear project was launched to remediate the potentially hazardous conditions generated by the movement of fissile material and reactive gases from the storage tanks into the piping system and an auxiliary charcoal bed (ACB). The top 12 in. of the ACB is known by gamma scan and thermal analysis to contain about 2.6 kg U-233. According to the laboratory tests, a few feet of fluorinated charcoal are believed to extend beyond the uranium front. The remainder of the ACB should consist of unreacted charcoal. Fluorinated charcoal, when subjected to rapid heating, can decompose generating gaseous products. Under confined conditions, the sudden exothermic decomposition can produce high temperatures and pressures of near-explosive characteristics. Since it will be necessary to drill and tap the ACB to allow installation of piping and instrumentation for remediation and recovery activities, it is necessary to chemically convert the reactive fluorinated charcoal into a more stable material. Ammonia can be administered to the ACB as a volatile denaturing agent that results in the conversion of the C{sub x}F to carbon and ammonium fluoride, NH{sub 4}F. The charcoal laden with NH{sub 4}F can then be heated without risking any sudden decomposition. The only consequence of heating the treated material will be the volatilization of NH{sub 4}F as a mixture of NH{sub 3} and HF, which would primarily recombine as NH{sub 4}F on surfaces below 200 C. The planned scheme for the ACB denaturing is to flow diluted ammonia gas in steps of increasing NH{sub 3} concentration, 2% to 50%, followed by the injection of pure ammonia. This report summarizes the planned passivation treatment scheme to stabilize the ACB and remove the potential hazards. It also includes basic information

  6. Kinetics study of the fluorination of uranium tetrafluoride in a fluidized bed reactor

    International Nuclear Information System (INIS)

    Khani, M.H.; Pahlavanzadeh, H.; Ghannadi, M.

    2008-01-01

    The kinetics of reaction of the uranium tetrafluoride conversion to the uranium hexafluoride with fluorine gas taking place in a fluidized bed reactor operating in industrial conditions have been studied. The external and internal diffusion effects are investigated by Mears and Weisz-Prater criterions. The kinetic equation for the fluorination of uranium tetrafluoride is developed in the absence of diffusional limitation using an integral method by assuming that the gas flow is of plug or perfectly mixed type. A good agreement is observed between the experimental data and a first-order model with respect to fluorine in the CSTR system. The activation energy of the reaction and the pre-exponential factor are obtained using analytical results from a better model

  7. Content and distribution of fluorine in rock, clay and water in fluorosis area Zhaotong, Yunnan Province

    Energy Technology Data Exchange (ETDEWEB)

    Luo, K.; Li, H.; Feng, F. (and others) [Chinese Academy of Sciences, Beijing (China)

    2007-04-15

    About 160 samples of coal, pyritic coal balls, coal seam gangue, clay, corn, capsicum and drinking water were collected from the endemic fluorosis area of Zhenxiong and Weixin county, China to determine the fluorine content, distribution pattern and source in this fluorosis area. The study shows that the average fluorine content in the coal samples collected from 3 coal mines of the Late Permian coals in Zhenxiong and Weixin county, Zhaotong City, which are the main mining coals there, is 77.13 mg/kg. The average fluorine content coals collected form thee typical fluorosis villages in 72.56 mg/kg. Both of them are close to the world average and little low than the Chinese average. The fluorine content of drinking water is lower than 0.35 mg/L, the clay used as an additive for coal-burning and as a binfer in briquette-making by local residents has a high content of fluorine, ranging from 367-2,435 mg/kg, with the majority higher than 600 mg/kg and an average of 1,084.2 mg/kg. 29 refs., 5 tabs.

  8. Control of the new method of determining fluorine

    Energy Technology Data Exchange (ETDEWEB)

    Gautier, A; Clausmann, P

    1912-06-24

    The detection of minute amounts of fluorine by etching is described. The new method has been used to detect 0.01-0.001 mg F in distilled water, natural and artificial mineral waters, minerals, bones, brain and blood.

  9. Experimental methods in radioactive ion-beam target/ion source development and characterization

    International Nuclear Information System (INIS)

    Welton, R.F.; Alton, G.D.; Cui, B.; Murray, S.N.

    1998-01-01

    We have developed off-line experimental techniques and apparatuses that permit direct measurement of effusive-flow delay times and ionization efficiencies for nearly any chemically reactive element in high-temperature target/ion sources (TIS) commonly used for on-line radioactive ion-beam (RIB) generation. The apparatuses include a hot Ta valve for effusive-flow delay-time measurements, a cooled molecular injection system for determination of ionization efficiencies, and a gas flow measurement/control system for introducing very low, well-defined molecular flows into the TIS. Measurements are performed on a test stand using molecular feed compounds containing stable complements of the radioactive nuclei of interest delivered to the TIS at flow rates commensurate with on-line RIB generation. In this article, the general techniques are described and effusive-flow delay times and ionization efficiency measurements are reported for fluorine in an electron-beam plasma target/ion source developed for RIB generation and operated in both positive- and negative-ion extraction modes. copyright 1998 American Institute of Physics

  10. Fluorinated cellular polypropylene films with time-invariant excellent surface electret properties by post-treatments

    International Nuclear Information System (INIS)

    An Zhenlian; Mao Mingjun; Yao Junlan; Zhang Yewen; Xia Zhongfu

    2010-01-01

    In this work, to improve the electret properties of cellular polypropylene films, they were fluorinated and post-treated with nitrous oxide and by isothermal crystallization. Surface electret properties of the samples were investigated by thermally stimulated discharge current measurements, and their compositions and structures were analysed by attenuated total reflection infrared spectroscopy and wide angle x-ray diffraction, respectively. Time-dependent deterioration of surface electret properties was observed for the fluorinated samples without the nitrous oxide post-treatment. However, deterioration did not occur for the fluorinated samples post-treated with nitrous oxide, and time-invariant excellent surface electret properties or deep surface charge traps were obtained by the combined post-treatments of the fluorinated samples with nitrous oxide and by isothermal crystallization. Based on the analyses of composition and structure of the treated samples, the deterioration was clarified to be due to a trace of oxygen in the reactive mixture, which led to the formation of peroxy RO 2 . radicals in the fluorinated surface layer. The time invariability of surface electret properties was owing to the rapid termination of the peroxy RO 2 . radicals by nitrous oxide. And the deep surface charge traps resulted from the isothermal crystallization treatment which led to an increase in the efficient charging interface between the crystallite and amorphous region and its property change.

  11. Development of two fluorine-18 labeled PET radioligands targeting PDE10A and in vivo PET evaluation in nonhuman primates.

    Science.gov (United States)

    Stepanov, Vladimir; Takano, Akihiro; Nakao, Ryuji; Amini, Nahid; Miura, Shotaro; Hasui, Tomoaki; Kimura, Haruhide; Taniguchi, Takahiko; Halldin, Christer

    2018-02-01

    Phosphodiesterase 10A (PDE10A) is a member of the PDE enzyme family that degrades cyclic adenosine and guanosine monophosphates (cAMP and cGMP). Based on the successful development of [ 11 C]T-773 as PDE10A positron emission tomography (PET) radioligand, in this study our aim was to develop and evaluate fluorine-18 analogs of [ 11 C]T-773. [ 18 F]FM-T-773-d 2 and [ 18 F]FE-T-773-d 4 were synthesized from the same precursor used for 11 C-labeling of T-773 in a two-step approach via 18 F-fluoromethylation and 18 F-fluoroethylation, respectively, using corresponding deuterated synthons. A total of 12 PET measurements were performed in seven non-human primates. First, baseline PET measurements were performed using High Resolution Research Tomograph system with both [ 18 F]FM-T-773-d 2 and [ 18 F]FE-T-773-d 4 ; the uptake in whole brain and separate brain regions, as well as the specific binding and tissue ratio between putamen and cerebellum, was examined. Second, baseline and pretreatment PET measurements using MP-10 as the blocker were performed for [ 18 F]FM-T-773-d 2 including arterial blood sampling with radiometabolite analysis in four NHPs. Both [ 18 F]FM-T-773-d 2 and [ 18 F]FE-T-773-d 4 were successfully radiolabeled with an average molar activity of 293 ± 114 GBq/μmol (n=8) for [ 18 F]FM-T-773-d 2 and 209 ± 26 GBq/μmol (n=4) for [ 18 F]FE-T-773-d 4 , and a radiochemical yield of 10% (EOB, n=12, range 3%-16%). Both radioligands displayed high brain uptake (~5.5% of injected radioactivity for [ 18 F]FM-T-773-d 2 and ~3.5% for [ 18 F]FE-T-773-d 4 at the peak) and a fast washout. Specific binding reached maximum within 30 min for [ 18 F]FM-T-773-d 2 and after approximately 45 min for [ 18 F]FE-T-773-d 4 . [ 18 F]FM-T-773-d 2 data fitted well with kinetic compartment models. BP ND values obtained indirectly through compartment models were correlated well with those obtained by SRTM. BP ND calculated with SRTM was 1.0-1.7 in the putamen. The occupancy with 1

  12. Study of copper fluorination; Contribution a l'etude de la fluoruration du cuivre

    Energy Technology Data Exchange (ETDEWEB)

    Gillardeau, J [Commissariat a l' Energie Atomique, Saclay (France). Centre d' Etudes Nucleaires

    1967-02-01

    This report deals with the action of fluorine on copper. Comprehensive descriptions are given of the particular technological methods and of the preparation of the reactants. This fluorination reaction has been studied at medium and low fluorine pressures. A nucleation and growth phenomenon is described. The influence of a pollution of the gas phase on the fluorination process is described. The solid-state reaction between cupric fluoride and cooper has also been studied. A special study has been made of the growth of copper deposits by thermal decomposition of gaseous fluorides. (author) [French] Ce rapport traite de la reaction du fluor sur le cuivre. Les methodes technologiques particulieres ainsi que les preparations des reactifs sont largement developpees. Cette reaction de fluoruration est etudiee sous pressions moyennes et sous basses pressions de fluor. Un phenomene de germination et croissance est decrit. L'influence de la pollution de la phase gazeuse sur le processus de fluoruration est rapportee. La reaction a l'etat solide du fluorure cuivrique sur le cuivre a egalement ete etudiee. La croissance de depots de cuivre par decomposition thermique des fluorures de cuivre gazeux fait l'objet d'une etude speciale. (auteur)

  13. REVISED STELLAR PROPERTIES OF KEPLER TARGETS FOR THE QUARTER 1-16 TRANSIT DETECTION RUN

    Energy Technology Data Exchange (ETDEWEB)

    Huber, Daniel [NASA Ames Research Center, Moffett Field, CA 94035 (United States); Aguirre, Victor Silva [Stellar Astrophysics Centre, Department of Physics and Astronomy, Aarhus University, Ny Munkegade 120, DK-8000 Aarhus C (Denmark); Matthews, Jaymie M. [Department of Physics and Astronomy, University of British Columbia, Vancouver (Canada); Pinsonneault, Marc H. [Department of Astronomy, Ohio State University, OH 43210 (United States); Gaidos, Eric [Department of Geology and Geophysics, University of Hawaii at Manoa, Honolulu, HI 96822 (United States); García, Rafael A. [Laboratoire AIM, CEA/DSM-CNRS, Université Paris 7 Diderot, IRFU/SAp, Centre de Saclay, F-91191 Gif-sur-Yvette (France); Hekker, Saskia [Max-Planck-Institut für Sonnensystemforschung, Justus-von-Liebig-Weg 3, D-37077 Göttingen (Germany); Mathur, Savita [Space Science Institute, 4750 Walnut Street, Suite 205, Boulder, CO 80301 (United States); Mosser, Benoit [LESIA, CNRS, Université Pierre et Marie Curie, Université Denis, Diderot, Observatoire de Paris, F-92195 Meudon cedex (France); Torres, Guillermo [Harvard-Smithsonian Center for Astrophysics, Cambridge, MA 02138 (United States); Bastien, Fabienne A. [Department of Physics and Astronomy, Vanderbilt University, 1807 Station B, Nashville, TN 37235 (United States); Basu, Sarbani [Department of Astronomy, Yale University, New Haven, CT 06511 (United States); Bedding, Timothy R. [Sydney Institute for Astronomy (SIfA), School of Physics, University of Sydney, NSW 2006 (Australia); Chaplin, William J. [School of Physics and Astronomy, University of Birmingham, Birmingham B15 2TT (United Kingdom); Demory, Brice-Olivier [Department of Physics, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, MA 02139 (United States); Fleming, Scott W., E-mail: daniel.huber@nasa.gov [Space Telescope Science Institute, 3700 San Martin Drive, Baltimore, MD 21218 (United States); and others

    2014-03-01

    We present revised properties for 196,468 stars observed by the NASA Kepler mission and used in the analysis of Quarter 1-16 (Q1-Q16) data to detect and characterize transiting planets. The catalog is based on a compilation of literature values for atmospheric properties (temperature, surface gravity, and metallicity) derived from different observational techniques (photometry, spectroscopy, asteroseismology, and exoplanet transits), which were then homogeneously fitted to a grid of Dartmouth stellar isochrones. We use broadband photometry and asteroseismology to characterize 11,532 Kepler targets which were previously unclassified in the Kepler Input Catalog (KIC). We report the detection of oscillations in 2762 of these targets, classifying them as giant stars and increasing the number of known oscillating giant stars observed by Kepler by ∼20% to a total of ∼15,500 stars. Typical uncertainties in derived radii and masses are ∼40% and ∼20%, respectively, for stars with photometric constraints only, and 5%-15% and ∼10% for stars based on spectroscopy and/or asteroseismology, although these uncertainties vary strongly with spectral type and luminosity class. A comparison with the Q1-Q12 catalog shows a systematic decrease in radii of M dwarfs, while radii for K dwarfs decrease or increase depending on the Q1-Q12 provenance (KIC or Yonsei-Yale isochrones). Radii of F-G dwarfs are on average unchanged, with the exception of newly identified giants. The Q1-Q16 star properties catalog is a first step toward an improved characterization of all Kepler targets to support planet-occurrence studies.

  14. The Effect of Column and Eluent Fluorination on the Retention and Separation of non-Fluorinated Amino Acids and Proteins by HPLC

    Science.gov (United States)

    Joyner, Katherine; Wang, Weizhen; Yu, Yihua Bruce

    2011-01-01

    The effect of column and eluent fluorination on the retention and separation of non-fluorinated amino acids and proteins in HPLC is investigated. A side-by-side comparison of fluorocarbon column and eluents (F-column and F-eluents) with their hydrocarbon counterparts (H-column and H-eluents) in the separation of a group of 33 analytes, including 30 amino acids and 3 proteins, is conducted. The H-column and the F-column contain the n-C8H17 group and n-C8F17 group, respectively, in their stationary phases. The H-eluents include ethanol (EtOH) and isopropanol (ISP) while the F-eluents include trifluoroethanol (TFE) and hexafluorosopropanol (HFIP). The 2 columns and 4 eluents generated 8 (column, eluent) pairs that produce 264 retention time data points for the 33 analytes. A statistical analysis of the retention time data reveals that although the H-column is better than the F-column in analyte separation and H-eluents are better than F-eluents in analyte retention, the more critical factor is the proper pairing of column with eluent. Among the conditions explored in this project, optimal retention and separation is achieved when the fluorocarbon column is paired with ethanol, even though TFE is the most polar one among the 4 eluents. This result shows fluorocarbon columns have much potential in chromatographic analysis and separation of non-fluorinated amino acids and proteins. PMID:21318121

  15. Synthesis and characterization of nano-SiO{sub 2} modified fluorine-containing polyacrylate emulsifier-free emulsion

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Jianhua, E-mail: zhoujianh@21cn.com [College of Resource and Environment, Shaanxi University of Science and Technology, Xi’an 710021 (China); Shaanxi Research Institute of Agricultural Products Processing Technology, Xi’an 710021 (China); Chen, Xin; Duan, Hao; Ma, Jianzhong; Ma, Yurong [College of Resource and Environment, Shaanxi University of Science and Technology, Xi’an 710021 (China)

    2015-03-15

    Graphical abstract: Nano-SiO{sub 2} modified fluorine-containing polyacrylate emulsifier-free emulsion was synthesized by emulsifier-free emulsion polymerization and sol–gel process using ethyl silicate as precursor for nano-SiO{sub 2}. - Highlights: • Nano-SiO{sub 2} modified fluorine-containing polyacrylate emulsifier-free emulsion was successfully synthesized by emulsion polymerization using surfmer and sol–gel process. • The contact angle results showed that the finished fabric had an excellent water and oil repellency. • The nano-SiO{sub 2} modified fluorine-containing polyacrylate emulsifier-free emulsion proved to be highly solvent-resistant and water-resistant. • The transmission electron microscopy (TEM) indicated that the nano-SiO{sub 2} presented on the surface of latex particles. • The atomic force microscope (AFM) and energy dispersive X-ray spectrometer (EDX) confirmed that the hybrid film had a rough surface and the organic fluorine segment could migrate onto the film–air interface. - Abstract: Nano-SiO{sub 2} modified fluorine-containing polyacrylate emulsifier-free emulsion, consisting of methyl methacrylate, butyl acrylate, dodecafluoroheptyl methacrylate and ethyl silicate, was successfully synthesized by emulsion polymerization using surfmer and sol–gel process. When increasing ethyl silicate content, the latex centrifugal stability decreased, and the latex particle size increased. The contact angle results showed that the finished fabric had an excellent water and oil repellency. Furthermore, compared with fluorine-containing polyacrylate emulsifier-free emulsion, the obtained nano-SiO{sub 2} modified fluorine-containing polyacrylate emulsifier-free emulsion proved to be highly solvent-resistant and water-resistant. In addition, the transmission electron microscopy (TEM) indicated that the nano-SiO{sub 2} presented on the surface of latex particles. The atomic force microscope (AFM) and energy dispersive X-ray spectrometer

  16. Regiospecifically Fluorinated Polycyclic Aromatic Hydrocarbons via Julia-Kocienski Olefination and Oxidative Photocyclization. Effect of Fluorine Atom Substitution on Molecular Shape.

    Science.gov (United States)

    Banerjee, Shaibal; Sinha, Saikat; Pradhan, Padmanava; Caruso, Alessio; Liebowitz, Daniel; Parrish, Damon; Rossi, Miriam; Zajc, Barbara

    2016-05-20

    A modular synthesis of regiospecifically fluorinated polycyclic aromatic hydrocarbons (PAHs) is described. 1,2-Diarylfluoroalkenes, synthesized via Julia-Kocienski olefination (70-99% yields), were converted to isomeric 5- and 6-fluorobenzo[c]phenanthrene, 5-and 6-fluorochrysene, and 9- and 10-benzo[g]chrysene (66-83% yields) by oxidative photocyclization. Photocyclization to 6-fluorochrysene proceeded more slowly than conversion of 1-styrylnaphthalene to chrysene. Higher fluoroalkene dilution led to a more rapid cyclization. Therefore, photocyclizations were performed at higher dilutions. To evaluate the effect of fluorine atom on molecular shapes, X-ray data for 5- and 6-fluorobenzo[c]phenanthrene, 6-fluorochrysene, 9- and 10-fluorobenzo[g]chrysene, and unfluorinated chrysene as well as benzo[g]chrysene were obtained and compared. The fluorine atom caused a small deviation from planarity in the chrysene series and decreased nonplanarity in the benzo[c]phenanthrene derivatives, but its influence was most pronounced in the benzo[g]chrysene series. A remarkable flattening of the molecule was observed in 9-fluorobenzo[g]chrysene, where the short 2.055 Å interatomic distance between bay-region F-9 and H-8, downfield shift of H-8, and a 26.1 Hz coupling between F-9 and C-8 indicate a possible F-9···H-8 hydrogen bond. In addition, in 9-fluorobenzo[g]chrysene, the stacking distance is short at 3.365 Å and there is an additional interaction between the C-11-H and C-10a of a nearby molecule that is almost perpendicular.

  17. Frequency effects and properties of plasma deposited fluorinated silicon nitride

    International Nuclear Information System (INIS)

    Chang, C.; Flamm, D.L.; Ibbotson, D.E.; Mucha, J.A.

    1988-01-01

    The properties of low-hydrogen, fluorinated plasma-enhanced chemical vapor deposition (PECVD) silicon nitride films grown using NF 3 /SiH 4 /N 2 feed mixtures in 200 kHz and 14 MHz discharges were compared. High-energy ion bombardment at 200 kHz is expected to enhance surface diffusion and chemical reconstruction. Compared to fluorinated silicon nitride deposited at 14 MHz under otherwise comparable conditions, the 200 kHz films had a lower Si--H bond concentration (approx. 21 cm -3 ), lower total hydrogen content (5--8 x 10 21 cm -3 ), better resistance to oxidation, lower compressive stress (-0.7 to -1.5 Gdyne/cm), and higher density (3.1 g/cm 3 ). The dielectric constant of better low-frequency Class I films was constant to 500 MHz, while that of high-frequency films fell up to 15% between 100 Hz and 10 MHz. The absorption edges of low-frequency PECVD fluorinated silicon nitride films were between 5.0 and 6.1 eV, which compare with 4.4 to 5.6 eV for the high-excitation frequency fluorinated material and 3 to 4 eV for conventional PECVD nitride. However high-frequency films may have fewer trap centers and a lower dielectric constant. 14 MHz p-SiN:F films grown with NH 3 as an auxiliary nitrogen source showed absorption edges similar to low-frequency material grown from NF 3 /SiH 4 /N 2 , but they have substantially more N--H bonding. The dielectric constant and absorption edge of these films were comparable to those of low-frequency p-SiN:F from NF 3 /SiH 4 /N 2

  18. Use of ASP for Removal of Fluorine and Ammonium Ions

    International Nuclear Information System (INIS)

    Martin HB, A; Las, T

    1998-01-01

    The purified zeolites from Bayah, Lampung dan Tasik have been modified into microporous alumino-silico phosphate (ASP) which could be used as anion and cation exchangers. ASP has been prepared by mixing purified zeolites and ammonium dihydrogen phosphate in weight ratios of 1 :1, 1 :5 and 5:1. The materials have been characterized by XRF, XRD and TG. The ion-exchange experiments have been performed by batch method for various concentrations of 0.01, 0.04, 0.08, 0.2 and O,4 NF and NH 4 ion. Column experiment has been performed for: 500 ppm of ion F ( 0,026 N) and 100 ppm (0.0055 N) of ion NH 4 concentrations respectively, fed into 1 cm diameter column containing 3 g pure ion exchangers. From batch experiment the fluorine sorption increases following the increase the concentration and F could be adsorbed up to about 1.09 -9.17 eq/kg for in the range of concentration 0.01 - 0.08 N. For higher fluorine concentration, the fluorine sorption tends to fluctuate. The ammonium sorption experiments obtain similar results for purified zeolites and ASP. The ion could be absorbed up to about 1.09 - 14.24 eq/kg. In column experiment, 1 g ASP could absorb fluorine up to about 278,48 - 334,19 BV ( 900-1300 ml) at 50% BT, and absorb NH 4 about 219.64 - 297.17 BV (850 -1150 ml) separately. These result shows that the ASP might be a potential material to be used for removal of ion F and NH 4 from the waste generayed in the fuel element fabrication

  19. Kaiso Directs the Transcriptional Corepressor MTG16 to the Kaiso Binding Site in Target Promoters

    Science.gov (United States)

    Barrett, Caitlyn W.; Smith, J. Joshua; Lu, Lauren C.; Markham, Nicholas; Stengel, Kristy R.; Short, Sarah P.; Zhang, Baolin; Hunt, Aubrey A.; Fingleton, Barbara M.; Carnahan, Robert H.; Engel, Michael E.; Chen, Xi; Beauchamp, R. Daniel; Wilson, Keith T.; Hiebert, Scott W.; Reynolds, Albert B.; Williams, Christopher S.

    2012-01-01

    Myeloid translocation genes (MTGs) are transcriptional corepressors originally identified in acute myelogenous leukemia that have recently been linked to epithelial malignancy with non-synonymous mutations identified in both MTG8 and MTG16 in colon, breast, and lung carcinoma in addition to functioning as negative regulators of WNT and Notch signaling. A yeast two-hybrid approach was used to discover novel MTG binding partners. This screen identified the Zinc fingers, C2H2 and BTB domain containing (ZBTB) family members ZBTB4 and ZBTB38 as MTG16 interacting proteins. ZBTB4 is downregulated in breast cancer and modulates p53 responses. Because ZBTB33 (Kaiso), like MTG16, modulates Wnt signaling at the level of TCF4, and its deletion suppresses intestinal tumorigenesis in the ApcMin mouse, we determined that Kaiso also interacted with MTG16 to modulate transcription. The zinc finger domains of Kaiso as well as ZBTB4 and ZBTB38 bound MTG16 and the association with Kaiso was confirmed using co-immunoprecipitation. MTG family members were required to efficiently repress both a heterologous reporter construct containing Kaiso binding sites (4×KBS) and the known Kaiso target, Matrix metalloproteinase-7 (MMP-7/Matrilysin). Moreover, chromatin immunoprecipitation studies placed MTG16 in a complex occupying the Kaiso binding site on the MMP-7 promoter. The presence of MTG16 in this complex, and its contributions to transcriptional repression both required Kaiso binding to its binding site on DNA, establishing MTG16-Kaiso binding as functionally relevant in Kaiso-dependent transcriptional repression. Examination of a large multi-stage CRC expression array dataset revealed patterns of Kaiso, MTG16, and MMP-7 expression supporting the hypothesis that loss of either Kaiso or MTG16 can de-regulate a target promoter such as that of MMP-7. These findings provide new insights into the mechanisms of transcriptional control by ZBTB family members and broaden the scope of co

  20. Determination of traces of fluorine and hydrofluoric acid in the atmosphere

    International Nuclear Information System (INIS)

    Francois, H.; Grand-Clement, A.M.; Faltot, G.

    1963-01-01

    As some publications highlighted hazards related to the presence of dispersed fluorine and hydrofluoric acid in the atmosphere, the authors, after a brief recall of some measurement devices presented in some publications, present an experimental set-up which aims at measuring traces of these compounds in the atmosphere. They notably describe the preparation of a controlled fluorine atmosphere, discuss the efficiency of the absorption-based trap, report the study of the electrolytic analysis cell, discuss its calibration. They also report the study of the influence of temperature and of the presence of disturbing ions

  1. Extraction of lithium from neutral salt solutions with fluorinated. beta. -diketones

    Energy Technology Data Exchange (ETDEWEB)

    Seeley, F.G.; Baldwin, W.H.

    1976-01-01

    Lithium was selectively extracted from near-neutral aqueous solutions of alkali metal salts. The mechanism by which this was achieved involves the formation of the trioctylphosphine oxide adduct of a lithium chelate of a fluorinated ..beta..-diketone, which is then readily extractable into an organic diluent. High separation factors were obtained from sodium, potassium, rubidium, and cesium. The selectivity of the fluorinated ..beta..-diketones for lithium over the alkaline earths was found to be poor. A suggested general flowsheet for the recovery of lithium from a salt brine concentrate is included.

  2. Extraction of lithium from neutral salt solutions with fluorinated β-diketones

    International Nuclear Information System (INIS)

    Seeley, F.G.; Baldwin, W.H.

    1976-01-01

    Lithium was selectively extracted from near-neutral aqueous solutions of alkali metal salts. The mechanism by which this was achieved involves the formation of the trioctylphosphine oxide adduct of a lithium chelate of a fluorinated β-diketone, which is then readily extractable into an organic diluent. High separation factors were obtained from sodium, potassium, rubidium, and cesium. The selectivity of the fluorinated β-diketones for lithium over the alkaline earths was found to be poor. A suggested general flowsheet for the recovery of lithium from a salt brine concentrate is included. (author)

  3. Layer-dependent fluorination and doping of graphene via plasma treatment

    International Nuclear Information System (INIS)

    Chen Minjiang; Zhou Haiqing; Qiu Caiyu; Yang Huaichao; Yu Fang; Sun Lianfeng

    2012-01-01

    In this work, the fluorination of n-layer graphene is systematically investigated using CHF 3 and CF 4 plasma treatments. The G and 2D Raman peaks of graphene show upshifts after each of the two kinds of plasma treatment, indicating p-doping to the graphene. Meanwhile, D, D′ and D + G peaks can be clearly observed for monolayer graphene, whereas these peaks are weaker for thicker n-layer graphene (n ≥ 2) at the same experimental conditions. The upshifts of the G and 2D peaks and the ratio of I(2D)/I(G) for CF 4 plasma treated graphene are larger than those of CHF 3 plasma treated graphene. The ratio of I(D)/I(G) of the Raman spectra is notably small in CF 4 plasma treated graphene. These facts indicate that CF 4 plasma treatment introduces more p-doping and fewer defects for graphene. Moreover, the fluorination of monolayer graphene by CF 4 plasma treatment is reversible through thermal annealing while that by CHF 3 plasma treatment is irreversible. These studies explore the information on the surface properties of graphene and provide an optimal method of fluorinating graphene through plasma techniques. (paper)

  4. The effect of fluorine in low thermal budget polysilicon emitters for SiGe heterojunction bipolar transistors

    International Nuclear Information System (INIS)

    Schiz, F.J.W.

    1999-03-01

    This thesis investigates the behaviour of fluorine in two types of polysilicon emitter. In the first type the emitter is deposited at 610 deg. C as polycrystalline silicon (p-Si). In the second type the emitter is deposited at 560 deg. C as amorphous silicon (α-Si). The amorphous silicon 1 then regrows to polysilicon during subsequent high temperature anneals. Remarkably different behaviour of fluorine is seen in as-deposited α-Si and as-deposited p-Si emitter bipolar transistors. In the most extreme case, fluorine-implanted as-deposited p-Si devices show a base current increase by a factor of 1.5 and equivalent α-Si devices a base current decrease by a factor of 10.0 compared to unimplanted devices. Cross-section TEM observations are made to study the structure of the polysilicon/silicon interface and SIMS measurements to study the distribution of the fluorine in the polysilicon. The TEM results correlate well with the electrical results and show that fluorine accelerates interfacial oxide breakup. Furthermore, they show that for a given thermal budget, more interfacial oxide breakup and thus more epitaxial regrowth is obtained for transistors with p-Si polysilicon emitters. This results in a lower emitter resistance, for example as low as 12Ωμm 2 for as-deposited p-Si devices. The base current suppression for as-deposited α-Si devices is explained by fluorine passivation of trapping states at the interface. Analysis of the fluorine SIMS profiles suggests that they do not resemble normal diffusion profiles, but are due to fluorine trapped at defects. It is shown that a reciprocal relationship exists between the fluorine dose in the bulk polysilicon layer and the fluorine dose at the interface. In as-deposited α-Si devices, there is more fluorine trapped at defects in the bulk polysilicon layer, so less is available to diffuse to the interface. As a result there is less interfacial oxide breakup and more passivation in the as-deposited α-Si devices. These

  5. Investigations to increase the efficiency of fluorine and boron removal from groundwater using radiation-induced graft polymerization adsorbent

    International Nuclear Information System (INIS)

    Iyatomi, Yosuke; Shimada, Akiomi; Ogata, Nobuhisa; Sugihara, Kozo; Hoshina, Hiroyuki; Seko, Noriaki; Kasai, Noboru; Ueki, Yuji; Tamada, Masao

    2010-01-01

    The Japan Atomic Energy Agency is performing a research project in the Mizunami Underground Research Laboratory (MIU) to build a firm scientific and technological basis for the studies of the deep underground environment in crystalline rock. In the project, it is necessary to reduce the fluorine and boron concentrations in groundwater pumped from the MIU shafts to levels below the environmental standards. This is done at the MIU water treatment facility using coagulation and ion exchange treatment for fluorine and boron, respectively. In addition, in 2006, research started on the efficient treatment of groundwater for removal of fluorine and boron using a radiation-induced graft polymerization adsorbent. The adsorbent removed boron at a flow rate (space velocity (SV)=120 h -1 ) higher than that of a general ion exchange resin (SV=10 h -1 ) and the adsorbent could be used repeatedly. It was also apparent that the pH of groundwater had an influence on adsorption performance. With respect to fluorine removal, more than 90% of fluorine was removed. However, the adsorbent for fluorine showed a lower adsorption capacity than that for boron. The reason for this difference is considered to be related to the initial concentration difference between fluorine and boron in the groundwater. Therefore, it is necessary to define the initial concentrations of dissolved materials, which can be used as better indicators of the performance of the adsorbent. (author)

  6. Synthesis and biological activity of the novel indanedione anticoagulant rodenticides containing fluorine

    OpenAIRE

    Chen, Feng; Liu, Liping; Bai, Zengguo; Zhang, Tianhua; Zhao, Keke

    2016-01-01

    Here, 3 fluorinated intermediates of drug were synthesized: (M1), (M2), (M3). Three new anticoagulant rodenticides were designed which were based on 4-hydroxycoumarin or 1,3-indandione, added acute toxicity groups containing fluorine. The structures of synthesized compounds were analyzed and proved by FT-IR spectroscopy and 1H nuclear magnetic resonance (1H-NMR). The compounds were also evaluated for their anticoagulant and acute biologic activity. In addition, both the acute orally toxicity ...

  7. Nuclear energy - Determination of chlorine and fluorine in uranium dioxide powder and sintered pellets

    International Nuclear Information System (INIS)

    2008-01-01

    This International Standard describes a method for determining the chlorine and fluorine concentrations in uranium dioxide and in sintered fuel pellets by pyrohydrolysis of samples, followed either by liquid ion-exchange chromatography or by selective electrode measurement of chlorine and fluorine ions. Many ion-exchange chromatography systems and ion-selective electrode measurement systems are available

  8. Iodine and fluorine removal of the water using two synthetic adsorbents of great fixation capacity

    International Nuclear Information System (INIS)

    Neri G, M.; Badillo A, V. E.

    2012-10-01

    In this work is studied the affinity of two synthetic adsorbents of great fixation capacity, the alumina and the hydroxyapatite, as alternative for the removal of two halogens, iodine and fluorine of the water; the first of importance in the radioactive wastes management and the second of interest in public health. This study was carried out applying the technique of radioactive tracers, with 131 I and the radionuclide 18 F (it produced in the unit PET-cyclotron of the UNAM). The affinity of the synthetic adsorbents for the halogens is expressed in terms of the distribution coefficient and of the retention percent in function of the solution ph. The results obtained for the iodine and fluorine in the synthetic solids are markedly different; in the case of the iodine, the retention is worthless in the whole interval of studied ph while for the fluorine high distribution coefficient and fixation percentages are presented of until 100%. Also for the fluorine in hydroxyapatite high distribution coefficients and superiors are obtained in relation to those that are obtained in the alumina. In both solids the fluorine retention diminishes as the ph of the solution increases, what shows the competition with the hydroxyl ions for the active places in surface. (Author)

  9. Fluorination by fusion

    International Nuclear Information System (INIS)

    Gray, J.H.

    1986-01-01

    LECO crucibles and incinerator ash are two waste categories that cannot be discarded due to the presence of insoluble transuranics. Current chemical processing methods are not too effective, requiring a number of repeated operations in order to dissolve more than half the transuranics. An alternate dissolution approach has been developed involving the use of ammonium bifluoride. Low temperature fusion of the waste with ammonium bifluoride is followed by dissolution of the fused material in boiling nitric acid solutions. Greater than 60% of the transuranics contained in LECO crucibles and greater than 95% of the transuranics mixed with the incinerator ash are dissolved after a single fusion and dissolution step. Fluorination of the transuranics along with other impurities appears to render the waste material soluble in nitric acid

  10. Electrokinetic remediation of fluorine-contaminated soil and its impact on soil fertility.

    Science.gov (United States)

    Zhou, Ming; Wang, Hui; Zhu, Shufa; Liu, Yana; Xu, Jingming

    2015-11-01

    Compared to soil pollution by heavy metals and organic pollutants, soil pollution by fluorides is usually ignored in China. Actually, fluorine-contaminated soil has an unfavorable influence on human, animals, plants, and surrounding environment. This study reports on electrokinetic remediation of fluorine-contaminated soil and the effects of this remediation technology on soil fertility. Experimental results showed that electrokinetic remediation using NaOH as the anolyte was a considerable choice to eliminate fluorine in contaminated soils. Under the experimental conditions, the removal efficiency of fluorine by the electrokinetic remediation method was 70.35%. However, the electrokinetic remediation had a significant impact on the distribution and concentrations of soil native compounds. After the electrokinetic experiment, in the treated soil, the average value of available nitrogen was raised from 69.53 to 74.23 mg/kg, the average value of available phosphorus and potassium were reduced from 20.05 to 10.39 mg/kg and from 61.31 to 51.58 mg/kg, respectively. Meanwhile, the contents of soil available nitrogen and phosphorus in the anode regions were higher than those in the cathode regions, but the distribution of soil available potassium was just the opposite. In soil organic matter, there was no significant change. These experiment results suggested that some steps should be taken to offset the impacts, after electrokinetic treatment.

  11. EFFECT OF FLUORINE AND CHLORINE IONS ON THE REACTION SINTERING OF MECHANICALLY ACTIVATED ZIRCON-ALUMINA MIXTURE

    Directory of Open Access Journals (Sweden)

    R. Zamani Foroshani

    2015-09-01

    Full Text Available The aim of this work was to study the effect of fluorine and chlorine ions on the formation of mullite during the reaction sintering of mechanically activated zircon-alumina powder mixture. The results showed that mechanical activation of zirconalumina powder mixture for 20 h led to grain refinement and partial amorphization. In the presence of fluorine and chlorine ions, complete formation of mullite in the mechanically activated sample occurred after 2 h of reaction sintering at 1300oC and 1400oC, respectively. In the sample lacking fluorine and chlorine ions, mullitization was not completed even after 2 h of reaction sintering at 1400oC. It was concluded that presence of fluorine and chlorine ions enhance the dissociation of zircon and formation of mullite during the reaction sintering of mechanically activated zircon-alumina mixture.

  12. Contribution to the use of gasoincubators for influencing the plants with atmospherical fluorine

    Energy Technology Data Exchange (ETDEWEB)

    Navara, J; Hauskrecht, I; Matula, M

    1964-01-01

    In the work a method is described for observing the effects of atmospheric fluorine on plants. The construction of this chamber was completed by the dosing apparatus of Mavrodineanu, which has the advantage of uninterrupted operation, trouble-free service and adjustability of the attained concentrations. Fluorine-resistant plexiglas was chosen over dull polyethylene foils which diminish light conditions in the investigated space. 2 references, 1 figure, 1 table.

  13. FLUORINE IN THE SOLAR NEIGHBORHOOD: IS IT ALL PRODUCED IN ASYMPTOTIC GIANT BRANCH STARS?

    Energy Technology Data Exchange (ETDEWEB)

    Jönsson, H.; Ryde, N. [Lund Observatory, Department of Astronomy and Theoretical Physics, Lund University, Box 43, SE-221 00 Lund (Sweden); Harper, G. M. [School of Physics, Trinity College, Dublin 2 (Ireland); Richter, M. J. [Physics Department, University of California, Davis, CA 95616 (United States); Hinkle, K. H., E-mail: henrikj@astro.lu.se [National Optical Astronomy Observatory, P.O. Box 26732, Tucson, AZ 85726 (United States)

    2014-07-10

    The origin of ''cosmic'' fluorine is uncertain, but there are three proposed production sites/mechanisms for the origin: asymptotic giant branch (AGB) stars, ν nucleosynthesis in Type II supernovae, and/or the winds of Wolf-Rayet stars. The relative importance of these production sites has not been established even for the solar neighborhood, leading to uncertainties in stellar evolution models of these stars as well as uncertainties in the chemical evolution models of stellar populations. We determine the fluorine and oxygen abundances in seven bright, nearby giants with well determined stellar parameters. We use the 2.3 μm vibrational-rotational HF line and explore a pure rotational HF line at 12.2 μm. The latter has never been used before for an abundance analysis. To be able to do this, we have calculated a line list for pure rotational HF lines. We find that the abundances derived from the two diagnostics agree. Our derived abundances are well reproduced by chemical evolution models including only fluorine production in AGB stars and, therefore, we draw the conclusion that this might be the main production site of fluorine in the solar neighborhood. Furthermore, we highlight the advantages of using the 12 μm HF lines to determine the possible contribution of the ν process to the fluorine budget at low metallicities where the difference between models including and excluding this process is dramatic.

  14. FLUORINE IN THE SOLAR NEIGHBORHOOD: IS IT ALL PRODUCED IN ASYMPTOTIC GIANT BRANCH STARS?

    International Nuclear Information System (INIS)

    Jönsson, H.; Ryde, N.; Harper, G. M.; Richter, M. J.; Hinkle, K. H.

    2014-01-01

    The origin of ''cosmic'' fluorine is uncertain, but there are three proposed production sites/mechanisms for the origin: asymptotic giant branch (AGB) stars, ν nucleosynthesis in Type II supernovae, and/or the winds of Wolf-Rayet stars. The relative importance of these production sites has not been established even for the solar neighborhood, leading to uncertainties in stellar evolution models of these stars as well as uncertainties in the chemical evolution models of stellar populations. We determine the fluorine and oxygen abundances in seven bright, nearby giants with well determined stellar parameters. We use the 2.3 μm vibrational-rotational HF line and explore a pure rotational HF line at 12.2 μm. The latter has never been used before for an abundance analysis. To be able to do this, we have calculated a line list for pure rotational HF lines. We find that the abundances derived from the two diagnostics agree. Our derived abundances are well reproduced by chemical evolution models including only fluorine production in AGB stars and, therefore, we draw the conclusion that this might be the main production site of fluorine in the solar neighborhood. Furthermore, we highlight the advantages of using the 12 μm HF lines to determine the possible contribution of the ν process to the fluorine budget at low metallicities where the difference between models including and excluding this process is dramatic

  15. Modes of occurrence of fluorine in the Late Paleozoic No. 6 coal from the Haerwusu Surface Mine, Inner Mongolia, China

    Energy Technology Data Exchange (ETDEWEB)

    Xibo Wang; Shifeng Dai; Yingying Sun; Dan Li; Weiguo Zhang; Yong Zhang; Yangbing Luo [China University of Mining and Technology, Beijing (China). State Key Laboratory of Coal Resources and Safe Mining

    2011-01-15

    The No. 6 coal from the Haerwusu Surface Mine, Inner Mongolia, China, is enriched in Al and Ga, which are valuable metal resources that could be extracted from fly ash. However, fluorine in the coal is unusually high (mean 286 {mu}g/g) and potentially toxic to the environment in the extraction process. In this paper, a sequential extraction/density separation procedure (SE/DS) was designed to examine the modes of occurrence of fluorine in the coal. The results show that fluorine extracted in distilled water, NH{sub 4}Ac (1 mol/l), and HCl (0.5%) leachates is low, and that in sulfide fraction is below the detection limit. The organic and silicate associations are inferred to account for more than 90% of the total fluorine in the coal. Boehmite and kaolinite are prime carriers of fluorine (the fluorine content in silicate fraction of the boehmite-enriched sample H-14 is up to 1906 {mu}g/g, and that of the kaolinite-enriched sample H-29 is 384 {mu}g/g). In bench samples H-2 and H-3, a minor amount of fluorine is related to goyazite. The relationship between fluorine and boehmite indicates that they were probably derived from the sediment source region, the weathered bauxite of the uplifted Benxi formation. 29 refs., 7 figs., 3 tabs.

  16. Domino-Fluorination-Protodefluorination Enables Decarboxylative Cross-Coupling of α-Oxocarboxylic Acids with Styrene via Photoredox Catalysis.

    Science.gov (United States)

    Zhang, Muliang; Xi, Junwei; Ruzi, Rehanguli; Li, Nan; Wu, Zhongkai; Li, Weipeng; Zhu, Chengjian

    2017-09-15

    Domino-fluorination-protodefluorination decarboxylative cross-coupling of α-keto acids with styrene has been developed via photoredox catalysis. The critical part of this strategy is the formation of the carbon-fluorine (C-F) bond by the capture of a carbon-centered radical intermediate, which will overcome side reactions during the styrene radical functionalization process. Experimental studies have provided evidence indicating a domino-fluorination-protodefluorination pathway with α-keto acid initiating the photoredox cycle. The present catalytic protocol also affords a novel approach for the construction of α,β-unsaturated ketones under mild conditions.

  17. Air pollution by fluorine in Poland and its toxic effect on humans, animals and plants

    Energy Technology Data Exchange (ETDEWEB)

    Paluch, J.; Schalenkowa, I.

    1970-01-01

    The accumulation and distribution of fluorine compounds in plants and animals in the vicinity of emitters of fluorine are reported. The sensitivity and the differences in the accumulating capacity of various plants and animals are discussed. The significance of the problems for the human population is pointed out.

  18. Development and optimization of methods for the radiofluorination of aromatic compounds with specific, high fluorine-18 activity

    International Nuclear Information System (INIS)

    Franken, K.

    1987-06-01

    The positron emitter fluorine-18 (T 1/2 = 110 min) is an ideal radionuclide for analogue tracers in positron emission tomography (PET). In this study the production of the electrophilic species [ 18 F]-F 2 , [ 18 F]-CH 3 CO 2 F and to some extent [ 18 F]-XeF 2 has been optimized with respect to yield and specific activity. Selectivity and reactivity of these species have been studied in simple aromatic model compounds. Fluorine was produced via the 20 Ne(d,α) 18 F reaction. The effect of target material, dimensions, amount of carrier (F 2 ), pressure, beam current and irradiation time was studied. Reactivity of [ 18 F]-F 2 and [ 18 F]-CH 3 CO 2 F with respect to hydrogen subsitution was systematically studied in a series of benzene derivatives (C 6 H 5 X, X = CF 3 , I, Br, CL, F, H, CH 3 , OCH 3 , OH) in various solvents (CHCl 3 , CFCl 3 , CH 3 CN, CH 3 OH, CF 3 COOH). The radiochemical yield of 18 F-for-H-substitution in the aromatic ring increased with increasing acceptor number (AN) of the solvent. The electrophilic nature of both fluorination agents was confirmed by a Hammett plot. As expected, [ 18 F]-CH 3 CO 2 F showed a higher selectivity than [ 18 F]-F 2 . Direct radiofluorination with [ 18 F]-F 2 and [ 18 F]-CH 3 CO 2 F was successfully applied to the biomolecules phenylalanine, tyrosine and DOPA. As potential methods for no-carrier-added (n.c.a.) radiofluorination some less common dediazoniation reactions were also studied. (orig./RB) [de

  19. Fluoroalkyl Amino Reagents (FARs: A General Approach towards the Synthesis of Heterocyclic Compounds Bearing Emergent Fluorinated Substituents

    Directory of Open Access Journals (Sweden)

    Bruno Commare

    2017-06-01

    Full Text Available Fluorinated heterocycles are important building blocks in pharmaceutical, agrochemical and material sciences. Therefore, organofluorine chemistry has witnessed high interest in the development of efficient methods for the introduction of emergent fluorinated substituents (EFS onto heterocycles. In this context, fluoroalkyl amino reagents (FARs—a class of chemicals that was slightly forgotten over the last decades—has emerged again recently and proved to be a powerful tool for the introduction of various fluorinated groups onto (heteroaromatic derivatives.

  20. Fluoroalkyl Amino Reagents (FARs): A General Approach towards the Synthesis of Heterocyclic Compounds Bearing Emergent Fluorinated Substituents.

    Science.gov (United States)

    Commare, Bruno; Schmitt, Etienne; Aribi, Fallia; Panossian, Armen; Vors, Jean-Pierre; Pazenok, Sergiy; Leroux, Frédéric R

    2017-06-12

    Fluorinated heterocycles are important building blocks in pharmaceutical, agrochemical and material sciences. Therefore, organofluorine chemistry has witnessed high interest in the development of efficient methods for the introduction of emergent fluorinated substituents (EFS) onto heterocycles. In this context, fluoroalkyl amino reagents (FARs)-a class of chemicals that was slightly forgotten over the last decades-has emerged again recently and proved to be a powerful tool for the introduction of various fluorinated groups onto (hetero)aromatic derivatives.

  1. Atomic ordering of the fluorine dopant in the $HgBa_{2}CuO_{4+\\delta}high-T_{c}$ superconductor

    CERN Document Server

    Correia, João Guilherme; Araújo, João Pedro; Bordet, P; Haas, Heinz; Le Floc'h, S; Lopes, A M L; Rita, E; Carvalho-Soares, João

    2005-01-01

    Lattice sites and collective ordering of fluorine atoms in oxygen- reduced samples of HgBa/sub 2/CuO/sub 4/ were investigated with the perturbed angular correlation technique by measuring the electric field gradients induced at /sup 199m/Hg nuclei. The experimental data were interpreted with the help of ab initio calculations of charge distributions for different fluorine configurations in Hg/sub m/Ba /sub 2m/Cu/sub m/O/sub 4m/F/sub n/, supercells. Internal parameters were allowed to relax, to cancel residual atomic forces due to the dopant. The experimental results show clearly that fluorine occupies only the center of the mercury mesh. For a fluorine content delta F>~0.35 the best agreement with theoretical data is obtained under the assumption that fluorine shows a tendency toward ordering along interstitial rows parallel to a, b. In conformity with experimental data from diffraction techniques the calculations show elongations of the O(2)-Hg-O(2) dumbbell and barium shifts towards the fluorine atoms as ef...

  2. Stepwise fluorination - a useful approach for the isotopic analysis of hydrous minerals

    Energy Technology Data Exchange (ETDEWEB)

    Haimson, M; Knauth, L P [Arizona State Univ., Tempe (USA). Dept. of Geology

    1983-09-01

    Analytical uncertainties in oxygen isotopic studies of hydrous silica have been investigated using a partial fluorination procedure in which fractional oxygen yields are achieved by reducing the amount of fluorine. Stepwise reaction of opaline silica results in a set of sequential oxygen fractions which show a wide range of delta/sup 18/O values due to variable amounts of water, organic matter, and other impurities. Delta-values for successive fractions in non-biogenic opal systematically increase as water is reacted away and then remain constant to within +- 0.2 per thousand as the remaining silica reacts. Delta-values in biogenic silica increase similarly but then decrease when low /sup 18/O oxide impurities begin to react. The troublesome water component in opal is readily removed by stepwise fluorination. This technique allows more precise oxygen isotope analysis of non-biogenic opal-A, and may improve the analytical precision for biogenic silica and any silicate mineral containing a significant water component.

  3. Preparation and Characterization of Coating Solution Based on Waterborne Polyurethane Dispersion containing Fluorine for Primer on Electro Galvanized Steel Sheet

    International Nuclear Information System (INIS)

    Jin, Chung Keun; Lim, Sung Hyung

    2015-01-01

    The purpose of this research was to synthesize fluorine modified waterborne polyurethane dispersion (F-WPU) by soap-free (internal emulsifier) emulsion polymerization techniques, to prepare coating solution based on fluorine modified waterborne polyurethane dispersion (F-WPU) and to compare the chemical and thermo-mechanical properties on the electrogalvanized steel sheet. Environmentally friendly F-WPU was prepared with a fluorinated polyol containing 60 wt% of fluorine. There are various ways of combining a wide variety of fluorinated polyols and diisocyanate to exhibit novel properties of waterborne polyurethane dispersion. Components of coating solution were largely divided into 4 kinds i.e., F-WPU, acrylic emulsion, silane coupling agent, and colloidal silicate. F-WPU coating solution on the electro-galvanized steel sheet showed excellent properties of corrosion resistance, alkali resistance and heat resistance, as compared to other coating solutions using a general waterborne resin. The F-WPU coating solution's reliable effects are possibly due to the fluorine atoms incorporated even in a small amount of F-WPU

  4. Preparation and Characterization of Coating Solution Based on Waterborne Polyurethane Dispersion containing Fluorine for Primer on Electro Galvanized Steel Sheet

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Chung Keun; Lim, Sung Hyung [Buhmwoo Institute of Technology Research, Hwaseong (Korea, Republic of)

    2015-10-15

    The purpose of this research was to synthesize fluorine modified waterborne polyurethane dispersion (F-WPU) by soap-free (internal emulsifier) emulsion polymerization techniques, to prepare coating solution based on fluorine modified waterborne polyurethane dispersion (F-WPU) and to compare the chemical and thermo-mechanical properties on the electrogalvanized steel sheet. Environmentally friendly F-WPU was prepared with a fluorinated polyol containing 60 wt% of fluorine. There are various ways of combining a wide variety of fluorinated polyols and diisocyanate to exhibit novel properties of waterborne polyurethane dispersion. Components of coating solution were largely divided into 4 kinds i.e., F-WPU, acrylic emulsion, silane coupling agent, and colloidal silicate. F-WPU coating solution on the electro-galvanized steel sheet showed excellent properties of corrosion resistance, alkali resistance and heat resistance, as compared to other coating solutions using a general waterborne resin. The F-WPU coating solution's reliable effects are possibly due to the fluorine atoms incorporated even in a small amount of F-WPU.

  5. Sarcolemmal cardiac K(ATP) channels as a target for the cardioprotective effects of the fluorine-containing pinacidil analogue, flocalin.

    Science.gov (United States)

    Voitychuk, Oleg I; Strutynskyi, Ruslan B; Yagupolskii, Lev M; Tinker, Andrew; Moibenko, Olexiy O; Shuba, Yaroslav M

    2011-02-01

    A class of drugs known as K(ATP) -channel openers induce cardioprotection. This study examined the effects of the novel K(ATP) -channel opener, the fluorine-containing pinacidil derivative, flocalin, on cardiac-specific K(ATP) -channels, excitability of native cardiac myocytes and on the ischaemic heart. The action of flocalin was investigated on: (i) membrane currents through cardiac-specific K(ATP) -channels (I(KATP) ) formed by K(IR) 6.2/SUR2A heterologously expressed in HEK-293 cells (HEK-293(₆.₂/₂A) ); (ii) excitability and intracellular Ca²(+) ([Ca²(+) ](i) ) transients of cultured rat neonatal cardiac myocytes; and (iii) functional and ultrastructural characteristics of isolated guinea-pig hearts subjected to ischaemia-reperfusion. Flocalin concentration-dependently activated a glibenclamide-sensitive I(KATP) in HEK-293(₆.₂/₂A) cells with an EC₅₀= 8.1 ± 0.4 µM. In cardiac myocytes, flocalin (5 µM) hyperpolarized resting potential by 3-5 mV, markedly shortened action potential duration, reduced the amplitude of [Ca²(+) ](i) transients by 2-3-fold and suppressed contraction. The magnitude and extent of reversibility of these effects depended on the type of cardiac myocytes. In isolated hearts, perfusion with 5 µmol·L⁻¹ flocalin, before inducing ischaemia, facilitated restoration of contraction during reperfusion, decreased the number of extrasystoles, prevented the appearance of coronary vasoconstriction and reduced damage to the cardiac tissue at the ultrastructural level (state of myofibrils, membrane integrity, mitochondrial cristae structure). Flocalin induced potent cardioprotection by activating cardiac-type K(ATP) -channels with all the benefits of the presence of fluorine group in the drug structure: higher lipophilicity, decreased toxicity, resistance to oxidation and thermal degradation, decreased metabolism in the organism and prolonged therapeutic action. © 2011 The Authors. British Journal of Pharmacology © 2011 The

  6. Fluorine-18 labelling of a novel series of chimeric, mdm2 oncogene targeting, peptide-pna oligomers using [18F]FPyME

    International Nuclear Information System (INIS)

    Kuhnast, B.; Hinnen, F.; Boisgard, R.; Tavitian, B.; Dolle, F.; Nielsen, P.

    2011-01-01

    Complete text of publication follows: Peptide nucleic acids (PNAs) form a unique class of synthetic macromolecules, originally designed as ligands for the recognition of double stranded DNA, where the deoxyribose phosphate backbone of original DNA is replaced by a pseudo-peptide N-(2-aminoethyl)glycyl backbone, while retaining the nucleobases of DNA. PNAs have already showed promising therapeutic potential as antisense and anti-gene agents and are inspiring the development of a variety of research and diagnostic assays, including their use as imaging tools. Within our intensive programs of development of oligonucleotide-based probes for PET-imaging, a novel series of chimeric peptide-PNA oligomers has been designed as complementary antisense probes targeting a specific 15-base sequence located at the intron-exon junction of the pre-mRNA of the murine double minute (mdm2) oncogene. This gene codes for a p53 interacting protein that represses p53 transcriptional activity, and appears to be over expressed in several tumor types including soft tissue sarcomas and osteosarcomas as well as breast tumors. For in vivo 3D-imaging purposes, all oligomers include a cysteine thus providing a sulfhydryl function permitting prosthetic conjugation with maleimide-based reagents such as AlexaFluor680 R (AF680) for optical fluorescence imaging and [ 18 F]FPyME (1-[3-(2-[ 18 F]fluoropyridin-3-yloxy)propyl]pyrrole-2, 5-dione), a prosthetic reagent labeled with the positron-emitter fluorine-18 for PET imaging, which latter work is presented herein. Methods: [ 18 F]FPyME was prepared using a three-step radiochemical pathway already reported and includes an HPLC-purification (semi-preparative SiO 2 Zorbax R Rx-SIL, Hewlett Packard). [ 18 F]FPyME was conjugated with the peptide-PNA oligomers (PNA3132, PNA3133, and PNA3135, 0.25-0.30 micro-moles) in 1/9 (v:v) mixture (1 mL) of DMSO and 0.1 M aq. PBS (pH 8) at room temperature for 15 min. The [ 18 F]FPyME-conjugated products (c-[ 18 F

  7. Method for the detection and isolation of traces of organic fluorine compounds in plants

    Energy Technology Data Exchange (ETDEWEB)

    Wade, R H; Ross, J M; Benedict, H M

    1964-01-01

    A method for the detection and isolation of sub-microgram quantities of organic fluorine compounds from plant materials in the presence of much larger amounts of inorganic fluoride is presented. The procedure consists first of a rapid screening step for use with large numbers of vegetable samples and extracts and, second, of a chromatographic step to isolate and characterize any fluoro-organics found. These methods are developed in light of specific chemical characteristics of organic fluorine compounds as a general class. A modification of SOEP's quantitative sub-micro fluoride analytical method is presented as applicable to these isolation methods. Microgram quantities of organic fluorine compounds were found in the plant materials investigated but at a level too low for isolation and identification.

  8. Fluorinated graphene films with graphene quantum dots for electronic applications

    Energy Technology Data Exchange (ETDEWEB)

    Antonova, I. V., E-mail: antonova@isp.nsc.ru [Rzhanov Institute of Semiconductor Physics, Russian Academy of Sciences, Siberian Branch, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Nebogatikova, N. A.; Prinz, V. Ya. [Rzhanov Institute of Semiconductor Physics, Russian Academy of Sciences, Siberian Branch, Novosibirsk 630090 (Russian Federation)

    2016-06-14

    This work analyzes carrier transport, the relaxation of non-equilibrium charge, and the electronic structure of fluorinated graphene (FG) films with graphene quantum dots (GQDs). The FG films with GQDs were fabricated by means of chemical functionalization in an aqueous solution of hydrofluoric acid. High fluctuations of potential relief inside the FG barriers have been detected in the range of up to 200 mV. A phenomenological expression that describes the dependence of the time of non-equilibrium charge emission from GQDs on quantum confinement levels and film thickness (potential barrier parameters between GQDs) is suggested. An increase in the degree of functionalization leads to a decrease in GQD size, the removal of the GQD effect on carrier transport, and the relaxation of non-equilibrium charge. The study of the electronic properties of FG films with GQDs has revealed a unipolar resistive switching effect in the films with a relatively high degree of fluorination and a high current modulation (up to ON/OFF ∼ 10{sup 4}–10{sup 5}) in transistor-like structures with a lower degree of fluorination. 2D films with GQDs are believed to have considerable potential for various electronic applications (nonvolatile memory, 2D connections with optical control and logic elements).

  9. Catalytic stereoselective synthesis of highly substituted indanones via tandem Nazarov cyclization and electrophilic fluorination trapping.

    Science.gov (United States)

    Nie, Jing; Zhu, Hong-Wei; Cui, Han-Feng; Hua, Ming-Qing; Ma, Jun-An

    2007-08-02

    A new catalytic stereoselective tandem transformation via Nazarov cyclization/electrophilic fluorination has been accomplished. This sequence is efficiently catalyzed by a Cu(II) complex to afford fluorine-containing 1-indanone derivatives with two new stereocenters with high diastereoselectivity (trans/cis up to 49/1). Three examples of catalytic enantioselective tandem transformation are presented.

  10. Fluorine concentrations of ore fluids in the Illinois-Kentucky district: Evidence from SEM-EDS analysis of fluid inclusion decrepitates

    Science.gov (United States)

    Kenderes, Stuart M.; Appold, Martin S.

    2017-08-01

    The Illinois-Kentucky district is an atypical occurrence of Mississippi Valley-type (MVT) mineralization that consists predominantly of fluorite rather than metal sulfide minerals. A long-standing assumption for the predominance of fluorite in the Illinois-Kentucky district is that the ore fluids there were anomalously rich in dissolved fluorine compared to typical sedimentary brines and other MVT ore fluids. This hypothesis is based on the unusual close temporal and spatial association of fluorine-rich ultramafic igneous rocks to MVT mineralization in the district, high K and Sr concentrations in the igneous rocks and in MVT ore-hosted fluid inclusions, a significant mantle 3He/4He component in ore-hosted fluid inclusions, and reaction path models that show titration of a HF-rich fluid into sedimentary brine is capable of producing a fluorite-dominated MVT ore mineral assemblage. The purpose of the present study was to test this hypothesis more directly by determining the fluorine concentration of the Illinois-Kentucky ore fluid through SEM-EDS analysis of evaporative solute mounds resulting from thermal decrepitation of fluid inclusions hosted in sphalerite. All 26 evaporative solute mounds from Illinois-Kentucky sphalerite samples analyzed contained detectable concentrations of fluorine of 1-4 weight percent. Based on calibration to standard solutions and previously published fluid inclusion major element concentrations, these solute mound fluorine concentrations correspond to fluid inclusion fluorine concentrations of about 680-4300 ppm, indicating that the Illinois-Kentucky ore fluids were quite rich in fluorine compared to typical sedimentary brines, which have fluorine concentrations mainly on the order of 1's to 10's of ppm. In contrast, solute mounds from sphalerite-hosted fluid inclusions from the Tri-State district did not contain fluorine in excess of the detection limit. The detection limit equates to an aqueous fluorine concentration between 87 and

  11. Separation of carrier-free 181Re produced in 16O-irradiated thulium target

    International Nuclear Information System (INIS)

    Lahiri, Susanta; Mukhopadhyay, Krishnendu; Banerjee, Kakoli; Ramaswami, A.; Manohar, S.B.

    2001-01-01

    Heavy ion activation of natural Tm 2 O 3 with 90 MeV 16 O beam results in the formation of carrier-free short-lived 181 Ir and 181 Os which ultimately decay out to 181 Re in the matrix. The liquid cation exchanger, HDEHP, has effectively been utilized as an extractant for quantitative separation of bulk thulium target matrix from carrier-free rhenium radionuclide

  12. Partially fluorinated arylene polyethers and their ternary blend membranes with PBI and H3PO4

    DEFF Research Database (Denmark)

    Kerres, J.; Schonberger, F.; Chromik, A

    2008-01-01

    A partially fluorinated polyether ionomer from polycondensation of decafluorobiphenyl with 2,2-bis(4-hydroxyphenyl)-hexafluoropropane, followed by sulphonation with H2SO4 (60% SO3), has been prepared and optimised in terms of molecular weight and sulphonation degree. The partially fluorinated ion...

  13. Metal-Free Catalytic Asymmetric Fluorination of Keto Esters Using a Combination of Hydrogen Fluoride (HF) and Oxidant: Experiment and Computation

    KAUST Repository

    Pluta, Roman

    2018-02-09

    A chiral iodoarene organocatalyst for the catalytic asymmetric fluorination has been developed. The catalyst was used in the asymmetric fluorination of carbonyl compounds, providing the products with a quaternary stereocenter with high enantioselectivities. Chiral hypervalent iodine difluoride intermediates were generated in situ by treatment of the catalyst with an oxidant and hydrogen fluoride as fluoride source. As such, the α-fluorination of a carbonyl compound was achieved with a nucleophilic fluorine source. A combined computational and experimental approach provided insight into the reaction mechanism and the origin of enantioselectivity.

  14. Metal-Free Catalytic Asymmetric Fluorination of Keto Esters Using a Combination of Hydrogen Fluoride (HF) and Oxidant: Experiment and Computation

    KAUST Repository

    Pluta, Roman; Krach, Patricia E.; Cavallo, Luigi; Falivene, Laura; Rueping, Magnus

    2018-01-01

    A chiral iodoarene organocatalyst for the catalytic asymmetric fluorination has been developed. The catalyst was used in the asymmetric fluorination of carbonyl compounds, providing the products with a quaternary stereocenter with high enantioselectivities. Chiral hypervalent iodine difluoride intermediates were generated in situ by treatment of the catalyst with an oxidant and hydrogen fluoride as fluoride source. As such, the α-fluorination of a carbonyl compound was achieved with a nucleophilic fluorine source. A combined computational and experimental approach provided insight into the reaction mechanism and the origin of enantioselectivity.

  15. The influences of fluorine and process variations on polysilicon film stress and MOSFET hot carrier effects

    Science.gov (United States)

    Lowry, Lynn E.; Macwilliams, Kenneth P.; Isaac, Mary

    1991-01-01

    The use of fluorinated gate oxides may provide an improvement in nMOSFET reliability by enhancing hot carrier resistance. In order to clarify the mechanisms by which polysilicon processing and fluorination influence the oxide behavior, a matrix of nMOSFET structures was prepared using various processing, doping, and implantation strategies. These structures were evaluated for crystalline morphology and chemical element distribution. Mechanical stress measurements were taken on the polysilicon films from room temperature to cryogenic temperature. These examinations showed that fluorination of a structure with randomly oriented polysilicon can reduce residual mechanical stress and improve hot carrier resistance at room temperature.

  16. Electronic stopping powers for fluorine ions in 19F+-implanted AgGaS2 crystal

    International Nuclear Information System (INIS)

    Liu Xiangdong; Xia Yueyuan; Lu Qingming; Li Feng; Huang Boda

    2004-01-01

    Electronic stopping powers for 80-350 keV 19 F ions in AgGaS 2 were obtained by range measurement. Depth profiles of 19 F in AgGaS 2 were measured by using the 19 F(p,αγ) 16 O resonant nuclear reaction at E R =872.1 keV. A proper convolution calculation method was used to extract the true distribution of fluorine from the experimental excitation yield curves. The electronic stopping powers were derived through fitting the projected range distributions, simulated by using the TRIM/XLL code, to the experimentally measured range distributions. The electronic stopping cross sections were compared with those obtained from Monte Carlo simulation codes

  17. Determination of fluorine concentrations using wavelength dispersive X-ray fluorescence (WDXRF) spectrometry to analyze fluoride precipitates.

    Science.gov (United States)

    Lee, H. A.; Lee, J.; Kwon, E.; Kim, D.; Yoon, H. O.

    2015-12-01

    In recent times, fluorine has been receiving increasing attention due to the possibility for chemical (HF) leakage accidents and its high toxicity to human and environment. In this respect, a novel approach for the determination of fluorine concentrations in water samples using wavelength dispersive X-ray fluorescence (WDXRF) spectrometry was investigated in this study. The main disadvantage of WDXRF technique for fluorine analysis is low analytical sensitivity for light elements with atomic number (Z) less than 15. To overcome this problem, we employed the precipitation reaction which fluoride is reacted with cation such as Al3+ and/or Ca2+ prior to WDXRF analysis because of their high analytical sensitivity. The cation was added in fluoride solutions to form precipitate (AlF3 and/or CaF2) and then the solution was filtered through Whatman filter. After drying at 60 °C for 5 min, the filter was coated with X-ray film and directly analyzed using WDXRF spectrometry. Consequently, we analyzed the cation on filter and subsequently fluorine concentration was calculated inversely based on chemical form of precipitate. This method can improve the analytical sensitivity of WDXRF technique for fluorine analysis and be applicable to various elements that can make precipitate.

  18. Rapid determination of fluorine in coral skeletons by non-destructive neutron activation analysis using 20F

    International Nuclear Information System (INIS)

    Ramos, A.A.; Ohde, S.; Sirirattanachai, S.; Snidvongs, A.

    2003-01-01

    A rapid non-destructive technique has been proposed for the determination of fluorine in coral skeletons by thermal neutron activation analysis, using the short half-life 20 F nuclide (11.0 s). About 0.2-0.5 g samples were irradiated for 10 seconds in a Triga Mark II Reactor. Soon after the irradiation (25-35 s), measurements of γ-rays were performed with each sample and standard. The method has the drawback of low sensitivity (∼20 ppm of F), and the manual operation employed in the cooling step could lead to less precise measurements. Fluorine in coral standards was determined within ∼8% of analytical precision. The result obtained for the dolomite standard was fairly consistent with literature values, but those for the limestone standard showed to be considerably higher than the reported values. The present method was applied for the determination of fluorine in modern corals from Khang Khao Island, Thailand and Okinawa, Japan. Two core samples of an ancient reef from Funafuti Atoll were measured for fluorine to compare with modern samples. In order to understand the environmental media in which coral grew, the partition of fluorine between seawater and coral skeletons is also discussed. (author)

  19. Resolving intramolecular-distortion changes induced by the partial fluorination of pentacene adsorbed on Cu(111)

    Science.gov (United States)

    Franco-Cañellas, Antoni; Wang, Qi; Broch, Katharina; Shen, Bin; Gerlach, Alexander; Bettinger, Holger F.; Duhm, Steffen; Schreiber, Frank

    2018-04-01

    We experimentally quantify the molecular bending of a partially fluorinated pentacene (PEN) compound, namely 2,3,9,10-tetrafluoropentacene (F4PEN), adsorbed on Cu(111). By means of the x-ray standing wave (XSW) technique, we directly measure the adsorption distance of three inequivalent carbon sites, the fluorine atoms as well as the total and backbone carbon average adsorption distances. The precise positioning of different sites within the carbon core allows us to resolve two adsorption behaviors, namely a PEN-like strong coupling between the backbone and the substrate, and a repulsive interaction involving the fluorinated short molecular edges, which are 0.91 ±0.09 Å above the central benzene ring. This finding is further supported by additional electronic and in-plane-structure measurements, thus showing that the selective fluorination of a PEN molecule has only a local conformational effect and it is not sufficient to modify its interface properties. Yet, in the multilayer regime, the electronic and growth properties of the film differ completely from those of PEN and its perfluorinated derivative.

  20. Effect of nanodiamond fluorination on the efficiency of quasispecular reflection of cold neutrons

    Science.gov (United States)

    Nesvizhevsky, V. V.; Dubois, M.; Gutfreund, Ph.; Lychagin, E. V.; Nezvanov, A. Yu.; Zhernenkov, K. N.

    2018-02-01

    Nanomaterials, which show large reflectivity for external radiation, are of general interest in science and technology. We report a result from our ongoing research on the reflection of low-energy neutrons from powders of detonation diamond nanoparticles. Our previous work showed a large probability for quasispecular reflection of neutrons from this medium. The model of neutron scattering from nanoparticles, which we have developed, suggests two ways to increase the quasispecular reflection probability: (1) the reduction of incoherent scattering by substitution of hydrogen with fluorine inside the nanoparticles, and (2) the sharpening of the neutron optical potential step by removal of amorphous s p2 carbon from the nanoparticle shells. We present experimental results on scattering of slow neutrons from both raw and fluorinated diamond nanoparticles with amorphous s p2 carbon removed by gas-solid fluorination. These results show a clear increase in quasispecular reflection probability.

  1. Synthesis of fluorine-18 radio-labeled serum albumins for PET blood pool imaging

    International Nuclear Information System (INIS)

    Basuli, Falguni; Li, Changhui; Xu, Biying; Williams, Mark; Wong, Karen; Coble, Vincent L.; Vasalatiy, Olga; Seidel, Jurgen; Green, Michael V.; Griffiths, Gary L.; Choyke, Peter L.; Jagoda, Elaine M.

    2015-01-01

    We sought to develop a practical, reproducible and clinically translatable method of radiolabeling serum albumins with fluorine-18 for use as a PET blood pool imaging agent in animals and man. Fluorine-18 radiolabeled fluoronicotinic acid-2,3,5,6-tetrafluorophenyl ester, [ 18 F]F-Py-TFP was prepared first by the reaction of its quaternary ammonium triflate precursor with [ 18 F]tetrabutylammonium fluoride ([ 18 F]TBAF) according to a previously published method for peptides, with minor modifications. The incubation of [ 18 F]F-Py-TFP with rat serum albumin (RSA) in phosphate buffer (pH 9) for 15 min at 37–40 °C produced fluorine-18-radiolabeled RSA and the product was purified using a mini-PD MiniTrap G-25 column. The overall radiochemical yield of the reaction was 18–35% (n = 30, uncorrected) in a 90-min synthesis. This procedure, repeated with human serum albumin (HSA), yielded similar results. Fluorine-18-radiolabeled RSA demonstrated prolonged blood retention (biological half-life of 4.8 hours) in healthy awake rats. The distribution of major organ radioactivity remained relatively unchanged during the 4 hour observation periods either by direct tissue counting or by dynamic PET whole-body imaging except for a gradual accumulation of labeled metabolic products in the bladder. This manual method for synthesizing radiolabeled serum albumins uses fluorine-18, a widely available PET radionuclide, and natural protein available in both pure and recombinant forms which could be scaled up for widespread clinical applications. These preclinical biodistribution and PET imaging results indicate that [ 18 F]RSA is an effective blood pool imaging agent in rats and might, as [ 18 F]HSA, prove similarly useful as a clinical imaging agent

  2. Synthesis of fluorine-18 radio-labeled serum albumins for PET blood pool imaging.

    Science.gov (United States)

    Basuli, Falguni; Li, Changhui; Xu, Biying; Williams, Mark; Wong, Karen; Coble, Vincent L; Vasalatiy, Olga; Seidel, Jurgen; Green, Michael V; Griffiths, Gary L; Choyke, Peter L; Jagoda, Elaine M

    2015-03-01

    We sought to develop a practical, reproducible and clinically translatable method of radiolabeling serum albumins with fluorine-18 for use as a PET blood pool imaging agent in animals and man. Fluorine-18 radiolabeled fluoronicotinic acid-2,3,5,6-tetrafluorophenyl ester, [(18)F]F-Py-TFP was prepared first by the reaction of its quaternary ammonium triflate precursor with [(18)F]tetrabutylammonium fluoride ([(18)F]TBAF) according to a previously published method for peptides, with minor modifications. The incubation of [(18)F]F-Py-TFP with rat serum albumin (RSA) in phosphate buffer (pH9) for 15 min at 37-40 °C produced fluorine-18-radiolabeled RSA and the product was purified using a mini-PD MiniTrap G-25 column. The overall radiochemical yield of the reaction was 18-35% (n=30, uncorrected) in a 90-min synthesis. This procedure, repeated with human serum albumin (HSA), yielded similar results. Fluorine-18-radiolabeled RSA demonstrated prolonged blood retention (biological half-life of 4.8 hours) in healthy awake rats. The distribution of major organ radioactivity remained relatively unchanged during the 4 hour observation periods either by direct tissue counting or by dynamic PET whole-body imaging except for a gradual accumulation of labeled metabolic products in the bladder. This manual method for synthesizing radiolabeled serum albumins uses fluorine-18, a widely available PET radionuclide, and natural protein available in both pure and recombinant forms which could be scaled up for widespread clinical applications. These preclinical biodistribution and PET imaging results indicate that [(18)F]RSA is an effective blood pool imaging agent in rats and might, as [(18)F]HSA, prove similarly useful as a clinical imaging agent. Published by Elsevier Inc.

  3. Bibliography about silicon non-organic fluorine compounds

    International Nuclear Information System (INIS)

    Carles, M.

    1963-01-01

    This bibliography is made from Professor I.G. Ryss' book published in Moscow in 1956, translated in English under the title 'The chemistry of fluorine and its inorganic compounds' (Translation series. AEC tr 3927, Pt 1 and 2), and completed with the data found in the 'Chemical Abstracts' of the years 1946 to 1962 [fr

  4. Synthesis and characterization of fluorinated polyacrylate latex emulsified with novel surfactants.

    Science.gov (United States)

    Zhang, Cuifeng; Xu, Tingting; Bao, Zhongbin; Chen, Lijun

    2017-01-01

    The fluorinated polyacrylate latex were successfully prepared with semi- continuous seeded emulsion polymerization of butyl acrylate (BA), methyl methacrylate (MMA) and hexafluorobutyl methacrylate (HFMA) which was initiated with potassium persulfate (KPS) initiator and emulsified with the novel mixed surfactants of sodium lauryl glutamate (SLG) and alkylphenol ethoxylates (OP-10). The structure of the resultant latex was confirmed by Fourier transform infrared spectroscopy (FTIR). The particle size of the latex was measured by Zetatrac dynamic light scattering detector. The film of latex was tested by differential scanning calorimetry (DSC), thermogravimetric analysis (TGA) and contact angle (CA). The optimum conditions of preparing the novel fluorinated polyacrylate latex are optimized and the results are as follows: the amount of emulsifiers is 4.0%; mass ratio of SLG to OP-10 is 1:1, the amount of the initiator is 0.6%. The mass ratio of MMA to BA is 1:1 and the amount of HFMA is 7.0%. In this case, the conversion is high and the polymerization stability is good. In addition, the water resistance and thermal properties of the latex films were improved significantly in comparison with the film of the latex prepared without the fluorinated monomer.

  5. Examination of fluorination effect on physical properties of saturated long-chain alcohols by DSC and Langmuir monolayer.

    Science.gov (United States)

    Nakahara, Hiromichi; Nakamura, Shohei; Okahashi, Yoshinori; Kitaguchi, Daisuke; Kawabata, Noritake; Sakamoto, Seiichi; Shibata, Osamu

    2013-02-01

    Partially fluorinated long-chain alcohols have been newly synthesized from a radical reaction, which is followed by a reductive reaction. The fluorinated alcohols have been investigated by differential scanning calorimetry (DSC) and compression isotherms in a Langmuir monolayer state. Their melting points increase with an increase in chain length due to elongation of methylene groups. However, the melting points for the alcohols containing shorter fluorinated moieties are lower than those for the typical hydrogenated fatty alcohols. Using the Langmuir monolayer technique, surface pressure (π)-molecular area (A) and surface potential (ΔV)-A isotherms of monolayers of the fluorinated alcohols have been measured in the temperature range from 281.2 to 303.2K. In addition, a compressibility modulus (Cs(-1)) is calculated from the π-A isotherms. Four kinds of the alcohol monolayers show a phase transition (π(eq)) from a disordered to an ordered state upon lateral compression. The π(eq) values increase linearly with increasing temperatures. A slope of π(eq) against temperature for the alcohols with shorter fluorocarbons is unexpectedly larger than that for the corresponding fatty alcohols. Generally, fluorinated amphiphiles have a greater thermal stability (or resistance), which is a characteristic of highly fluorinated or perfluorinated compounds. Herein, however, the alcohols containing perfluorobutylated and perfluorohexylated chains show the irregular thermal behavior in both the solid and monolayer states. Copyright © 2012 Elsevier B.V. All rights reserved.

  6. Identification of pathogenic Nocardia species by reverse line blot hybridization targeting the 16S rRNA and 16S-23S rRNA gene spacer regions.

    Science.gov (United States)

    Xiao, Meng; Kong, Fanrong; Sorrell, Tania C; Cao, Yongyan; Lee, Ok Cha; Liu, Ying; Sintchenko, Vitali; Chen, Sharon C A

    2010-02-01

    Although 16S rRNA gene sequence analysis is employed most often for the definitive identification of Nocardia species, alternate molecular methods and polymorphisms in other gene targets have also enabled species determinations. We evaluated a combined Nocardia PCR-based reverse line blot (RLB) hybridization assay based on 16S and 16S-23S rRNA gene spacer region polymorphisms to identify 12 American Type Culture Collection and 123 clinical Nocardia isolates representing 14 species; results were compared with results from 16S rRNA gene sequencing. Thirteen 16S rRNA gene-based (two group-specific and 11 species-specific) and five 16S-23S spacer-targeted (two taxon-specific and three species-specific) probes were utilized. 16S rRNA gene-based probes correctly identified 124 of 135 isolates (sensitivity, 92%) but were unable to identify Nocardia paucivorans strains (n = 10 strains) and a Nocardia asteroides isolate with a novel 16S rRNA gene sequence. Nocardia farcinica and Nocardia cyriacigeorgica strains were identified by the sequential use of an N. farcinica-"negative" probe and a combined N. farcinica/N. cyriacigeorgica probe. The assay specificity was high (99%) except for weak cross-reactivity between the Nocardia brasiliensis probe with the Nocardia thailandica DNA product; however, cross-hybridization with closely related nontarget species may occur. The incorporation of 16S-23S rRNA gene spacer-based probes enabled the identification of all N. paucivorans strains. The overall sensitivity using both probe sets was >99%. Both N. farcinica-specific 16S-23S rRNA gene spacer-directed probes were required to identify all N. farcinica stains by using this probe set. The study demonstrates the utility of a combined PCR/RLB assay for the identification of clinically relevant Nocardia species and its potential for studying subtypes of N. farcinica. Where species assignment is ambiguous or not possible, 16S rRNA gene sequencing is recommended.

  7. Study of mass and momentum transfer and their effect on the direct fluorination of uranium oxide

    International Nuclear Information System (INIS)

    Cross, P.E.

    1983-01-01

    The mechanism for the fluorination of solid U 3 O 8 to gaseous UF 6 was found to be a two-step process with solid UO 2 F 2 as an intermediate. The highest particle temperatures were found to be associated with the initial reaction step to UO 2 F 2 ; it was recommended that these temperatures be maintained below 1700 0 F. The chemical equilibrium constant for the fluorination of PuF 4 to PuF 6 was found to be unexpectedly low at typical flame tower temperatures. Although not confirmed, there is an indication in the literature that a similar equilibrium constant is associated with the fluorination of NpF 4 and other transuranic molecules. It was recommended that uranium oxides which are significantly contaminated with transuranics should not be processed through a direct fluorination reactor such as the UF 6 flame tower. Reaction rate equations were developed for the fluorination of U 3 O 8 , UF 4 , PuF 4 and NpF 4 . During the course of the development, a significant discrepancy was found in the literature for the activation energy of the fluorination of U 3 O 8 . Equations were developed for both a high and low limit rate constant for the fluorination of U 3 O 8 . A variey of momentum, heat and mass transfer equations were developed for both oxide particles and the gas phase within the flame tower. Equations were developed to estimate the physical and transport properties of each gaseous component and the gas mixture as a whole. These properties and the transport equations were used to estimate the reaction time and distance for oxide particles with both the low and high limit reaction rate constant. The procedures used to perform these calculations is limited to constant temperature and an oxide feed comprised of a single particle size. The results indicate that above 1000 0 F the mass transfer of reactants and products becomes increasingly important to the overall rate of the reaction

  8. Design and Synthesis of Novel Fluorine-containing Acrylates

    Institute of Scientific and Technical Information of China (English)

    2005-01-01

    A series of novel fluorine-containing acrylates 6a-6g were synthesized via the condensation of ethyl cyanoacetate and trifluoroacetic anhydride, followed by chloridization and the coupling reaction with amines. These new compounds exhibited some biological activity as preliminary bioassay indicated. A plausible reaction mechanism was outlined and discussed.

  9. Atmospheric Gas-Phase Reactions of Fluorinated Compounds and Alkenes

    DEFF Research Database (Denmark)

    Østerstrøm, Freja From

    Experimental studies have been performed using three different smog chamber setups to investigate the atmospheric chemistry of fluorinated compounds as well as alkenes. The three instruments were at Ford Motor Company, USA, National Center for Atmospheric Research, USA, and Copenhagen Center...

  10. Recent advances in fluorination techniques and their anticipated impact on drug metabolism and toxicity

    OpenAIRE

    Murphy, Cormac D.; Sandford, Graham

    2015-01-01

    Introduction: Fluorine’s unique physicochemical properties make it a key element for incorporation into pharmacologically active compounds. Its presence in a drug can alter a number of characteristics that affect ADME-Tox, which has prompted efforts at improving synthetic fluorination procedures. Areas covered: This review describes the influence of fluorine on attributes such as potency, lipophilicity, metabolic stability and bioavailablility and how the effects observed are related to the p...

  11. Fluorinated copper-phthalocyanine-based n-type organic field-effect transistors with a polycarbonate gate insulator

    International Nuclear Information System (INIS)

    Sethuraman, Kunjithapatham; Kumar, Palanisamy; Santhakumar, Kannappan; Ochiai, Shizuyasu; Shin, Paikkyun

    2012-01-01

    Fluorinated copper-phthalocyanine (F 16 CuPc) thin films were prepared by using a vacuum evaporation technique and were applied to n-type organic field-effect transistors (OFETs) as active channel layers combined with a spin-coated polycarbonate thin-film gate insulator. The output characteristics of the resulting n-type OFET devices with bottom-gate/bottom-contact structures were investigated to evaluate the performances such as the field effect mobility (μ FE ), the on/off current ratio (I on/off ), and the threshold voltage (V th ). A relatively high field effect mobility of 6.0 x 10 -3 cm 2 /Vs was obtained for the n-type semiconductor under atmospheric conditions with an on/off current ratio of 1 x 10 4 and a threshold voltage of 5 V. The electron mobility of the n-type semiconductor was found to depend strongly on the growth temperature of the F 16 CuPc thin films. X-ray diffraction profiles showed that the crystallinity and the orientation of the F 16 CuPc on a polycarbonate thin film were enhanced with increasing growth temperature. Atomic force microscopy studies revealed various surface morphologies of the active layer. The field effect mobility of the F 16 CuPc-OFET was closely related to the crystallinity and the orientation of the F 16 CuPc thin film.

  12. Highly sensitive and selective determination of fluorine ion by graphene oxide/nanogold resonance Rayleigh scattering-energy transfer analytical platform.

    Science.gov (United States)

    Liang, Aihui; Peng, Jing; Liu, Qingye; Wen, Guiqing; Lu, Zhujun; Jiang, Zhiliang

    2015-08-15

    In pH 4.0 acetate buffer solution, fluorine ions react with fluorine reagent (FR) and La(III) to generate blue ternary complex that exhibited strong absorption at about 370 nm. Upon addition of graphene oxide/nanogold (GO/NG) as resonance Rayleigh scattering (RRS) spectral probe with strong RRS peak at 370 nm, the color changed to gray, and the RRS intensity decreased with the increase of fluorine ion concentration due to the RRS energy transfer (RRSET) from GO/NG to the complex. Under the selected condition, the decreased RRS peak ΔI370 nm was linear to fluorine ion concentration in the range of 6.0 × 10(-8)-1.3 × 10(-5)mol/L, with a detection limit of 3.0 × 10(-8)mol/L F(-). This RRSET method was applied to the analysis of fluorine in toothpaste and water samples, with satisfactory results. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Clean graphene electrodes on organic thin-film devices via orthogonal fluorinated chemistry.

    Science.gov (United States)

    Beck, Jonathan H; Barton, Robert A; Cox, Marshall P; Alexandrou, Konstantinos; Petrone, Nicholas; Olivieri, Giorgia; Yang, Shyuan; Hone, James; Kymissis, Ioannis

    2015-04-08

    Graphene is a promising flexible, highly transparent, and elementally abundant electrode for organic electronics. Typical methods utilized to transfer large-area films of graphene synthesized by chemical vapor deposition on metal catalysts are not compatible with organic thin-films, limiting the integration of graphene into organic optoelectronic devices. This article describes a graphene transfer process onto chemically sensitive organic semiconductor thin-films. The process incorporates an elastomeric stamp with a fluorinated polymer release layer that can be removed, post-transfer, via a fluorinated solvent; neither fluorinated material adversely affects the organic semiconductor materials. We used Raman spectroscopy, atomic force microscopy, and scanning electron microscopy to show that chemical vapor deposition graphene can be successfully transferred without inducing defects in the graphene film. To demonstrate our transfer method's compatibility with organic semiconductors, we fabricate three classes of organic thin-film devices: graphene field effect transistors without additional cleaning processes, transparent organic light-emitting diodes, and transparent small-molecule organic photovoltaic devices. These experiments demonstrate the potential of hybrid graphene/organic devices in which graphene is deposited directly onto underlying organic thin-film structures.

  14. miR-181a Targets RGS16 to Promote Chondrosarcoma Growth, Angiogenesis, and Metastasis.

    Science.gov (United States)

    Sun, Xiaojuan; Charbonneau, Cherie; Wei, Lei; Chen, Qian; Terek, Richard M

    2015-09-01

    Chondrosarcoma is the most common primary malignant bone tumor in adults, has no effective systemic treatment, and patients with this disease have poor survival. Altered expression of microRNA (miR) is involved in tumorigenesis; however, its role in chondrosarcoma is undetermined. miR-181a is overexpressed in high-grade chondrosarcoma, is upregulated by hypoxia, and increases VEGF expression. Here, the purpose was to determine the mechanism of miR-181a regulation of VEGF, determine whether miR-181a overexpression promotes tumor progression, and to evaluate an antagomir-based approach for chondrosarcoma treatment. Therapeutic inhibition of miR-181a decreased expression of VEGF and MMP1 in vitro, and angiogenesis, MMP1 activity, tumor growth, and lung metastasis, all by more than 50%, in a xenograft mouse model. A target of miR-181a is a regulator of G-protein signaling 16 (RGS16), a negative regulator of CXC chemokine receptor 4 (CXCR4) signaling. CXCR4 signaling is increased in chondrosarcoma, its expression is also increased by hypoxia, and is associated with angiogenesis and metastasis; however, receptor blockade is only partially effective. RGS16 expression is restored after miR-181a inhibition and partially accounts for the antiangiogenic and antimetastatic effects of miR-181a inhibition. These data establish miR-181a as an oncomiR that promotes chondrosarcoma progression through a new mechanism involving enhancement of CXCR4 signaling by inhibition of RGS16. Targeting miR-181a can inhibit tumor angiogenesis, growth, and metastasis, thus suggesting the possibility of antagomir-based therapy in chondrosarcoma. ©2015 American Association for Cancer Research.

  15. Surface properties and aggregate morphology of partially fluorinated carboxylate-type anionic gemini surfactants.

    Science.gov (United States)

    Yoshimura, Tomokazu; Bong, Miri; Matsuoka, Keisuke; Honda, Chikako; Endo, Kazutoyo

    2009-11-01

    Three anionic homologues of a novel partially fluorinated carboxylate-type anionic gemini surfactant, N,N'-di(3-perfluoroalkyl-2-hydroxypropyl)-N,N'-diacetic acid ethylenediamine (2C(n)(F) edda, where n represents the number of carbon atoms in the fluorocarbon chain (4, 6, and 8)) were synthesized. In these present gemini surfactants, the relatively small carboxylic acid moieties form hydrophilic head groups. The surface properties or structures of the aggregates of these surfactants are strongly influenced by the nonflexible fluorocarbons and small head groups; this is because these surfactants have a closely packed molecular structure. The equilibrium surface tension properties of these surfactants were measured at 298.2K for various fluorocarbon chain lengths. The plot of the logarithm of the critical micelle concentration (cmc) against the fluorocarbon chain lengths for 2C(n)(F) edda (n=4, 6, and 8) showed a minimum for n=6. Furthermore, the lowest surface tension of 2C(6)(F) edda at the cmc was 16.4mNm(-1). Such unique behavior has not been observed even in the other fluorinated surfactants. Changes in the shapes and sizes of these surfactant aggregate with concentration were investigated by dynamic light scattering and transmission electron microscopy (TEM). The TEM micrographs showed that in an aqueous alkali solution, 2C(n)(F) edda mainly formed aggregates with stringlike (n=4), cagelike (n=6), and distorted bilayer structures (n=8). The morphological changes in the aggregates were affected by the molecular structure composed of nonflexible fluorocarbon chains and flexible hydrocarbon chains.

  16. Mechanical and Structural Properties of Fluorine-Ion-Implanted Boron Suboxide

    Directory of Open Access Journals (Sweden)

    Ronald Machaka

    2012-01-01

    degradation of near-surface mechanical properties with increasing fluorine fluence. Implications of these observations in the creation of amorphous near-surface layers by high-dose ion implantation are discussed in this paper.

  17. Method for selectively removing fluorine and fluorine-containing contaminants from gaseous UF/sub 6/. [ClF/sub 3/

    Science.gov (United States)

    Jones, R.L.; Otey, M.G.; Perkins, R.W.

    1980-11-24

    This invention is a method for effecting preferential removal and immobilization of certain gaseous contaminants from gaseous UF/sub 6/. The contaminants include fluorine and fluorides which are more reactive with CaCO/sub 3/ than is UF/sub 6/. The method comprises contacting the contaminant-carrying UF/sub 6/ with particulate CaCO/sub 3/ at a temperature effecting reaction of the contaminant and the CaCO/sub 3/.

  18. Derisking the Cu-Mediated 18F-Fluorination of Heterocyclic Positron Emission Tomography Radioligands.

    Science.gov (United States)

    Taylor, Nicholas J; Emer, Enrico; Preshlock, Sean; Schedler, Michael; Tredwell, Matthew; Verhoog, Stefan; Mercier, Joel; Genicot, Christophe; Gouverneur, Véronique

    2017-06-21

    Molecules labeled with fluorine-18 ( 18 F) are used in positron emission tomography to visualize, characterize and measure biological processes in the body. Despite recent advances in the incorporation of 18 F onto arenes, the development of general and efficient approaches to label radioligands necessary for drug discovery programs remains a significant task. This full account describes a derisking approach toward the radiosynthesis of heterocyclic positron emission tomography (PET) radioligands using the copper-mediated 18 F-fluorination of aryl boron reagents with 18 F-fluoride as a model reaction. This approach is based on a study examining how the presence of heterocycles commonly used in drug development affects the efficiency of 18 F-fluorination for a representative aryl boron reagent, and on the labeling of more than 50 (hetero)aryl boronic esters. This set of data allows for the application of this derisking strategy to the successful radiosynthesis of seven structurally complex pharmaceutically relevant heterocycle-containing molecules.

  19. Tailoring the Electronic and Magnetic Properties of Two-Dimensional Silicon Carbide Sheets and Ribbons by Fluorination

    KAUST Repository

    Shi, Zhiming

    2016-07-12

    Fluorination has been instrumental for tuning the properties of several two-dimensional (2D) materials, including graphene, h-BN, and MoS2. However, its potential application has not yet been explored in 2D silicon carbide (SiC), a promising material for nanoelectronic devices. We investigate the structural, electronic, and magnetic properties of fully and partially fluorinated 2D SiC sheets and nanoribbons by means of density functional theory combined with cluster expansion calculations. We find that fully fluorinated 2D SiC exhibits chair configurations and a nonmagnetic semiconducting behavior. Fluorination is shown to be an efficient approach for tuning the band gap. Four ground states of partially fluorinated SiC, SiCF2x with x = 0.0625, 0.25, 0.5, 0.75, are obtained by cluster expansion calculations. All of them exhibit nanoroad patterns, with the x = 0.5 structure identified as the most stable one. The x = 0.0625 structure is a nonmagnetic metal, while the other three are all ferromagnetic half-metals, whose properties are not affected by the edge states. We propose an effective approach for modulating the electronic and magnetic behavior of 2D SiC, paving the way to applications of SiC nanostructures in integrated multifunctional and spintronic nanodevices. © 2016 American Chemical Society.

  20. Tailoring the Electronic and Magnetic Properties of Two-Dimensional Silicon Carbide Sheets and Ribbons by Fluorination

    KAUST Repository

    Shi, Zhiming; Kutana, Alex; Yu, Guangtao; Chen, Wei; Yakobson, Boris I.; Schwingenschlö gl, Udo; Huang, Xuri

    2016-01-01

    Fluorination has been instrumental for tuning the properties of several two-dimensional (2D) materials, including graphene, h-BN, and MoS2. However, its potential application has not yet been explored in 2D silicon carbide (SiC), a promising material for nanoelectronic devices. We investigate the structural, electronic, and magnetic properties of fully and partially fluorinated 2D SiC sheets and nanoribbons by means of density functional theory combined with cluster expansion calculations. We find that fully fluorinated 2D SiC exhibits chair configurations and a nonmagnetic semiconducting behavior. Fluorination is shown to be an efficient approach for tuning the band gap. Four ground states of partially fluorinated SiC, SiCF2x with x = 0.0625, 0.25, 0.5, 0.75, are obtained by cluster expansion calculations. All of them exhibit nanoroad patterns, with the x = 0.5 structure identified as the most stable one. The x = 0.0625 structure is a nonmagnetic metal, while the other three are all ferromagnetic half-metals, whose properties are not affected by the edge states. We propose an effective approach for modulating the electronic and magnetic behavior of 2D SiC, paving the way to applications of SiC nanostructures in integrated multifunctional and spintronic nanodevices. © 2016 American Chemical Society.

  1. Effects of continuous pollution with fluorine on agricultural crops and spontaneous flora

    Energy Technology Data Exchange (ETDEWEB)

    Ionescu, A.; Eliade, G.; Corbu, S.

    Investigations regarding the effects of fluorine pollution on vegetation have been carried out after a noxis spreading computerized map had been drawn up. This study includes the mathematical model of drawing up the area affected by the pollution based on the physiology analysis (green and dry weights, free and bound water, quantity of chlorophyll) performed within the polluted area as compared to a reference area. It also contains studies on microflora (bacteria, mushrooms, actino-mycetes) and physico-chemical qualities (the mineralizing capacity, humus, hydrogenesis, etc.) of the soil under the fluorine influence. 8 references, 6 figures, 1 table.

  2. Fluorination of Isotopically Labeled Turbostratic and Bernal Stacked Bilayer Graphene

    Czech Academy of Sciences Publication Activity Database

    Ek Weis, Johan; da Costa, Sara; Frank, Otakar; Bastl, Zdeněk; Kalbáč, Martin

    2015-01-01

    Roč. 21, č. 3 (2015), s. 1081-1087 ISSN 1521-3765 R&D Projects: GA MŠk LL1301 Institutional support: RVO:61388955 Keywords : fluorination * graphene * bilayers Subject RIV: CF - Physical ; Theoretical Chemistry

  3. Improved High Current Liquid and Gas Targets for Cyclotron Produced Radioisotopes (Saudi Arabia)

    Energy Technology Data Exchange (ETDEWEB)

    Al Jammaz, Ibrahim; AlYanbawi, S.; Van-Heerden, W.; Miliebari, S.; Rahma, S.; Carrol, D. [King Faisal Specialist Hospital & Research Centre, Riyadh (Saudi Arabia)

    2009-07-01

    The development and improvement of target technology for reliable and higher production yields is described with respect to fluorine-18 and krypton-81. This report includes specific studies on: 1) beam degradation, distribution and diagnostic tools for monitoring the beam during irradiation; 2) targets that are capable of withstanding high current beam and consequently high specific activity radiopharmaceuticals; 3) greater understanding of in-target chemical and physical phenomena for the preparation of new radiolabeled species; and 4) recovery and characterization very expensive enriched material. (author)

  4. Improved High Current Liquid and Gas Targets for Cyclotron Produced Radioisotopes (Saudi Arabia)

    International Nuclear Information System (INIS)

    Al Jammaz, Ibrahim; AlYanbawi, S.; Van-Heerden, W.; Miliebari, S.; Rahma, S.; Carrol, D.

    2009-01-01

    The development and improvement of target technology for reliable and higher production yields is described with respect to fluorine-18 and krypton-81. This report includes specific studies on: 1) beam degradation, distribution and diagnostic tools for monitoring the beam during irradiation; 2) targets that are capable of withstanding high current beam and consequently high specific activity radiopharmaceuticals; 3) greater understanding of in-target chemical and physical phenomena for the preparation of new radiolabeled species; and 4) recovery and characterization very expensive enriched material. (author)

  5. Revisited study of fluorine implantation impact on negative bias temperature instability for input/output device of automotive micro controller unit

    Science.gov (United States)

    Yoshida, Tetsuya; Maekawa, Keiichi; Tsuda, Shibun; Shimizu, Tatsuo; Ogasawara, Makoto; Aono, Hideki; Yamaguchi, Yasuo

    2018-04-01

    We investigate the effect of fluorine implanted in the polycrystalline silicon (poly-Si) gate and source/drain (S/D) region on negative bias temperature instability (NBTI) improvement. It is found that there is a trade-off implantation energy dependence of NBTI between fluorine in the poly-Si gate and that in the S/D region. Fluorine implanted in the poly-Si gate contributes to NBTI improvement under low energy implantation. On the other hand, NBTI is improved by fluorine implanted in the S/D region under high energy. We propose that the two-step implantation process with high and low energy is the optimum condition for NBTI improvement.

  6. Hydrogenation of fluoroarenes: Direct access to all-cis-(multi)fluorinated cycloalkanes.

    Science.gov (United States)

    Wiesenfeldt, Mario P; Nairoukh, Zackaria; Li, Wei; Glorius, Frank

    2017-09-01

    All-c is -multifluorinated cycloalkanes exhibit intriguing electronic properties. In particular, they display extremely high dipole moments perpendicular to the aliphatic ring, making them highly desired motifs in material science. Very few such motifs have been prepared, as their syntheses require multistep sequences from diastereoselectively prefunctionalized precursors. Herein we report a synthetic strategy to access these valuable materials via the rhodium-cyclic (alkyl)(amino)carbene (CAAC)-catalyzed hydrogenation of readily available fluorinated arenes in hexane. This route enables the scalable single-step preparation of an abundance of multisubstituted and multifluorinated cycloalkanes, including all- cis -1,2,3,4,5,6-hexafluorocyclohexane as well as cis-configured fluorinated aliphatic heterocycles. Copyright © 2017, American Association for the Advancement of Science.

  7. Sample preparation and study by electronic diffraction of oxidations and fluorinations of some metals and alloys

    International Nuclear Information System (INIS)

    Auguin, B.

    1963-06-01

    After having recalled that electron diffraction is particularly adapted to the study of thin films and surface layers, notably those forming during corrosions, and recalled some characteristics of this technique (wavelength, interactions with substances, parasite reactions, observation by transmission or reflection, obtained diagrams for polycrystalline and mono-crystalline substances), the author describes how samples are prepared in the case of examinations performed by transmission and by reflection. As fluorination agents are used for the separation of uranium 235 and 238, the second part discusses some works related to the fluorination of metals and alloys, some of them being used in these separation installations. Chlorine trifluoride is generally used and materials are generally oxidised. Thus, the author reports the study of the action of ClF 3 on different oxides. Oxidations of iron, nickel and Monel are addressed, as well as the behaviour of stainless steel. The study of fluorinations of metals (nickel, chromium, copper), alloys (stainless steel, Monel) and oxides is reported. The author finally addresses treatments performed after fluorinations: vacuum heating, action of humid air

  8. Bacterial adherence on fluorinated carbon based coatings deposited on polyethylene surfaces

    International Nuclear Information System (INIS)

    Terriza, A; Del Prado, G; Perez, A Ortiz; Martinez, M J; Puertolas, J A; Manso, D Molina; Gonzalez-Elipe, A R; Yubero, F; Barrena, E Gomez; Esteban, J

    2010-01-01

    Development of intrinsically antibacterial surfaces is of key importance in the context of prostheses used in orthopaedic surgery. In this work we present a thorough study of several plasma based coatings that may be used with this functionality: diamond like carbon (DLC), fluorine doped DLC (F-DLC) and a high fluorine content carbon-fluor polymer (CF X ). The study correlates the surface chemistry and hydrophobicity of the coating surfaces with their antibacterial performance. The coatings were deposited by RF-plasma assisted deposition at room temperature on ultra high molecular weight polyethylene (UHMWPE) samples. Fluorine content and relative amount of C-C and C-F bond types was monitored by X-ray photoelectron spectroscopy and hydrophobicity by water contact angle measurements. Adherence of Staphylococcus aureus and Staphylococcus epidermidis to non-coated and coated UHMWPE samples was evaluated. Comparisons of the adherence performance were evaluated using a paired t test (two materials) and a Kruskall Wallis test (all the materials). S. aureus was statistically significant (p< 0.001) less adherent to DLC and F-DLC surfaces than S. epidermidis. Both bacteria showed reduction of adherence on DLC/UHMWPE. For S. aureus, reduction of bacterial adherence on F-DLC/UHMWPE was statistically significant respect to all other materials.

  9. Contribution to the evaluation of diffusion coefficients in plasmas containing argon and fluorine

    International Nuclear Information System (INIS)

    Novakovic, N V

    2006-01-01

    The theoretical values of the numerical evaluation of the electron and ion diffusion coefficients in plasmas from mixtures of argon and fluorine are presented. The temperature dependence of the diffusion coefficients for low-pressure (from 0.1 to 1.0 kPa) and low-temperature (from 500 to 5000 K) argon plasmas with 20% and 30% of added fluorine are investigated. These values are results of the applications of the specific numerical model to the evaluation plasma composition and transport coefficients in argon plasma with fluorine as additive. It is assumed that the system is kept under constant pressure and that a corresponding state of local thermodynamical equilibrium (LTE) is attained. Since the LTE can be assumed, a Maxwellian electron distribution function will be adopted. The hypothesis of LTE, which is commonly used in most of the numerical evaluations, is analysed with the modified Debye radius r D *. The binary electron and ion diffusion coefficients are calculated with the equilibrium plasma composition and with the collision frequencies. Strictly speaking, Maxwellian distribution function (in the state LTE) is not valid for low pressure, but in this case with the aid of the modified Debye radius, a Maxwellian f e M is assumed correctly. It is shown that the electron diffusion coefficients are about four orders of magnitude larger than the corresponding overall diffusion coefficients of ions. Both diffusion coefficients are lower in argon plasma with 30% than with 20% of fluorine additives, in the whole temperature range examined

  10. Fluorine incorporation into SnO2 nanoparticles by co-milling with polyvinylidene fluoride

    Science.gov (United States)

    Senna, Mamoru; Turianicová, Erika; Šepelák, Vladimír; Bruns, Michael; Scholz, Gudrun; Lebedkin, Sergei; Kübel, Christian; Wang, Di; Kaňuchová, Mária; Kaus, Maximilian; Hahn, Horst

    2014-04-01

    Fluorine was incorporated into SnO2 nanoparticles from polyvinylidene fluoride (PVdF) by co-milling. The incorporation process was triggered by an oxidative partial decomposition of PVdF due to the abstraction of oxygen atoms, and began soon after milling with a simultaneous decrease in the crystallite size of SnO2 from 56 nm to 19 nm, and increase in the lattice strain by a factor 7. Appearance of D and G Raman peaks indicated that the decomposition of PVdF was accompanied by the formation of nanometric carbon species. Decomposing processes of PVdF were accompanied by the continuous change in the states of F, with a decrease of C-F in PVdF and increase in Sn-F. This indicates the gradual incorporation of F into SnO2, by replacing a part of oxygen in the oxide with fluorine. These serial mechanochemical reaction processes were discussed on the basis of X-ray diffractometry, FT-IR, Raman and UV-Vis diffuse reflectance spectroscopy, transmission electron microscopy, F1s, Sn3d and C1s X-ray photoelectron spectroscopy and Auger electron spectra, as well as magic angle spinning NMR spectroscopy of 19F and 119Sn. The present findings serve as an initial stage of incorporating fluorine into SnO2 via a solvent-free solid-state process, toward the rational fabrication of fluorine doped SnO2 powders.

  11. A Fluorine-18 Radiolabeling Method Enabled by Rhenium(I) Complexation Circumvents the Requirement of Anhydrous Conditions.

    Science.gov (United States)

    Klenner, Mitchell A; Pascali, Giancarlo; Zhang, Bo; Sia, Tiffany R; Spare, Lawson K; Krause-Heuer, Anwen M; Aldrich-Wright, Janice R; Greguric, Ivan; Guastella, Adam J; Massi, Massimiliano; Fraser, Benjamin H

    2017-05-11

    Azeotropic distillation is typically required to achieve fluorine-18 radiolabeling during the production of positron emission tomography (PET) imaging agents. However, this time-consuming process also limits fluorine-18 incorporation, due to radioactive decay of the isotope and its adsorption to the drying vessel. In addressing these limitations, the fluorine-18 radiolabeling of one model rhenium(I) complex is reported here, which is significantly improved under conditions that do not require azeotropic drying. This work could open a route towards the investigation of a simplified metal-mediated late-stage radiofluorination method, which would expand upon the accessibility of new PET and PET-optical probes. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Epithermal/fast neutron cyclic activation analysis for the determination of fluorine in environmental and industrial materials

    International Nuclear Information System (INIS)

    Parry, S.J.; Benzing, R.; Bolstad, K.L.; Steinnes, E.

    2000-01-01

    Pseudocyclic activation analysis (12-second irradiation, 12-second count, 5 cycles, 25 minutes between cycles) is used to determine fluorine in plastic and rubber with detection limits in the range 15-40 mg/kg. The detection of fluorine in materials containing high concentrations of aluminum is improved using the 19 F(n,p) 19 O reaction, induced by fast neutrons, (30-second irradiation, 30-second count, 25-minute decay between cycles). The method was applied to a biomonitoring survey in the vicinity of an aluminum smelter in the Ardal region, Norway. The fluorine concentration in the moss and soil samples could be determined in all samples above the detection limits of 50 and 100 mg/kg, respectively. (author)

  13. Electronic stopping powers for fluorine ions in {sup 19}F{sup +}-implanted silver gallium diselenide

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xiangdong E-mail: xdliu@sdu.edu.cn; Xia Yueyuan; Li Feng; Lu Qingming; Huang Boda

    2004-08-01

    Electronic stopping powers for 80-350 keV {sup 19}F ions in AgGaSe{sub 2} were obtained by range measurement. Depth profiles of {sup 19}F in AgGaSe{sub 2} were measured by using the {sup 19}F(p,{alpha}{gamma}){sup 16}O resonant nuclear reaction at E{sub R}=872.1 keV. A proper convolution calculation method was used to extract the true distribution of fluorine from the experimental excitation yield curves. The electronic stopping powers were derived through fitting the projected range distributions, simulated by using the TRIM/XLL code, to the experimentally measured range distributions. The electronic stopping cross-sections were compared with those obtained from Monte Carlo simulation codes.

  14. Silicon structuring by etching with liquid chlorine and fluorine precursors using femtosecond laser pulses

    International Nuclear Information System (INIS)

    Radu, C.; Simion, S.; Zamfirescu, M.; Ulmeanu, M.; Enculescu, M.; Radoiu, M.

    2011-01-01

    The aim of this study is to investigate the micrometer and submicrometer scale structuring of silicon by liquid chlorine and fluorine precursors with 200 fs laser pulses working at both fundamental (775 nm) and frequency doubled (387 nm) wavelengths. The silicon surface was irradiated at normal incidence by immersing the Si (111) substrates in a glass container filled with liquid chlorine (CCl 4 ) and fluorine (C 2 Cl 3 F 3 ) precursors. We report that silicon surfaces develop an array of spikes with single step irradiation processes at 775 nm and equally at 387 nm. When irradiating the Si surface with 400 pulses at 330 mJ/cm 2 laser fluence and a 775 nm wavelength, the average height of the formed Si spikes in the case of fluorine precursors is 4.2 μm, with a full width at half maximum of 890 nm. At the same irradiation wavelength chlorine precursors develop Si spikes 4 μm in height and with a full width at half maximum of 2.3 μm with irradiation of 700 pulses at 560 mJ/cm 2 laser fluence. Well ordered areas of submicrometer spikes with an average height of about 500 nm and a width of 300 nm have been created by irradiation at 387 nm by chlorine precursors, whereas the fluorine precursors fabricate spikes with an average height of 700 nm and a width of about 200 nm. Atomic force microscopy and scanning electron microscopy of the surface show that the formation of the micrometer and sub-micrometer spikes involves a combination of capillary waves on the molten silicon surface and laser-induced etching of silicon, at both 775 nm and 387 nm wavelength irradiation. The energy-dispersive x-ray measurements indicate the presence of chlorine and fluorine precursors on the structured surface. The fluorine precursors create a more ordered area of Si spikes at both micrometer and sub-micrometer scales. The potential use of patterned Si substrates with gradient topography as model scaffolds for the systematic exploration of the role of 3D micro/nano morphology on cell

  15. Structural And Energetic Changes of Si (100 Surface With Fluorine in Presence of Water – A Density Functional Study

    Directory of Open Access Journals (Sweden)

    Takeo Ebina

    2001-05-01

    Full Text Available Abstract: We report density functional electronic structure calculations to monitor the change in the surface characteristics of the Si (100-2x1 surface after fluorination followed by interaction with water. Embedded finite silicon clusters are used to model an extended Si (100-2x1 surface. Two high symmetry pathways and subsequent adsorption sites were examined: (i adsorption of an fluorine atom directing onto a silicon dangling bond to form a monocoordinated fluorine atom (ii adsorption of a fluorine atom directing on top of silicon dimer to form a bridging dicoordinated fluorine atom. However, in the later case we find that no barrier exists for the bridging fluorine atom to slide towards silicon dimer dangling bond to form more stable mono coordinated Si-F bond. We calculated activation barriers and equilibrium surface configuration as a function of fluorine coverage upto 2.0 ML. We compared the stability of the fluorinated surface. The results were compared with existing experimental and theoretical results. The reaction of water with HF treated Si surface is monitored. It produces, as a first step, the exchange of Si-F with water to form Si-OH groups reducing the concentration of the fluorine on the surface, followed by a rapture of Si-Si bonds and finally the Si-O-Si bridge formation in the lattice.

  16. A novel and selective fluoride opening of aziridines by XtalFluor-E. synthesis of fluorinated diamino acid derivatives.

    Science.gov (United States)

    Nonn, Melinda; Kiss, Loránd; Haukka, Matti; Fustero, Santos; Fülöp, Ferenc

    2015-03-06

    The selective introduction of fluorine onto the skeleton of an aminocyclopentane or cyclohexane carboxylate has been developed through a novel and efficient fluoride opening of an activated aziridine ring with XtalFluor-E. The reaction proceeded through a stereoselective aziridination of the olefinic bond of a bicyclic lactam and regioselective aziridine ring opening with difluorosulfiliminium tetrafluoroborate with the neighboring group assistance of the sulfonamide moiety to yield fluorinated diamino acid derivatives. The method based on the selective aziridine opening by fluoride has been generalized to afford access to mono- or bicyclic fluorinated substances.

  17. Synergistic effect of fluorination on molecular energy level modulation in highly efficient photovoltaic polymers.

    Science.gov (United States)

    Zhang, Maojie; Guo, Xia; Zhang, Shaoqing; Hou, Jianhui

    2014-02-01

    The synergistic effect of fluorination on molecular energy level modulation is realized by introducing fluorine atoms onto both the donor and the acceptor moieties in a D-A polymer, and as a result, the polymer solar cell device based on the trifluorinated polymer, PBT-3F, shows a high efficiency of 8.6%, under illumination of AM 1.5G, 100 mW cm(-) (2) . © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Enhancement of the Stability of Fluorine Atoms on Defective Graphene and at Graphene/Fluorographene Interface.

    Science.gov (United States)

    Ao, Zhimin; Jiang, Quanguo; Li, Shuang; Liu, Hao; Peeters, Francois M; Li, Sean; Wang, Guoxiu

    2015-09-09

    Fluorinated graphene is one of the most important derivatives of graphene and has been found to have great potential in optoelectronic and photonic nanodevices. However, the stability of F atoms on fluorinated graphene under different conditions, which is essential to maintain the desired properties of fluorinated graphene, is still unclear. In this work, we investigate the diffusion of F atoms on pristine graphene, graphene with defects, and at graphene/fluorographene interfaces by using density functional theory calculations. We find that an isolated F atom diffuses easily on graphene, but those F atoms can be localized by inducing vacancies or absorbates in graphene and by creating graphene/fluorographene interfaces, which would strengthen the binding energy of F atoms on graphene and increase the diffusion energy barrier of F atoms remarkably.

  19. Dependence of transfer number of fluorine on cation type in glasses of Ba(PO3)2-MeF2 systems (Me=Ba,Sr,Ca,Mg)

    International Nuclear Information System (INIS)

    Pronkin, A.A.

    1978-01-01

    The influence of Ba, Sr, Ca, Mg cations on transfer numbers of fluorine in glasses of Ba(PO 3 ) 2 - MeF 2 pseudobinary systems is studied. Transfer numbers are essentially different in one and the same fluorine ion concentration in glasses, containing various alkali-earth cations: increase of the cation field force brings about decrease of the transfer numbers of fluorine, and the glass-formation region in the Ba-Sr-Ca-Mg series rises. The dependence of transfer numbers of fluorine on the fluorine concentration logarithm is presented. It is established, that alkali-earth metals influence the transfer numbers of fluorine on account of selective interaction with the phosphate constituent of glass structure

  20. Grafting of cellulose by fluorine-bearing silane coupling agents

    International Nuclear Information System (INIS)

    Ly, B.; Belgacem, M.N.; Bras, J.; Brochier Salon, M.C.

    2010-01-01

    The surface of model cellulose fibres, Avicell (AV), as well as that of Whatman paper (WP) was chemically modified with two fluorine-bearing alkoxysilane coupling agents, namely: 3,3,3-trifluoropropyl trimethoxysilane (TFPS) and 1H,1H,2H,2H,perfluorooctyl trimethoxysilane (PFOS). The occurrence of the grafting of soxhlet extracted modified cellulose was confirmed by the presence of silicon and fluorine atoms detected by elemental analysis, X-ray photoelectron spectroscopy and Electron Dispersion Energy/Scanning Electron Microscopy (EDS/SEM). The contact angle measurements showed that, after grafting, the surface of AV and WP samples became totally highly hydrophobic with a contact angle of 140 deg. Thus, the polar contribution to the surface energy of the modified substrates was found to be close to zero. These modified substrate could be interesting for application such as self-cleaning surface, wipes paper, grease barrier paper or for biocomposite with a polar matrix.

  1. High-Power-Density, High-Energy-Density Fluorinated Graphene for Primary Lithium Batteries

    Directory of Open Access Journals (Sweden)

    Guiming Zhong

    2018-03-01

    Full Text Available Li/CFx is one of the highest-energy-density primary batteries; however, poor rate capability hinders its practical applications in high-power devices. Here we report a preparation of fluorinated graphene (GFx with superior performance through a direct gas fluorination method. We find that the so-called “semi-ionic” C-F bond content in all C-F bonds presents a more critical impact on rate performance of the GFx in comparison with sp2 C content in the GFx, morphology, structure, and specific surface area of the materials. The rate capability remains excellent before the semi-ionic C-F bond proportion in the GFx decreases. Thus, by optimizing semi-ionic C-F content in our GFx, we obtain the optimal x of 0.8, with which the GF0.8 exhibits a very high energy density of 1,073 Wh kg−1 and an excellent power density of 21,460 W kg−1 at a high current density of 10 A g−1. More importantly, our approach opens a new avenue to obtain fluorinated carbon with high energy densities without compromising high power densities.

  2. Diffusion profiles of fluorine in archaeological bones and teeth

    International Nuclear Information System (INIS)

    Nelson, P.H.

    1984-06-01

    Measurements of radial fluorine profiles in bone and teeth sections with a nuclear microprobe show that the distribution is due to diffusion of fluoride ions inward from any exposed surface. Assuming simple diffusion and constant environment, the profile shape depends only on the parameter Dt/a 2 (D=diffusion constant, t=time, a=radius of bone/teeth). Three computer programs have been written to allow visual comparison of data with theoretical diffusion curves. Use of these programs has shown that experimental profiles follow closely the predictions of simple diffusion theory. (Although the diffusion constant may depend on concentration and species to a lesser extent). A preliminary value of D (2.74 +- 0.4) x 10 - 4/ sq. mm/y was deduced from radiocarbon dated Moa bones (age 400-16,200 yr B.P.). Preliminary investigations indicate that the diffusion constant in tooth dentine is approximately the same as in bone. These results indicate that a dating method using the computer programs should be possible for bones ranging in age from a few years to perhaps millions of years and that dating teeth should also be possible

  3. 1,2-Fluorine Radical Rearrangements: Isomerization Events in Perfluorinated Radicals.

    Science.gov (United States)

    Van Hoomissen, Daniel J; Vyas, Shubham

    2017-11-16

    Devising effective degradation technologies for perfluoroalkyl substances (PFASs) is an active area of research, where the molecular mechanisms involving both oxidative and reductive pathways are still elusive. One commonly neglected pathway in PFAS degradation is fluorine atom migration in perfluoroalkyl radicals, which was largely assumed to be implausible because of the high C-F bond strength. Using density functional theory calculations, it was demonstrated that 1,2-F atom migrations are thermodynamically favored when the fluorine atom migrated from a less branched carbon center to a more branched carbon center. Activation barriers for these rearrangements were within 19-29 kcal/mol, which are possible to easily overcome at elevated temperatures or in photochemically activated species in the gas or aqueous phase. It was also found that the activation barriers for the 1,2-F atom migration are lowered as much as by 10 kcal/mol when common oxidative degradation products such as HF assisted the rearrangements or if the resulting radical center was stabilized by vicinal π-bonds. Natural bond orbital analyses showed that fluorine moves as a radical in a noncharge-separated state. These findings add an important reaction to the existing knowledge of mechanisms for PFAS degradation and highlights the fact that 1,2-F atom shifts may be a small channel for isomerization of these compounds, but upon availability of mineralization products, this isomerization process could become more prominent.

  4. Design, synthesis, and characterization of fluorine-free PAGs for 193-nm lithography

    Science.gov (United States)

    Liu, Sen; Glodde, Martin; Varanasi, Pushkara R.

    2010-04-01

    Photoacid generators (PAGs) are a key component in chemically amplified resists used in photolithography. Perfluorooctanesulfonates (PFOS) and other perfluoroalkylsulfonates (PFAS) have been well adopted as PAGs in 193 nm photoresist. Recently, concerns have been raised about their environmental impact due to their chemical persistency, bioaccumulation and toxicity. It is a general interest to find environmentally benign PAGs that are free of fluorine atoms. Here we describe the design, synthesis and characterization of a series of novel fluorine-free onium salts as PAGs for 193 nm photoresists. These PAGs demonstrated desirable physical and lithography properties when compared with PFAS-based PAGs for both dry and immersion exposures.

  5. Hydrolytically stable fluorinated metal-organic frameworks for energy-efficient dehydration

    KAUST Repository

    Cadiau, Amandine

    2017-05-18

    Natural gas must be dehydrated before it can be transported and used, but conventional drying agents such as activated alumina or inorganic molecular sieves require an energy-intensive desiccant-regeneration step. We report a hydrolytically stable fluorinated metal-organic framework, AlFFIVE-1-Ni (KAUST-8), with a periodic array of open metal coordination sites and fluorine moieties within the contracted square-shaped one-dimensional channel. This material selectively removed water vapor from gas streams containing CO2, N2, CH4, and higher hydrocarbons typical of natural gas, as well as selectively removed both H2O and CO2 in N2-containing streams. The complete desorption of the adsorbed water molecules contained by the AlFFIVE-1-Ni sorbent requires relatively moderate temperature (~105°C) and about half the energy input for commonly used desiccants.

  6. Fabrication of superhydrophobic fluorinated silica nanoparticles for multifunctional liquid marbles

    Science.gov (United States)

    Shang, Qianqian; Hu, Lihong; Hu, Yun; Liu, Chengguo; Zhou, Yonghong

    2018-01-01

    A facile one-pot method for the fabrication of superhydrophobic fluorinated silica nanoparticles is reported. Fluorinated aggregated silica (A-SiO2/FAS) nanoparticles were synthesized by controlling the nanoparticles assembly, in situ fixation and overgrowth of particle seeds with the assist of tetraethoxysilane (TEOS) in ethanol/water solution and then modification with fluoroalkylsilane (FAS) molecules. Such kind of A-SiO2/FAS nanoparticles showed superhydrophobicity and was not wetted by water, thus it could be served as the encapsulating shells to manipulate liquid droplets. Liquid marbles fabricated from A-SiO2/FAS nanoparticles were used for ammonia gas sensing or emitting by taking advantage of the porosity and superhydrophobicity of the liquid marble shells. In addition, the posibility of A-SiO2/FAS-based liquid marbles as microreactor for dopamine polymerization also was explored.

  7. Effects of fluorine-based plasma treatment and thermal annealing on high-Al content AlGaN Schottky contact

    International Nuclear Information System (INIS)

    Liu Fang; Qin Zhixin

    2016-01-01

    Fluorine plasma treatment was used prior to the Schottky metal deposition on the undoped Al 0.45 Ga 0.55 N, which aimed at the solar-blind wavelength. After fluorine plasma treatment and before depositing the Ni/Au Schottky, the samples were thermal annealed in the N 2 gas at 400 °C. The reverse leakage current density of Al 0.45 Ga 0.55 N Schottky diode was reduced by 2 orders of magnitude at −10 V. The reverse leakage current density was reduced by 3 orders of magnitude after thermal annealing. Further capacitance–frequency analysis revealed that the fluorine-based plasma treatment reduces the surface states of AlGaN by one order of magnitude at different surface state energies. The capacitance–frequency analysis also proved that the concentration of carriers in AlGaN top is reduced through fluorine plasma treatment. (paper)

  8. Theoretical lifetimes and fluorescence yields for multiply-ionized fluorine

    International Nuclear Information System (INIS)

    Tunnell, T.W.; Can, C.; Bhalla, C.P.

    1978-01-01

    Theoretical lifetimes and multiplet partial fluorescence yields for various fluorine ions with a single K-shell vacancy were calculated. For few-electron systems, the lifetimes and line fluorescence yields were computed in the intermediate coupling scheme with the inclusion of the effects arising from configuration interactions. 6 references

  9. Tuning of band gap due to fluorination of graphyne and graphdiyne

    International Nuclear Information System (INIS)

    Bhattacharya, B; Singh, N B; Sarkar, U

    2014-01-01

    The electronic properties of graphyne and graphdiyne consisting of sp and sp 2 hybridized carbon atom have been investigated within the density functional theory (DFT) method. The corresponding changes in the electronic properties due to systematic functionalization by fluorine at different possible sites are reported. Our band structure calculations clearly infer that all fluorographyne are wide band gap semiconductor and the band gap can be tuned by fluorination and the possibility of modulating the band gap provides flexibility for its use in nanoelectronic devices. Projected density of state (PDOS) analysis provides the clear idea about the bonding nature of these novel materials in details and Crystal Orbital Hamilton Population (-COHP) analysis shed insight on the orbital participating in bonding and antibonding

  10. Fluorine poisoning from waste gases and dust emitted by a superphosphate plant: determination of F content from deposits in plants, drinking water, forage, and bones

    Energy Technology Data Exchange (ETDEWEB)

    Stas, M E

    1941-01-01

    An extensive review is given of the literature on detrimental effects caused by fluorine in plants, animals, and humans, as well as the literature on methods of determining trace amounts of fluorine in water, air, and various other locales. A report is given of an original study of fluorine determination in plants, rainwater, drinking water, plant life consumed by cattle, and the bones of cattle. Results obtained in the immediate vicinity (distances of 100 to 300 m from the fertilizer factory) were compared with control results from other locales. The water, grass, and hay consumed by the cattle contained high amounts of fluorine, and that the bones of cattle that died with the symptoms of fluorine poisoning contained a higher fluorine level than other cattle.

  11. Band-gap sensitive adsorption of fluorine molecules on sidewalls of carbon nanotubes: an ab initio study

    International Nuclear Information System (INIS)

    Choi, Woon Ih; Park, Sohee; Kim, Tae-Eun; Park, Noejung; Lee, Kwang-Ryeol; Lee, Young Hee; Ihm, Jisoon; Han, Seungwu

    2006-01-01

    We report from ab initio calculations that the band-gap sensitive side-wall functionalization of a carbon nanotube is feasible with the fluorine molecule (F 2 ), which can provide a route to the extraction of semiconducting nanotubes by etching away metallic ones. In the small diameter cases like (11, 0) and (12, 0), the nanotubes are easily functionalized with F 2 regardless of their electronic properties. As the diameter becomes larger, however, the fluorination is favoured on metallic CNTs with smaller activation barriers than those of semiconducting ones. Our results suggest that low-temperature exposure to F 2 molecules in the gas phase can make a dominant portion of fluorinated metallic nanotubes and unfluorinated semiconducting ones. This is consistent with recent experimental reports

  12. Production and transport chemistry of atomic fluorine in remote plasma source and cylindrical reaction chamber

    International Nuclear Information System (INIS)

    Gangoli, S P; Johnson, A D; Fridman, A A; Pearce, R V; Gutsol, A F; Dolgopolsky, A

    2007-01-01

    Increasingly, NF 3 -based plasmas are being used in semiconductor manufacturing to clean chemical vapour deposition (CVD) chambers. With advantages such as faster clean times, substantially lower emissions of gases having high global warming potentials, and reduced chamber damage, NF 3 plasmas are now favoured over fluorocarbon-based processes. Typically, a remote plasma source (RPS) is used to dissociate the NF 3 gas and produce atomic fluorine that etches the CVD residues from the chamber surfaces. However, it is important to efficiently transport F atoms from the plasma source into the process chamber. The current work is aimed at understanding and improving the key processes involved in the production and transport of atomic fluorine atoms. A zero-dimensional model of NF 3 dissociation and F production chemistry in the RPS is developed based on various known and derived plasma parameters. Additionally, a model describing the transport of atomic fluorine is proposed that includes both physical (diffusion, adsorption and desorption) and chemical processes (surface and three-body volume recombination). The kinetic model provides an understanding of the impact of chamber geometry, gas flow rates, pressure and temperature on fluorine recombination. The plasma-kinetic model is validated by comparing model predictions (percentage F atom density) with experimental results (etch rates)

  13. Enhanced Lithium- and Sodium-Ion Storage in an Interconnected Carbon Network Comprising Electronegative Fluorine.

    Science.gov (United States)

    Hong, Seok-Min; Etacheri, Vinodkumar; Hong, Chulgi Nathan; Choi, Seung Wan; Lee, Ki Bong; Pol, Vilas G

    2017-06-07

    Fluorocarbon (C x F y ) anode materials were developed for lithium- and sodium-ion batteries through a facile one-step carbonization of a single precursor, polyvinylidene fluoride (PVDF). Interconnected carbon network structures were produced with doped fluorine in high-temperature carbonization at 500-800 °C. The fluorocarbon anodes derived from the PVDF precursor showed higher reversible discharge capacities of 735 mAh g -1 and 269 mAh g -1 in lithium- and sodium-ion batteries, respectively, compared to the commercial graphitic carbon. After 100 charge/discharge cycles, the fluorocarbon showed retentions of 91.3% and 97.5% in lithium (at 1C) and sodium (at 200 mA g -1 ) intercalation systems, respectively. The effects of carbonization temperature on the electrochemical properties of alkali metal ion storage were thoroughly investigated and documented. The specific capacities in lithium- and sodium-ion batteries were dependent on the fluorine content, indicating that the highly electronegative fluorine facilitates the insertion/extraction of lithium and sodium ions in rechargeable batteries.

  14. Comparison of Gull Feces-specific Assays Targeting the 16S rRNA Gene of Catellicoccus Marimammalium and Streptococcus spp.

    Science.gov (United States)

    Two novel gull-specific qPCR assays were developed using 16S rRNA gene sequences from gull fecal clone libraries: a SYBR-green-based assay targeting Streptococcus spp. (i.e., gull3) and a TaqMan qPCR assay targeting Catellicoccus marimammalium (i.e., gull4). The main objectives ...

  15. Nucleophilic tetrafluoroethylation of carbonyl compounds with fluorinated sulfones

    Czech Academy of Sciences Publication Activity Database

    Václavík, Jiří; Chernykh, Yana; Jurásek, Bronislav; Beier, Petr

    2015-01-01

    Roč. 169, Jan (2015), s. 24-31 ISSN 0022-1139 R&D Projects: GA ČR GAP207/11/0421 Grant - others:GA MŠk(CZ) ED3.2.00/08.0144; GA MŠk(CZ) LM2010005 Institutional support: RVO:61388963 Keywords : fluorine * tetrafluoroethylation * sulfones * nucleophilic addition * carbonyl compounds Subject RIV: CC - Organic Chemistry Impact factor: 2.213, year: 2015

  16. Fluorine--a current literature review. An NRC and ATSDR based review of safety standards for exposure to fluorine and fluorides.

    Science.gov (United States)

    Prystupa, Jeff

    2011-02-01

    A review of the literature of the element fluorine and its bonded-form, fluoride, was undertaken. Generally regarded as safe, an expanding body of literature reveals that fluoride's toxicity has been unappreciated, un-scrutinized, and hidden for over 70 years. The context for the literature search and review was an environmental climate-change study, which demonstrated widespread fluoride contamination by smokestack emissions from coal-fired electricity-generating plants. The objective of this review is to educate and inform regarding the ubiquitous presence and harmful nature of this now ever-present corrosive and reactive toxin. Methods include examination of national health agency reviews, primarily the National Research Council (NRC), Agency for Toxic Substances & Disease Registry (ATSDR), standard medical toxicology references, text books, as well as reports and documents from both private and public research as well as consumer-based NGOs. Study criteria were chosen for relevancy to the subject of the toxicity of fluoride. Fluoride is the extreme electron scavenger, the most corrosive of all elements, as well as the most-reactive. Fluoride appears to attack living tissues, via several mechanisms. Fluoride renders strong evidence that it is a non-biological chemical, demonstrating no observed beneficial function or role in organic chemistry, beyond use as a pesticide or insecticide. Fluorine has a strong role to play in industry, having been utilized extensively in metals, plastics, paints, aluminium, steel, and uranium production. Due to its insatiable appetite for calcium, fluorine and fluorides likely represent a form of chemistry that is incompatible with biological tissues and organ system functions. Based on an analysis of the affects of fluoride demonstrated consistently in the literature, safe levels have not been determined nor standardized. Mounting evidence presents conflicting value to its presence in biological settings and applications. Evidence

  17. Quantitative characterization of new supramolecular synthons involving fluorine atoms in the crystal structures of di- and tetrafluorinated benzamides.

    Science.gov (United States)

    Mondal, Pradip Kumar; Yadav, Hare Ram; Choudhury, Angshuman Roy; Chopra, Deepak

    2017-10-01

    Strong hydrogen bonds play a significant role in crystal packing. In particular, the involvement of interactions involving fluorine in controlling the crystal packing requires appropriate attention, especially in the presence of other strong hydrogen bonds. In the present study, a detailed quantitative assessment has been performed of the nature, energetics and topological properties derived from the electron density in model compounds based on fluorinated benzamides (a total of 46 fluorine-substituted benzamides containing multiple fluorine atoms) in the solid state. The primary motivation in the design of such molecules is to enhance the acidity of the interacting H atoms in the presence of an increasing number of F atoms on the molecular scaffold, resulting in increased propensity towards the formation of intermolecular interactions involving organic fluorine. This exercise has resulted in the identification of new and frequently occurring supramolecular synthons involving F atoms in the packing of molecules in the solid state. The energetics associated with short and directional intermolecular Csp 2 -H...F-Csp 2 interactions with significantly high electrostatic contributions is noteworthy, and the topological analysis reveals the bonding character of these ubiquitous interactions in crystal packing in addition to the presence of Csp 2 -F...F-Csp 2 contacts.

  18. Fluorine incorporation in solution-processed poly-siloxane passivation for highly reliable a-InGaZnO thin-film transistors

    Science.gov (United States)

    Yoshida, Naofumi; Bermundo, Juan Paolo; Ishikawa, Yasuaki; Nonaka, Toshiaki; Taniguchi, Katsuto; Uraoka, Yukiharu

    2018-03-01

    We investigated a fluorine-containing polysiloxane (Poly-SX) passivation layer fabricated by solution process for amorphous InGaZnO (a-IGZO) thin-film transistors (TFT). This passivation layer greatly improved the stability of the a-IGZO device even after being subjected to positive bias stress (PBS) and negative bias stress (NBS). The mobility (µ) of TFTs passivated by fluorine-containing Poly-SX increased by 31%-56% (10.50-12.54 cm2 V-1 s-1) compared with TFTs passivated by non-fluorinated Poly-SX (8.04 cm2 V-1 s-1). Increasing the amount of fluorine additives led to a higher µ in passivated TFTs. Aside from enhancing the performance, these passivation layers could increase the reliability of a-IGZO TFTs under PBS and NBS with a minimal threshold voltage shift (ΔV th) of up to  +0.2 V and  -0.1 V, respectively. Additionally, all TFTs passivated by the fluorinated passivation materials did not exhibit a hump effect after NBS. We also showed that fluorinated photosensitive Poly-SX, which can be fabricated without any dry etching process, had an effective passivation property. In this report, we demonstrated the photolithography of Poly-SX, and electrical properties of Poly-SX passivated TFTs, and analyzed the state of the a-IGZO layer to show the large potential of Poly-SX as an effective solution-processed passivation material.

  19. Determination of fluorine by proton induced gamma ray emission (PIGE) spectrometry in igneous and metamorphic charnockitic rocks from Rogaland (S.W. Norway)

    International Nuclear Information System (INIS)

    Roelandts, I.; Robaye, G.; Weber, G.; Delbrouck, J.M.; Duchesne, J.C.

    1986-01-01

    More than 200 specimens from different occurrences of the Rogaland igneous complex and surrounding granulite facies metamorphic rocks (S.W. Norway) have been analysed by a direct non-destructive proton induced gamma ray emission (PIGE) technique. The fluorine contents vary from < 25 ppm to 3500 ppm. There is a good correlation between the concentration of fluorine and that of phosphorus for igneous rocks, suggesting a control of apatite on the F content. In metamorphic rocks, amphibole and biotite besides apatite are the principal concentrations of fluorine indicating that fluorine in the system is controlled by granulite facies metamorphism conditions. (author)

  20. Optimization of localized 19F magnetic resonance spectroscopy for the detection of fluorinated drugs in the human liver.

    NARCIS (Netherlands)

    Klomp, D.W.J.; Laarhoven, H.W.M. van; Kentgens, A.P.M.; Heerschap, A.

    2003-01-01

    Fluorine MR spectroscopy ((19)F MRS) is an indispensable tool for assessing the pharmacokinetics of fluorinated drugs. Since the metabolism of 5-fluorouracil (5FU), a frequently used cytotoxic drug, is expected to be different in normal liver and in tumor tissue, spatial localization is required for

  1. Optimization of localized 19F magnetic resonance spectroscopy for the detection of fluorinated drugs in the human liver

    NARCIS (Netherlands)

    Klomp, Dennis W. J.; van Laarhoven, Hanneke W. M.; Kentgens, Arno P. M.; Heerschap, Arend

    2003-01-01

    Fluorine MR spectroscopy ((19)F MRS) is an indispensable tool for assessing the pharmacokinetics of fluorinated drugs. Since the metabolism of 5-fluorouracil (5FU), a frequently used cytotoxic drug, is expected to be different in normal liver and in tumor tissue, spatial localization is required for

  2. On the Angular Dependence of the Vicinal Fluorine-Fluorine Coupling Constant in 1,2-Difluoroethane:  Deviation from a Karplus-like Shape.

    Science.gov (United States)

    Provasi, Patricio F; Sauer, Stephan P A

    2006-07-01

    The angular dependence of the vicinal fluorine-fluorine coupling constant, (3)JFF, for 1,2-difluoroethane has been investigated with several polarization propagator methods. (3)JFF and its four Ramsey contributions were calculated using the random phase approximation (RPA), its multiconfigurational generalization, and both second-order polarization propagator approximations (SOPPA and SOPPA(CCSD)), using locally dense basis sets. The geometries were optimized for each dihedral angle at the level of density functional theory using the B3LYP functional and fourth-order Møller-Plesset perturbation theory. The resulting coupling constant curves were fitted to a cosine series with 8 coefficients. Our results are compared with those obtained previously and values estimated from experiment. It is found that the inclusion of electron correlation in the calculation of (3)JFF reduces the absolute values. This is mainly due to changes in the FC contribution, which for dihedral angles around the trans conformation even changes its sign. This sign change is responsible for the breakdown of the Karplus-like curve.

  3. Effects of surface chemical properties of activated carbon modified by amino-fluorination for electric double-layer capacitor.

    Science.gov (United States)

    Jung, Min-Jung; Jeong, Euigyung; Cho, Seho; Yeo, Sang Young; Lee, Young-Seak

    2012-09-01

    The surface of phenol-based activated carbon (AC) was seriatim amino-fluorinated with solution of ammonium hydroxide and hydrofluoric acid in varying ratio to fabricate electrode materials for use in an electric double-layer capacitor (EDLC). The specific capacitance of the amino-fluorinated AC-based EDLC was measured in a 1 M H(2)SO(4) electrolyte, in which it was observed that the specific capacitances increased from 215 to 389 Fg(-1) and 119 and 250 Fg(-1) with the current densities of 0.1 and 1.0 Ag(-1), respectively, in comparison with those of an untreated AC-based EDLC when the amino-fluorination was optimized via seriatim mixed solution of 7.43 mol L(-1) ammonium hydroxide and 2.06 mol L(-1) hydrofluoric acid. This enhancement of capacitance was attributed to the synergistic effects of an increased electrochemical activity due to the formation of surface N- and F-functional groups and increased, specific surface area, and mesopore volumes, all of which resulted from the amino-fluorination of the electrode material. Copyright © 2012 Elsevier Inc. All rights reserved.

  4. The impact of dissolved fluorine on bubble nucleation in hydrous rhyolite melts

    Science.gov (United States)

    Gardner, James E.; Hajimirza, Sahand; Webster, James D.; Gonnermann, Helge M.

    2018-04-01

    Surface tension of hydrous rhyolitic melt is high enough that large degrees of supersaturation are needed to homogeneously nucleate H2O bubbles during eruptive magma ascent. This study examines whether dissolved fluorine lowers surface tension of hydrous rhyolite, and thus lowers the supersaturation required for bubble nucleation. Fluorine was targeted because it, like H2O, changes melt properties and is highly soluble, unlike all other common magmatic volatiles. Rhyolite melts were saturated at Ps = 245 MPa with H2O fluid that contained F, generating rhyolite with 6.7 ± 0.4 wt.% H2O and 1.1-1.3 wt.% F. When these melts were decompressed rapidly to Pf = 149-202 MPa and quenched after 60 s, bubbles nucleated at supersaturations of ΔP = Ps - Pf ≥52 MPa, and reached bubble number densities of NB = 1012-13 m-3 at ΔP = 78-101 MPa. In comparison, rhyolite saturated with 6.34 ± 0.09 wt.% H2O, but only 0.25 wt.% F, did not nucleate bubbles until ΔP ≥ 100-116 MPa, and even then, at significantly lower NB (<1010 m-3). Numerical modeling of bubble nucleation and growth was used to estimate the values of surface tension required to generate the observed values of NB. Slight differences in melt compositions (i.e., alkalinity and H2O content), H2O diffusivity, or melt viscosity cannot explain the observed differences in NB. Instead, surface tension of F-rich rhyolite must be lower by approximately 4% than that of F-poor rhyolite. This difference in surface tension is significant and, for example, exceeds that found between hydrous basaltic andesite and hydrous rhyolite. These results suggest that is likely that surface tension for F-rich magmas, such as topaz rhyolite, is significantly lower than for F-poor magmas.

  5. Study of fluorine ion structural role in Al(PO3)3-MF glass by the 19F nuclear magnetic resonance method

    International Nuclear Information System (INIS)

    Gurova, N.N.; Vopilov, V.A.; Buznik, V.M.; Urusovskaya, L.N.

    1989-01-01

    Results of investigation into Al(PO 3 ) 3 -xMF glasses (M=Li, Na, K) by the 19 F NMR method are presented. Investigation supported the structural identity of glasses, containing NaF and KF. One structural position, related to fluorine atoms, coordinating lithium and aluminium ions, is observed in glasses, containing lithium fluoride. The highest mobility of fluorine atoms was revealed in glasses with lithium fluoride. Mobility of fluorine atoms is lower in glasses, containing potassium and sodium fluoride modifications. Dynamic heterogeneity in these glasses is conditioned both by distribution of frequencies of atom motion in the glass and by structural nonequivalence of positions. Fluorine atoms, coordinating cations of alkaline metals, appear to be more mobile

  6. Introduction of oxygen vacancies and fluorine into TiO2 nanoparticles by co-milling with PTFE

    International Nuclear Information System (INIS)

    Senna, Mamoru; Šepelák, Vladimir; Shi, Jianmin; Bauer, Benjamin; Feldhoff, Armin; Laporte, Vincent; Becker, Klaus-Dieter

    2012-01-01

    Solid-state processes of introducing oxygen vacancies and transference of fluorine to n-TiO 2 nanoparticles by co-milling with poly(tetrafluoroethylene) (PTFE) powder were examined by diffuse reflectance spectroscopy (DRS) of UV, visual, near- and mid-IR regions, thermal analyses (TG-DTA), energy-dispersive X-ray spectroscopy (EDXS), X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and X-ray diffraction (XRD). The broad absorption peak at around 8800 cm −1 (1140 nm) was attributed to the change in the electronic states, viz. electrons trapped at the oxygen vacancies (Vo) and d–d transitions of titanium ions. Incorporation of fluorine into n-TiO 2 was concentrated at the near surface region and amounted to ca. 40 at% of the total fluorine in PTFE, after co-milling for 3 h, as confirmed by the F1s XPS spectrum. The overall atomic ratio, F/Ti, determined by EDXS was 0.294. By combining these analytical results, a mechanism of the present solid state processes at the boundary between PTFE and n-TiO 2 was proposed. The entire process is triggered by the partial oxidative decomposition of PTFE. This is accompanied by the abstraction of oxygen atoms from the n-TiO 2 lattices. Loss of the oxygen atoms results in the formation of the diverse states of locally distorted coordination units of titania, i.e. TiO 6−n Vo n , located at the near surface region. This leads subsequent partial ligand exchange between F and O, to incorporate fluorine preferentially to the near surface region of n-TiO 2 particles, where local non-crystalline states predominate. - Graphical abstract: Scheme of the reaction processes: (a) pristine mixture, (b) oxygen abstraction from TiO 2 and (c) fluorine migration from PTFE to TiO 2 . Highlights: Transfer of fluorine from PTFE to n-TiO 2 in a dry solid state process was confirmed. ► 40% of F in PTFE was incorporated to the near surface region of n-TiO 2 nanoparticles. ► The transfer process is

  7. Fluorinated monovacancies in graphene: Even-odd effect

    KAUST Repository

    Kaloni, Thaneshwor P.; Cheng, Yingchun; Schwingenschlö gl, Udo

    2012-01-01

    The electronic and structural properties of fluorinated monovacancies in graphene are studied using density functional theory. Our calculations show that an odd number of F atoms adsorbed on a monovacancy gives rise to a p-type metallic state with a local magnetic moment of 1μ B. In contrast, an even number of F atoms leads to a non-magnetic semiconducting state. We explain the behaviour in terms of local structure properties. © Copyright EPLA, 2012.

  8. Fluorinated monovacancies in graphene: Even-odd effect

    KAUST Repository

    Kaloni, Thaneshwor P.

    2012-11-01

    The electronic and structural properties of fluorinated monovacancies in graphene are studied using density functional theory. Our calculations show that an odd number of F atoms adsorbed on a monovacancy gives rise to a p-type metallic state with a local magnetic moment of 1μ B. In contrast, an even number of F atoms leads to a non-magnetic semiconducting state. We explain the behaviour in terms of local structure properties. © Copyright EPLA, 2012.

  9. A rapid stereoselective synthesis of fluorinated carbohydrates

    International Nuclear Information System (INIS)

    Adam, M.J.; Neeser, J-R.; Hall, L.D.; Pate, B.D.

    1983-01-01

    Acetyl hypofluorite has been added to six unsaturated carbohydrates which contain the vinyl ether moiety. All reactions were rapid (less than 5 min.) at -78 degrees C and gave, with one exception, high yields of isomerically pure products. The hypofluorite was shown to add exclusively in a cis mode and with a strong preference for a particular 'face' of the double bond. As well as the syntheses, NMR data and preferred conformations for the fluorinated products are also discussed

  10. Determination of Fluorine and other light elements in Syrian teeth by PIGE and PIXE techniques

    International Nuclear Information System (INIS)

    Bakraji, E.; Ahmad, M.; Doueer, M.

    2013-01-01

    The aim of this study is to determine the concentration of Fluorine in Syrian teeth collected from Southern and Coastal regions where both populations have almost similar dietary habits and similar occupational activities. The conventional PIGE method is used to determine Fluorine concentration in human teeth since its play an important role in bony and dental tissues, the low levels of Fluorine can play positive role against teeth cariosity and an inhibitor against certain enzyme systems, where high levels can cause dental Fluorosis and bone abnormalities for the bone structure. Several cations and anions have been studied in the drinking water of studied areas in order to investigate the role played by these elements and whether fluoridation within the normal levels of worldwide standard grading. Na, Mg, P and Ca concentrations have been determined in studied samples in order to compare with earlier works. (author)

  11. Nondestructive assay of fluorine in geological and other materials by instrumental photon activation analysis with a microtron

    Energy Technology Data Exchange (ETDEWEB)

    Krausová, Ivana [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, Řež 130, 25068 Řež (Czech Republic); Mizera, Jiří, E-mail: mizera@ujf.cas.cz [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, Řež 130, 25068 Řež (Czech Republic); Institute of Rock Structure and Mechanics, Academy of Sciences of the Czech Republic, V Holešovičkách 41, 182 09 Praha 8 (Czech Republic); Řanda, Zdeněk; Chvátil, David; Krist, Pavel [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, Řež 130, 25068 Řež (Czech Republic)

    2015-01-01

    Reliable determination of low concentrations of fluorine in geological and coal samples is difficult. It usually requires tedious decomposition and dissolution of the sample followed by chemical conversion of fluorine into its anionic form. The present paper examines possibilities of non-destructive determination of fluorine, mainly in minerals, rocks and coal, by instrumental photon activation analysis (IPAA) using the MT-25 microtron. The fluorine assay consists of counting the positron–electron annihilation line of {sup 18}F at 511 keV, which is a product of the photonuclear reaction {sup 19}F(γ, n){sup 18}F and a pure positron emitter. The assay is complicated by the simultaneous formation of other positron emitters. The main contributors to interference in geological samples are from {sup 45}Ti and {sup 34m}Cl, whereas those from {sup 44}Sc and {sup 89}Zr are minor. Optimizing beam energy and irradiation-decay-counting times, together with using interfering element calibration standards, allowed reliable IPAA determination of fluorine in selected USGS and CRPG geochemical reference materials, NIST coal reference materials, and NIST RM 8414 Bovine Muscle. In agreement with the published data obtained by PIGE, the results of the F assay by IPAA have revealed erroneous reference values provided for the NIST reference materials SRM 1632 Bituminous Coal and RM 8414 Bovine Muscle. The detection limits in rock and coal samples are in the range of 10–100 μg g{sup −1}.

  12. Waste-gas damage to fruit in the vicinity of a fluorine plant

    Energy Technology Data Exchange (ETDEWEB)

    Daessler, H G; Grumbach, H

    1967-01-01

    Little attention has been paid to fluor-containing emissions and their effects on agriculture, fruit-growing, and horticulture. Effects of such emissions on species and varieties of fruits are described by the example of a fluorine plant. Acute or chronic damage was determined by meteorological and climatic conditions. Findings suggested that fruits are greatly damaged by the continued influence of fluorine-containing waste-gas, mainly in dry and hot years when the trees suffered from serious water deficit. It was found that necroses are formed under these conditions, whereas significant leaf lesions had to be ascribed to acute effects of such emissions. The amount of damage depended on the stage of vegetation and the physiological activity of of the fruit species and varieties concerned. No dependency was found to exist between the amount of fluorine in the fruits and the extent of the necroses. The causes of varying reactions in the different species and varieties have not been clarified as yet. Both the characteristic appearance and extent of damage observed in fruit necroses were found to differ by varieties. A detailed description is given on the appearance of damage. Fruit necroses resulted in considerable decline of quality level and in harvesting losses which are explained by examples.

  13. Intracrystalline fractionation of oxygen isotopes between hydroxyl and non-hydroxyl sites in kaolinite measured by thermal dehydroxylation and partial fluorination

    Science.gov (United States)

    Girard, Jean-Pierre; Savin, Samuel M.

    1996-02-01

    Thermal dehydroxylation and partial fluorination techniques were used to measure intracrystalline fractionation of oxygen isotopes between hydroxyl and non-hydroxyl sites in kaolinite. Several aliquots of a well characterized, fine-grained (rates, and target temperatures. Measured δ18O values of both the liberated water and the dehydroxylated residue are consistent over a wide range of temperatures (550 850°C) when dehydroxylation is performed in a single-step fashion at a rapid heating rate (>50°C/min.). Similar dehydroxylation experiments indicate that brucite dehydroxylation occurs without any significant isotopic fractionation of the oxygen isotopes. By extrapolation we postulate that no significant fractionation occurs during single-step thermal dehydroxylation of fine-grained kaolinite, provided that dehydroxylation is performed under well controlled conditions. In contrast, gibbsite dehydroxylation is accompanied by substantial isotopic fractionation. This is probably the result of the complex, multi-pathway dehydroxylation reaction of this mineral. Similarly, thermal dehydroxylation of coarsegrained (>1 μm) kaolinites and dickites of weathering and hydrothermal origin yield results that are dependent on the temperature of dehydroxylation. We suggest that this effect may be caused by isotopic exchange during diffusion of water molecules through coarse particles. Partial fluorination of fine-grained kaolinite in the presence of excess F2 at low temperatures (rate of reaction of hydroxyl oxygen than of non-hydroxyl oxygen, but examination of the isotopic data as well as XRD and IR analyses of the residues after partial fluorination indicates that the separation between the two types of oxygen is not complete. The results, therefore, do not yield a reliable δ18O value of the hydroxyl oxygen. The results of this study suggest that the thermal dehydroxylation technique may be appropriate for analysis of OH groups in fine-grained kaolinite. The partial

  14. Synthesis, optical properties and photostability of novel fluorinated organic–inorganic hybrid (R–NH3)2PbX4 semiconductors

    International Nuclear Information System (INIS)

    Wei, Y; Lauret, J-S; Deleporte, E; Audebert, P; Galmiche, L

    2013-01-01

    We report on the synthesis and the optical properties of several novel semiconductors (R–NH 3 ) 2 PbX 4 (X = Br − , I − or Cl − ). These semiconductors are two-dimensional organic–inorganic perovskite (2DOIP) materials and have multiple quantum-well energy level structures. We vary the organic components (R-NH 3 + ), introducing fluorine atoms into the organic part, on the phenyl ring of the amine. We discuss its influence on the self-organization ability and long-term photostability of the 2DOIPs. The trends of introducing fluorine atoms on the self-organization and long-term photostability of 2DOIPs are obtained by analysing the optical experimental results, and show that the influence of the fluorine position on the benzene ring is quite important. The most promising compounds seem to be the ones with the fluorine atom sitting on the para position of the phenyl group. (paper)

  15. Nitroimidazoles, Quinolones and Oxazolidinones as Fluorine Bearing Antitubercular Clinical Candidates

    Czech Academy of Sciences Publication Activity Database

    Patel, Rahul V.; Keum, Y.S.; Park, S.W.

    2015-01-01

    Roč. 15, č. 14 (2015), s. 1174-1186 ISSN 1389-5575 R&D Projects: GA MŠk(CZ) LO1204 Institutional support: RVO:61389030 Keywords : Antitubercular drugs * delamanid * fluorine-containing drugs Subject RIV: EB - Genetics ; Molecular Biology Impact factor: 2.841, year: 2015

  16. Novel Fluorinated Tensioactive Extractant Combined with Flotation for Decontamination of Extractant Residual during Solvent Extraction

    Science.gov (United States)

    Wu, Xue; Chang, Zhidong; Liu, Yao; Choe, Chol Ryong

    2017-12-01

    Solvent-extraction is widely used in chemical industry. Due to the amphiphilic character, a large amount of extractant remains in water phase, which causes not only loss of reagent, but also secondary contamination in water phase. Novel fluorinated extractants with ultra-low solubility in water were regarded as effective choice to reduce extractant loss in aqueous phase. However, trace amount of extractant still remained in water. Based on the high tensioactive aptitude of fluorinated solvent, flotation was applied to separate fluorinated extractant remaining in raffinate. According to the data of surface tension measurement, the surface tension of solution was obviously decreased with the addition of fluorinated extractant tris(2,2,3,3,4,4,5,5-octafluoropentyl) phosphate (FTAP). After flotation, the FTAP dissolved in water can be removed as much as 70%, which proved the feasibility of this key idea. The effects of operation time, gas velocity, pH and salinity of bulk solution on flotation performance were discussed. The optimum operating parameters were determined as gas velocity of 12ml/min, operating time of 15min, pH of 8.7, and NaCl volume concentration of 1.5%, respectively. Moreover, adsorption process of FTAP on bubble surface was simulated by ANSYS VOF model using SIMPLE algorithm. The dynamic mechanism of flotation was also theoretically investigated, which can be considered as supplement to the experimental results.

  17. Surface properties and self-cleaning ability of the fluorinated acrylate coatings modified with dodecafluoroheptyl methacrylate through two adding ways

    International Nuclear Information System (INIS)

    Yang, Xin; Zhu, Liqun; Zhang, Yang; Chen, Yichi; Bao, Baiqing; Xu, Jinlong; Zhou, Weiwei

    2014-01-01

    Highlights: • A self-cleaning test is used to evaluate the self-cleaning ability of coatings. • Adding way of fluorine monomer has an influence on the self-cleaning ability. • The fluorine content of coating surface increases by changing modification method. • High contact angles and low sliding angles are advantageous for self-cleaning. • The self-cleaning ability of coatings is analyzed after scrubbing. - Abstract: The fluorine-modified acrylate resin was synthesized by solution radical polymerization using dodecafluoroheptyl methacrylate (DFMA) and other acrylate monomers. The same weight of DFMA was added into the reaction through two different ways: (1) adding DFMA as bottom monomer (AFBM); (2) adding DFMA drop by drop (AFDD). The different coatings were prepared by blending the fluorine-modified acrylate resin with the curing agent. Compared with AFDD coating, the AFBM coating exhibited better self-cleaning ability which was confirmed by the self-cleaning test through measuring the specular gloss of coatings before contamination and after water droplets flushing. The fluorine content at the surface of AFBM coating increased from 15.1 at.% to 23.1 at.%, while the water contact angles increased by 8° and the sliding angles decreased obviously. Furthermore, the contact angles and self-cleaning ability of the coatings prepared with DFMA through two adding ways both decreased after scrubbing by wet cotton because of the decrease of the surface fluorine atom content. It could be concluded that high contact angles and low sliding angles were advantageous for coatings to obtain excellent self-cleaning ability

  18. Surface properties and self-cleaning ability of the fluorinated acrylate coatings modified with dodecafluoroheptyl methacrylate through two adding ways

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Xin [Key Laboratory of Aerospace Advanced Materials and Performance (Ministry of Education), School of Material Science and Engineering, Beihang University, Beijing 100191 (China); Zhu, Liqun, E-mail: zhulq@buaa.edu.cn [Key Laboratory of Aerospace Advanced Materials and Performance (Ministry of Education), School of Material Science and Engineering, Beihang University, Beijing 100191 (China); Zhang, Yang [Key Laboratory of Aerospace Advanced Materials and Performance (Ministry of Education), School of Material Science and Engineering, Beihang University, Beijing 100191 (China); Chen, Yichi [Key Laboratory of Bio-Inspired Smart Interfacial Science and Technology of Ministry of Education, School of Chemistry and Environment, Beihang University, Beijing 100191 (China); Bao, Baiqing; Xu, Jinlong; Zhou, Weiwei [Jiangsu Baihe Coatings Co., Ltd, Changzhou 213136 (China)

    2014-03-01

    Highlights: • A self-cleaning test is used to evaluate the self-cleaning ability of coatings. • Adding way of fluorine monomer has an influence on the self-cleaning ability. • The fluorine content of coating surface increases by changing modification method. • High contact angles and low sliding angles are advantageous for self-cleaning. • The self-cleaning ability of coatings is analyzed after scrubbing. - Abstract: The fluorine-modified acrylate resin was synthesized by solution radical polymerization using dodecafluoroheptyl methacrylate (DFMA) and other acrylate monomers. The same weight of DFMA was added into the reaction through two different ways: (1) adding DFMA as bottom monomer (AFBM); (2) adding DFMA drop by drop (AFDD). The different coatings were prepared by blending the fluorine-modified acrylate resin with the curing agent. Compared with AFDD coating, the AFBM coating exhibited better self-cleaning ability which was confirmed by the self-cleaning test through measuring the specular gloss of coatings before contamination and after water droplets flushing. The fluorine content at the surface of AFBM coating increased from 15.1 at.% to 23.1 at.%, while the water contact angles increased by 8° and the sliding angles decreased obviously. Furthermore, the contact angles and self-cleaning ability of the coatings prepared with DFMA through two adding ways both decreased after scrubbing by wet cotton because of the decrease of the surface fluorine atom content. It could be concluded that high contact angles and low sliding angles were advantageous for coatings to obtain excellent self-cleaning ability.

  19. Report of the 3rd RCM on Improved High Current Liquid and Gas Targets for Cyclotron Produced Radioisotopes

    International Nuclear Information System (INIS)

    2009-01-01

    The overall objective of this CRP was the development of new accelerator targetry technology including several target systems but focusing on the reliable production of carbon-11 and fluorine-18 in various chemical forms with a view to increasing production yields, specific activity, improving the economics of production and the availability of the radiotracers. Significant advances have been made under this CRP in the development and standardization of high power gas and liquid targets. This CRP has resulted in the development of methods which can be used in high power targets to increase Carbon-11, Fluorine-18, Nitrogen-13, Iodine-123 and Krypton-81m, specific activities (a least a two fold increase), chemical purities as well as ensuring reliability of the production of the radiopharmaceuticals derived from these radionuclides. More importantly, these advances have minimized the unnecessarily operator exposure to radiation. In addition, knowledge of recovery and characterization of enriched H 2 18 O was also gained. Through this research the production capabilities with regard to [ 18 F]F - , [ 11 C]CO 2 and [ 11 C]CH 4 have increased 3 to 6 fold. A high current niobium target system has been designed, tested and put in service which could increase production capability of 18 FDG by a factor of 2.5. A survey of target maintenance procedures has been carried out and the results of this survey are reported in this CRP. It was determined that the tritium introduced by the inevitable nuclear reactions does not pose any health physics problems either during the tracer manufacturer or during potential water reclamation. It was further determined that radionuclides produced in the metal foil during irradiation are found in the target water at very low concentrations. These impurities can be essentially eliminated by using noble metal plated foils. The radionuclides can also be reduced by the separation technology typically used for fluorine extraction from the O-18

  20. Fluorine and Lithium at the Kimberley Outcrop, Gale Crater

    Science.gov (United States)

    Forni, O.; Vaniman, D. T.; Le Deit, L.; Clegg, S. M.; Lanza, N. L.; Lasue, J.; Bish, D. L.; Mangold, N.; Wiens, R. C.; Meslin, P.-Y.; hide

    2015-01-01

    ChemCam is an active remote sensing instrument which has operated successfully on MSL since landing in August, 2012. Its laser pulses remove dust and to profile through weathering coatings of rocks up to 7 m away. Laser-induced breakdown spectroscopy (LIBS) produces emission spectra of materials ablated from the samples in electronically excited states. As the plasma cools, elements can recombine and molecular emission lines are observed. Recent experiments have shown that some of these molecular emissions can be much brighter than the associated atomic lines, especially when halo-gens and rare earth elements are present. We observed these molecular emissions in some of the ChemCam spectra and report the first detection of chlorine and fluorine with ChemCam. It is also the first time ever that fluorine has been detected on the surface of Mars. Among all the F-bearing observations, one third are observed in the Kimberley outcrop. We will dis-cuss the potential mineralogies related to these observations as well as the related elemental correlations and propose interpretations.

  1. Enhanced switching stability in Ta2O5 resistive RAM by fluorine doping

    Science.gov (United States)

    Sedghi, N.; Li, H.; Brunell, I. F.; Dawson, K.; Guo, Y.; Potter, R. J.; Gibbon, J. T.; Dhanak, V. R.; Zhang, W. D.; Zhang, J. F.; Hall, S.; Robertson, J.; Chalker, P. R.

    2017-08-01

    The effect of fluorine doping on the switching stability of Ta2O5 resistive random access memory devices is investigated. It shows that the dopant serves to increase the memory window and improve the stability of the resistive states due to the neutralization of oxygen vacancies. The ability to alter the current in the low resistance state with set current compliance coupled with large memory window makes multilevel cell switching more favorable. The devices have set and reset voltages of <1 V with improved stability due to the fluorine doping. Density functional modeling shows that the incorporation of fluorine dopant atoms at the two-fold O vacancy site in the oxide network removes the defect state in the mid bandgap, lowering the overall density of defects capable of forming conductive filaments. This reduces the probability of forming alternative conducting paths and hence improves the current stability in the low resistance states. The doped devices exhibit more stable resistive states in both dc and pulsed set and reset cycles. The retention failure time is estimated to be a minimum of 2 years for F-doped devices measured by temperature accelerated and stress voltage accelerated retention failure methods.

  2. Some Investigations of the Reaction of Activated Charcoal with Fluorine and Uranium Hexafluoride

    Energy Technology Data Exchange (ETDEWEB)

    Del Cul, G.D.; Fiedor, J.N.; Simmons, D.W.; Toth, L.M.; Trowbridge, L.D.; Williams

    1998-09-01

    The Molten Salt Reactor Experiment (MSRE) at Oak Ridge National Laboratory has been shut down since 1969, when the fuel salt was drained from the core into two Hastelloy N drain tanks at the reactor site. Over time, fluorine (F{sub 2}) and uranium hexafluoride (UF{sub 6}) moved from the salt through the gas piping to a charcoal bed, where they reacted with the activated charcoal. Some of the immediate concerns related to the migration of F{sub 2} and UF{sub 6} to the charcoal bed were the possibility of explosive reactions between the charcoal and F{sub 2}, the existence of conditions that could induce a criticality accident, and the removal and recovery of the fissile uranium from the charcoal. This report addresses the reactions and reactivity of species produced by the reaction of fluorine and activated charcoal and between charcoal and F{sub 2}-UF{sub 6} gas mixtures in order to support remediation of the MSRE auxiliary charcoal bed (ACB) and the recovery of the fissile uranium. The chemical identity, stoichiometry, thermochemistry, and potential for explosive decomposition of the primary reaction product, fluorinated charcoal, was determined.

  3. Some Investigations of the Reaction of Activated Charcoal with Fluorine and Uranium Hexafluoride

    International Nuclear Information System (INIS)

    Del Cul, G.D.; Fiedor, J.N.; Simmons, D.W.; Toth, L.M.; Trowbridge, L.D.; Williams

    1998-01-01

    The Molten Salt Reactor Experiment (MSRE) at Oak Ridge National Laboratory has been shut down since 1969, when the fuel salt was drained from the core into two Hastelloy N drain tanks at the reactor site. Over time, fluorine (F 2 ) and uranium hexafluoride (UF 6 ) moved from the salt through the gas piping to a charcoal bed, where they reacted with the activated charcoal. Some of the immediate concerns related to the migration of F 2 and UF 6 to the charcoal bed were the possibility of explosive reactions between the charcoal and F 2 , the existence of conditions that could induce a criticality accident, and the removal and recovery of the fissile uranium from the charcoal. This report addresses the reactions and reactivity of species produced by the reaction of fluorine and activated charcoal and between charcoal and F 2 -UF 6 gas mixtures in order to support remediation of the MSRE auxiliary charcoal bed (ACB) and the recovery of the fissile uranium. The chemical identity, stoichiometry, thermochemistry, and potential for explosive decomposition of the primary reaction product, fluorinated charcoal, was determined

  4. Electrical and Optical Properties of Fluorine Doped Tin Oxide Thin Films Prepared by Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Ziad Y. Banyamin

    2014-10-01

    Full Text Available Fluorine doped tin oxide (FTO coatings have been prepared using the mid-frequency pulsed DC closed field unbalanced magnetron sputtering technique in an Ar/O2 atmosphere using blends of tin oxide and tin fluoride powder formed into targets. FTO coatings were deposited with a thickness of 400 nm on glass substrates. No post-deposition annealing treatments were carried out. The effects of the chemical composition on the structural (phase, grain size, optical (transmission, optical band-gap and electrical (resistivity, charge carrier, mobility properties of the thin films were investigated. Depositing FTO by magnetron sputtering is an environmentally friendly technique and the use of loosely packed blended powder targets gives an efficient means of screening candidate compositions, which also provides a low cost operation. The best film characteristics were achieved using a mass ratio of 12% SnF2 to 88% SnO2 in the target. The thin film produced was polycrystalline with a tetragonal crystal structure. The optimized conditions resulted in a thin film with average visible transmittance of 83% and optical band-gap of 3.80 eV, resistivity of 6.71 × 10−3 Ω·cm, a carrier concentration (Nd of 1.46 × 1020 cm−3 and a mobility of 15 cm2/Vs.

  5. Storage of LWR spent fuel in air. Volume 3, Results from exposure of spent fuel to fluorine-contaminated air

    Energy Technology Data Exchange (ETDEWEB)

    Cunningham, M.E.; Thomas, L.E.

    1995-06-01

    The Behavior of Spent Fuel in Storage (BSFS) Project has conducted research to develop data on spent nuclear fuel (irradiated U0{sub 2}) that could be used to support design, licensing, and operation of dry storage installations. Test Series B conducted by the BSFS Project was designed as a long-term study of the oxidation of spent fuel exposed to air. It was discovered after the exposures were completed in September 1990 that the test specimens had been exposed to an atmosphere of bottled air contaminated with an unknown quantity of fluorine. This exposure resulted in the test specimens reacting with both the oxygen and the fluorine in the oven atmospheres. The apparent source of the fluorine was gamma radiation-induced chemical decomposition of the fluoro-elastomer gaskets used to seal the oven doors. This chemical decomposition apparently released hydrofluoric acid (HF) vapor into the oven atmospheres. Because the Test Series B specimens were exposed to a fluorine-contaminated oven atmosphere and reacted with the fluorine, it is recommended that the Test Series B data not be used to develop time-temperature limits for exposure of spent nuclear fuel to air. This report has been prepared to document Test Series B and present the collected data and observations.

  6. Storage of LWR spent fuel in air. Volume 3, Results from exposure of spent fuel to fluorine-contaminated air

    International Nuclear Information System (INIS)

    Cunningham, M.E.; Thomas, L.E.

    1995-06-01

    The Behavior of Spent Fuel in Storage (BSFS) Project has conducted research to develop data on spent nuclear fuel (irradiated U0 2 ) that could be used to support design, licensing, and operation of dry storage installations. Test Series B conducted by the BSFS Project was designed as a long-term study of the oxidation of spent fuel exposed to air. It was discovered after the exposures were completed in September 1990 that the test specimens had been exposed to an atmosphere of bottled air contaminated with an unknown quantity of fluorine. This exposure resulted in the test specimens reacting with both the oxygen and the fluorine in the oven atmospheres. The apparent source of the fluorine was gamma radiation-induced chemical decomposition of the fluoro-elastomer gaskets used to seal the oven doors. This chemical decomposition apparently released hydrofluoric acid (HF) vapor into the oven atmospheres. Because the Test Series B specimens were exposed to a fluorine-contaminated oven atmosphere and reacted with the fluorine, it is recommended that the Test Series B data not be used to develop time-temperature limits for exposure of spent nuclear fuel to air. This report has been prepared to document Test Series B and present the collected data and observations

  7. Gold nanoparticles as markers for fluorinated surfaces containing embedded amide groups

    Science.gov (United States)

    Ballarin, Barbara; Barreca, Davide; Bertola, Maurizio; Cristina Cassani, Maria; Carraro, Giorgio; Maccato, Chiara; Mignani, Adriana; Nanni, Daniele; Parise, Chiara; Ranieri, Silvia

    2018-05-01

    Indium tin oxide (ITO) substrates were functionalized with fluoroalkylsilanes (FAS) having formula RFC(O)N(R)(CH2)3Si(OMe)3 (1, R = H, RF = C5F11; 2, R = CH3, RF = C5F11;3, R = H, RF = C3F7) and containing embedded amide moieties between the perfluoroalkyl chain and the syloxanic moiety. Subsequently, Au nanoparticle deposition (AuNP) onto the ITO-FAS functionalized surfaces was carried out by immersion into a solution of citrate-stabilized AuNP. The ITO-FAS and AuNP/ITO-FAS modified systems were characterized by various complementary techniques and compared with AuNP/ITO modified with RF(CH2)2Si(OEt)3 (4, RF = C6F13), free from functional groups between the fluorinated tail and the syloxanic moiety. The results showed that only ITO glasses modified with 1, 2 and 3 displayed an oleophobic, as well as hydrophobic, behaviour and that the AuNP Surface Coverage (SC %) directly depended on the fluoroalkylsilane nature with the following trend: 60% ITO-2 > 16% ITO-3 > 9% ITO-1 > 3% ITO-4. The obtained results revealed that, in organosilane 2, the presence of a methyl group on the amide nitrogen increases the steric hindrance in the rotation around the Nsbnd CO bond, resulting in the co-presence of two stable conformers in comparable amounts. Their co-presence in solution, combined with the lack of intermolecular Nsbnd H⋯OCsbnd N hydrogen bonds among the anchored molecules, has dramatic influences on the functionalized ITO, yielding a disorderedly packed coating able to accommodate a large quantity of AuNP. These results indicate that AuNP can act as excellent probes to evaluate the coating layer quality but, at the same time, it is possible to tune the gold loading on electroactive surfaces depending on the chemical structure of the used fluorinated silane.

  8. Effect of deposition temperature on the bonding configurations and properties of fluorine doped silicon oxide film

    International Nuclear Information System (INIS)

    Lu, Wei-Lun; Kuo, Ting-Wei; Huang, Chun-Hsien; Wang, Na-Fu; Tsai, Yu-Zen; Wang, Ming-Wei; Hung, Chen-I.; Houng, Mau-Phon

    2011-01-01

    In our study, fluorine-doped silicon oxide (SiOF) films were prepared using a mixture of SiH 4 , N 2 O, and CF 4 in a conventional plasma enhanced chemical vapor deposition system at various deposition temperatures. Deposition behaviors are determined by the deposition temperature. Our results show that for temperatures below 300 deg. C the process is surface-reaction-limited controlled, but becomes diffusion-limited when the deposition temperature exceeds 300 deg. C. The surface topography images obtained using an atomic force microscope show that a large amount of free volume space was created in the film with a low temperature deposition. The optical microscope and secondary ion mass spectrometer analyses show that precipitates were produced at the near-surface at the deposition temperature of 150 deg. C with a higher fluorine concentration of 2.97 at.%. Our results show that the properties of the SiOF film are controlled not only by the free volume space but also by the fluorine concentration. An optimal SiOF film prepared at a temperature of 200 deg. C shows a low dielectric constant of 3.55, a leakage current of 1.21 x 10 -8 A/cm 2 at 1 MV/cm, and a fluorine concentration of 2.5 at.%.

  9. Fluorine profiling after application of various anti-caries gels

    International Nuclear Information System (INIS)

    Zschau, H.E.; Plier, F.; Otto, G.; Wyrwich, C.; Treide, A.

    1990-01-01

    Two newly developed caries-preventing gels were tested together with Elmex on pre-school children over a time of 3 years. Proton-induced gamma-ray emission spectrometry (PIGE) was used to measure the fluorine profiles in milk teeth (incisors). In accordance with the clinical statement the results allow to produce a new anti-caries drug. (orig.)

  10. Influence of fluorine on vegetation. [Sinapsis

    Energy Technology Data Exchange (ETDEWEB)

    Gautier, A.

    1915-01-01

    Fluorine occurs in living organisms in 2 forms, always associated with P. In epidermal tissues, nails, hair, and other tissues by which it is finally eliminated, the proportion of F to P is about the same as in apatite. In cells of glands, muscles, and nerves the proportion of F to P sinks 1 to 400. In artificial media of known F content, F in most cases favored the growth, flowering and seed production of plants, especially of Sinapsis. In exceptional cases such as corn, rye and oats, its influence remains doubtful. In rare cases it was found harmful.

  11. Adsorption studies in a fluorinated atmosphere

    International Nuclear Information System (INIS)

    Abassin, J.J.; Barberi, P.; Guillouet, Y.; Hartmanshenn, O.; Lambard, J.; Machefer, J.; Michel, J.

    1966-03-01

    This CEA report deals with the adaptation of conventional or non-conventional apparatus to the measurement of the physical and chemical adsorption of corrosive fluorine-containing gases. Various techniques are reviewed, in particular: - thermogravimetry; - volumetry; - use of radio-active tracers; - calorimetry; - hertzian spectroscopy; - infrared spectroscopy. In each of these cases, problems of corrosion call for the use of special techniques which require the extensive use of pure nickel and aluminium or certain of their alloys. Diagrams of the apparatus and some examples of applications are given, together with some details of the performances obtained and of the main drawbacks. (authors) [fr

  12. Fluorinated Analogs of Malachite Green: Synthesis and Toxicity

    Directory of Open Access Journals (Sweden)

    Bahram Parvin

    2008-04-01

    Full Text Available A series of fluorinated analogs of malachite green (MG have been synthesizedand their toxicity to Saccharomyces cerevisiae and a human ovarian epithelial cell lineexamined. The toxicity profiles were found to be different for these two species. Twoanalogs, one with 2,4-difluoro substitution and the other with 2-fluoro substitution seem tobe the most promising analogs because they showed the lowest toxicity to the human cells.

  13. Fluorinated analogs of malachite green: synthesis and toxicity.

    Science.gov (United States)

    Kraus, George A; Jeon, Insik; Nilsen-Hamilton, Marit; Awad, Ahmed M; Banerjee, Jayeeta; Parvin, Bahram

    2008-04-27

    A series of fluorinated analogs of malachite green (MG) have been synthesized and their toxicity to Saccharomyces cerevisiae and a human ovarian epithelial cell line examined. The toxicity profiles were found to be different for these two species. Two analogs, one with 2,4-difluoro substitution and the other with 2-fluoro substitution seem to be the most promising analogs because they showed the lowest toxicity to the human cells.

  14. Driven spin transitions in fluorinated single- and bilayer-graphene quantum dots

    Science.gov (United States)

    Żebrowski, D. P.; Peeters, F. M.; Szafran, B.

    2017-06-01

    Spin transitions driven by a periodically varying electric potential in dilute fluorinated graphene quantum dots are investigated. Flakes of monolayer graphene as well as electrostatic electron traps induced in bilayer graphene are considered. The stationary states obtained within the tight-binding approach are used as the basis for description of the system dynamics. The dilute fluorination of the top layer lifts the valley degeneracy of the confined states and attenuates the orbital magnetic dipole moments due to current circulation within the flake. The spin-orbit coupling introduced by the surface deformation of the top layer induced by the adatoms allows the spin flips to be driven by the AC electric field. For the bilayer quantum dots the spin flip times is substantially shorter than the spin relaxation. Dynamical effects including many-photon and multilevel transitions are also discussed.

  15. Intermittent behavior of fast and slow target fragments from 16O-AgBr interactions at 3.7 A GeV

    International Nuclear Information System (INIS)

    Li Junsheng; Zhang Donghai; Liu Fuhu

    2008-01-01

    Angular distributions of fast and slow target particles produced in 16 O-AgBr interaction at 3.7 A GeV have been reported. Intermittency and fractal behavior have been studied for emission spectra of target associated fast and slow particles. Intermittent behavior is observed for both knocked out and slow target fragments. In both the cases anomalous dimensions are seen to increase with the order of moments thereby indicating the association of multifractility with production mechanism of both fast and slow target associated particles

  16. Bioreducible Fluorinated Peptide Dendrimers Capable of Circumventing Various Physiological Barriers for Highly Efficient and Safe Gene Delivery.

    Science.gov (United States)

    Cai, Xiaojun; Jin, Rongrong; Wang, Jiali; Yue, Dong; Jiang, Qian; Wu, Yao; Gu, Zhongwei

    2016-03-09

    Polymeric vectors have shown great promise in the development of safe and efficient gene delivery systems; however, only a few have been developed in clinical settings due to poor transport across multiple physiological barriers. To address this issue and promote clinical translocation of polymeric vectors, a new type of polymeric vector, bioreducible fluorinated peptide dendrimers (BFPDs), was designed and synthesized by reversible cross-linking of fluorinated low generation peptide dendrimers. Through masterly integration all of the features of reversible cross-linking, fluorination, and polyhedral oligomeric silsesquioxane (POSS) core-based peptide dendrimers, this novel vector exhibited lots of unique features, including (i) inactive surface to resist protein interactions; (ii) virus-mimicking surface topography to augment cellular uptake; (iii) fluorination-mediated efficient cellular uptake, endosome escape, cytoplasm trafficking, and nuclear entry, and (iv) disulfide-cleavage-mediated polyplex disassembly and DNA release that allows efficient DNA transcription. Noteworthy, all of these features are functionally important and can synergistically facilitate DNA transport from solution to the nucleus. As a consequences, BFPDs showed excellent gene transfection efficiency in several cell lines (∼95% in HEK293 cells) and superior biocompatibility compared with polyethylenimine (PEI). Meanwhile BFPDs provided excellent serum resistance in gene delivery. More importantly, BFPDs offer considerable in vivo gene transfection efficiency (in muscular tissues and in HepG2 tumor xenografts), which was approximately 77-fold higher than that of PEI in luciferase activity. These results suggest bioreducible fluorinated peptide dendrimers are a new class of highly efficient and safe gene delivery vectors and should be used in clinical settings.

  17. Synthesis of new molecular probes radiolabelled with fluorine-18 for imaging neuro-inflammation with Positon Emission Tomography

    International Nuclear Information System (INIS)

    Medran-Navarrete, Vincent

    2014-01-01

    The work presented in this manuscript aims to describe the synthesis of new ligands of the translocation protein 18 kDa (TSPO), their in vitro evaluation and, for the most promising candidates, their isotopic radiolabelling with the short-lived positron emitter fluorine-18 (t 1/2 : 109.8 minutes). The ultimate goal of this work consists in developing new molecular probes, or bio-markers, for imaging neuro-inflammation in a non-invasive and atraumatic manor using Positron Emission Tomography (PET). Neuro-inflammatory processes have been identified in Alzheimer and Parkinson diseases, MS and various psychiatric pathologies. The radioligand of choice for imaging TSPO is currently [ 18 F]DPA-714, a pyr-azolo[1,5-a]pyrimidine radiolabelled with fluorine-18 which has been recently prepared in our laboratories. However, [ 18 F]DPA-714 undergoes a rapid in vivo loss of the radioactive fluorine by cleavage of the fluoro-alkoxy chain as demonstrated in metabolic studies. Therefore, my PhD project aimed to design and develop new structurally related analogues of DPA-714 where the linkage between the main backbone and the fluorine-18 would be reinforced. To this extent, nineteen compounds were prepared and their affinity towards the TSPO was evaluated. Two promising candidates, coded DPA-C5yne and CfO-DPA-714, were radiolabelled with fluorine-18 with good radiochemical yields (20-30 %) and high specific radioactivities (50-90 GBq/μmol). These radioligands were also evaluated by PET imaging at the preclinical stage and displayed equivalent or slightly improved results when compared to [ 18 F]DPA- 714. (author) [fr

  18. Growth and morphological changes of cucumber cotyledons as influenced by fluorine exhalations

    Energy Technology Data Exchange (ETDEWEB)

    Niznansky, A; Erdelska, O

    1964-01-01

    The biological effects of particulate air pollution which was rich in fluorine compounds was studied. Cucumber cotyledons were used as experimental organisms, and the types of injuries they received were categorized.

  19. Measurements of fluorine in contemporary urban Canadians: a comparison of the levels found in human bone using in vivo and ex vivo neutron activation analysis

    International Nuclear Information System (INIS)

    Mostafaei, F; McNeill, F E; Chettle, D R; Prestwich, W V; Wainman, B C; Pidruczny, A E

    2015-01-01

    Non-invasive in vivo neutron activation analysis (NAA) was used to measure the fluorine concentration in 35 people in Hamilton, Ontario, Canada. Measurement and precision data of this second generation NAA system were determined in 2013, and the results were compared with the performance of a first generation system used in a pilot study of 33 participants from the Hamilton area in 2008. Improvements in precision in line with those predicted by phantom studies were observed, but the use of fewer technicians during measurement seemed adversely to affect performance. We compared the levels of fluorine observed in people between the two studies and found them to be comparable. The average fluorine concentration in bone was found to be 3  ±  0.3 mg and 3.5  ±  0.4 mg F/g Ca for 2013 and 2008 measurements respectively. Ten people were measured in both studies; the observed average change in bone fluorine in this subgroup was consistent with that predicted by the observation of the relationship between bone fluorine and age in the wider group. In addition, we observed differences in the relationship between bone fluorine level and age between men and women, which may be attributable either to sex or gender differences. The rate of increase in fluorine content for men was found to be 0.096  ±  0.022 mg F/g Ca per year while the rate of increase for women was found to be slightly less than half that of men, 0.041  ±  0.017 mg F/g Ca per year. A discontinuity in the rate of increase in fluorine content with age was observed in women at around age 50. Bone fluorine content was significantly lower (p<0.01) in women age 50 to 59 than in women age 40 to 49, which we suggest may be attributable to bone metabolism changes associated with menopause. We also observed increased fluorine levels in tea drinkers as compared to non-tea drinkers, suggesting tea may be a significant source of exposure in Canada. The rate of increase in fluorine content

  20. Design principles and theory of paramagnetic fluorine-labelled lanthanide complexes as probes for (19)F magnetic resonance: a proof-of-concept study.

    Science.gov (United States)

    Chalmers, Kirsten H; De Luca, Elena; Hogg, Naomi H M; Kenwright, Alan M; Kuprov, Ilya; Parker, David; Botta, Mauro; Wilson, J Ian; Blamire, Andrew M

    2010-01-04

    The synthesis and spectroscopic properties of a series of CF(3)-labelled lanthanide(III) complexes (Ln=Gd, Tb, Dy, Ho, Er, Tm) with amide-substituted ligands based on 1,4,7,10-tetraazacyclododecane are described. The theoretical contributions of the (19)F magnetic relaxation processes in these systems are critically assessed and selected volumetric plots are presented. These plots allow an accurate estimation of the increase in the rates of longitudinal and transverse relaxation as a function of the distance between the Ln(III) ion and the fluorine nucleus, the applied magnetic field, and the re-rotational correlation time of the complex, for a given Ln(III) ion. Selected complexes exhibit pH-dependent chemical shift behaviour, and a pK(a) of 7.0 was determined in one example based on the holmium complex of an ortho-cyano DO3A-monoamide ligand, which allowed the pH to be assessed by measuring the difference in chemical shift (varying by over 14 ppm) between two (19)F resonances. Relaxation analyses of variable-temperature and variable-field (19)F, (17)O and (1)H NMR spectroscopy experiments are reported, aided by identification of salient low-energy conformers by using density functional theory. The study of fluorine relaxation rates, over a field range of 4.7 to 16.5 T allowed precise computation of the distance between the Ln(III) ion and the CF(3) reporter group by using global fitting methods. The sensitivity benefits of using such paramagnetic fluorinated probes in (19)F NMR spectroscopic studies are quantified in preliminary spectroscopic and imaging experiments with respect to a diamagnetic yttrium(III) analogue.