WorldWideScience

Sample records for fluence implanted gaas

  1. Nucleation of point defects in low-fluence ion-implanted GaAs and GaP

    International Nuclear Information System (INIS)

    Wesch, W.; Wendler, E.; Gaertner, K.

    1992-01-01

    The defect production due to low-fluence medium-mass ion implantation into GaAs and GaP at room temperature is investigated. In the parameter region analysed weakly damaged layers are created containing point defects and point defects complexes. Temperature dependent channeling measurements show different structures of the damage produced in the two materials. The depth profiles of the near-edge optical absorption coefficient K sufficiently correspond to the profiles of the primarily produced vacancy concentration N vac . The absorption coefficient K(N vac ) determined from the depth profiles of the two magnitudes shows a square root dependence for GaAs, whereas for GaP a linear dependence is found. The differences observed are discussed in the frame of different nucleation mechanisms. (orig.)

  2. Recoil mixing in high-fluence ion implantation

    International Nuclear Information System (INIS)

    Littmark, U.; Hofer, W.O.

    1979-01-01

    The effect of recoil mixing on the collection and depth distribution of implanted projectiles during high-fluence irradiation of a random solid is investigated by model calculations based on a previously published transport theoretical approach to the general problem of recoil mixing. The most pronounced effects are observed in the maximum implantable amount of projectiles and in the critical fluence for saturation. Both values are significantly increased by recoil mixing. (Auth.)

  3. The influence of annealing on manganese implanted GaAs films

    International Nuclear Information System (INIS)

    Buerger, Danilo; Zhou, Shengqiang; Grenzer, Joerg; Reuther, Helfried; Anwand, Wolfgang; Gottschalch, Volker; Helm, Manfred; Schmidt, Heidemarie

    2009-01-01

    Besides low-temperature molecular beam epitaxy, ion implantation provides an alternative route to incorporate Mn into GaAs above the equilibrium solubility limit. Recently, Mn implanted GaAs diluted magnetic semiconductor was obtained by pulsed laser annealing. However, post-implantation annealing can lead to the formation of secondary phases. In order to compare the post-annealing effect, we investigate GaMnAs by implanting up to 6 at% Mn followed by rapid thermal and flashlamp annealing. The structural properties were probed by high resolution X-ray diffraction. The magnetic properties were determined by SQUID measurements. Auger electron spectroscopy has been used to profile the depth distribution of Mn in GaAs after implantation and annealing. We elucidate after implantation a loss of As and that during rapid thermal annealing most of the Mn diffuses towards the surface. Flash lamp annealing prevents out-diffusion, but the recrystallisation efficiency is low. Only the flash lamp annealed samples reveal weak ferromagnetism.

  4. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    Science.gov (United States)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  5. Optical properties tailoring by high fluence implantation of Ag ions on sapphire

    International Nuclear Information System (INIS)

    Marques, C.; Silva, R.C. da; Wemans, A.; Maneira, M.J.P.; Kozanecki, A.; Alves, E.

    2006-01-01

    Optical and structural properties of single crystalline α-Al 2 O 3 were changed by the implantation of high fluences of Ag ions. Colourless transparent (101-bar 0) sapphire samples were implanted at room temperature with 160keV silver ions and fluences up to 1x10 17 Agcm -2 . Surface amorphization is observed at the fluence of 6x10 16 Agcm -2 . Except for the lower fluences (below 6x10 16 Agcm -2 ) the optical absorption spectra reveal the presence of a band peaking in the region 450-500nm, depending on the retained fluence. This band has been attributed to the presence of silver colloids, being thus 1x10 16 Agcm -2 below the threshold for colloid formation during the implantation. Annealing in oxidizing atmosphere promotes the recrystallization along with segregation of Ag followed by loss through evaporation. Recrystallization is retarded for annealing in reducing atmosphere and the Ag profile displays now a double peak structure after evaporation. Playing with the implantation fluence, temperature and annealing atmosphere controllable shifts of the position and intensity of the optical bands in the visible were achieved

  6. Implantation annealing in GaAs by incoherent light

    International Nuclear Information System (INIS)

    Davies, D.E.; Ryan, T.G.; Soda, K.J.; Comer, J.J.

    1983-01-01

    Implanted GaAs has been successfully activated through concentrating the output of quartz halogen lamps to anneal in times of the order of 1 sec. The resulting layers are not restricted by the reduced mobilities and thermal instabilities of laser annealed GaAs. Better activation can be obtained than with furnace annealing but this generally requires maximum temperatures >= 1050degC. (author)

  7. High microwave performance ion-implanted GaAs MESFETs on InP substrates

    International Nuclear Information System (INIS)

    Wada, M.; Kato, K.

    1990-01-01

    Ion implantation was employed, for the first time, in fabricating GaAs MESFETs in undoped 2 μm thick GaAs epitaxial layers directly grown on InP substrates by low-pressure MOVPE. The Si-ion-implanted GaAs layer on InP substrates showed excellent electrical characteristics: a mobility of 4300 cm 2 /Vs with a carrier density of 2 x 10 17 cm -3 at room temperature. The MESFET (0.8 μm gate length) exhibited a current-gain cutoff frequency of 25 GHz and a maximum frequency of oscillation of 53 GHz, the highest values yet reported to GaAs MESFETs on InP substrates. These results demonstrate the high potential of ion-implanted MESFETs as electronic devices for high-speed InP-based OEICs. (author)

  8. Fluence dependence of disorder depth profiles in Pb implanted Si

    International Nuclear Information System (INIS)

    Christodoulides, C.E.; Kadhim, N.J.; Carter, G.

    1980-01-01

    The total, depth integrated disorder, induced by Pb implantation into Si at room temperature, initially increases rapidly with implantation fluence and then reaches a quasi saturation level where the increase with fluence is slow. Measurements of the depth distributions of the disorder, using high resolution low angle exit Rutherford Backscattering/Channelling analysis, suggest that the quasi saturation results from overlapping of disordered zones generated deep in the tail of the disorder-depth profiles. The depth of the disordered solid-crystal boundary, xsub(D), increases with ion fluence PHI, according to the relation xsub(D) = x bar + f(PHI).σ, where x bar is the most probable projected depth and σ the projected standard deviation of disorder generation. It is shown that this relationship is consistent with an approximately Gaussian depth distribution of disorder production. (author)

  9. Damage related deep electron levels in ion implanted GaAs

    International Nuclear Information System (INIS)

    Allsopp, D.W.E.; Peaker, A.R.

    1986-01-01

    A study has been made of the deep electron levels in semi-insulating GaAs implanted with either 78 Se + or 29 Si + ions and rendered n-type by subsequent annealing without encapsulation in partial pressures of arsenic or arsine. Three implantation related deep states were detected with concentration profiles approximating to the type of Gaussian distributions expected for point defects related to ion implantation damage. Further heat treatment of the samples at 500 0 C in a gas ambient of U 2 /H 2 substantially reduced concentration of these deep levels. Two of these states were thought to be related to displacements of the substrate atoms. The third, at Esubc -0.67 eV, was found in only 78 Se + ion implanted GaAs substrates and was thought to be a defect involving both Se and As atoms, rather than intrinsic lattice disorder. It is proposed that the annealing rate of these implantation related deep levels depends crucially on the in-diffusion of arsenic vacancies during heat treatments. (author)

  10. Ge nano-layer fabricated by high-fluence low-energy ion implantation

    International Nuclear Information System (INIS)

    Lu Tiecheng; Dun Shaobo; Hu Qiang; Zhang Songbao; An Zhu; Duan Yanmin; Zhu Sha; Wei Qiangmin; Wang Lumin

    2006-01-01

    A Ge nano-layer embedded in the surface layer of an amorphous SiO 2 film was fabricated by high-fluence low-energy ion implantation. The component, phase, nano-structure and luminescence properties of the nano-layer were studied by means of Rutherford backscattering, glancing incident X-ray diffraction, laser Raman scattering, transmission electron microscopy and photoluminescence. The relation between nano-particle characteristics and ion fluence was also studied. The results indicate that nano-crystalline Ge and nano-amorphous Ge particles coexist in the nano-layer and the ratio of nano-crystalline Ge to nano-particle Ge increases with increasing ion fluence. The intensity of photoluminescence from the nano-layer increases with increasing ion fluence also. Prepared with certain ion fluences, high-density nano-layers composed of uniform-sized nano-particles can be observed

  11. Effect of thermal annealing on optical properties of implanted GaAs

    NARCIS (Netherlands)

    Kulik, M; Komarov, FF; Maczka, D

    GaAs samples doped with indium atoms by ion implantation and thermal annealed were studied using a channelling method, Rutherford backscattering, and an ellipsometry. From these measurements it was observed that the layer implanted with 3 x 10(16) cm(-2) indium dose was totally damaged and its

  12. Electrical properties of Ga ion beam implanted GaAs epilayer

    International Nuclear Information System (INIS)

    Hirayama, Yoshiro; Okamoto, Hiroshi

    1985-01-01

    Resistivity enhancement by 5 orders or more was realized by Ga focused ion beam implantation into n + and p + GaAs epilayers. For originally n + epilayers, this resistivity enhancement is maintained after annealing as high as 800 deg C. However this enhancement disappears after annealing at above 650 deg C for p + epilayer. This property makes GaAs high resistive only in a limited area whose minimum dimension is 0.1 μm or less, and is attractive for a device fabrication process to electrically isolate integrated elements. (author)

  13. Capacitance-voltage characteristics of GaAs ion-implanted structures

    Directory of Open Access Journals (Sweden)

    Privalov E. N.

    2008-08-01

    Full Text Available A noniterative numerical method is proposed to calculate the barrier capacitance of GaAs ion-implanted structures as a function of the Schottky barrier bias. The features of the low- and high-frequency capacitance-voltage characteristics of these structures which are due to the presence of deep traps are elucidated.

  14. TIME-DEPENDENT MOSSBAUER-SPECTROSCOPY AND 119MTE-IMPLANTED GAAS

    NARCIS (Netherlands)

    MO, D; ZHANG, GL; NIESEN, L; Waard , de Hendrik

    1991-01-01

    A new type of time-dependent Mossbauer spectroscopy is proposed and realized on the basis of using the two-step decay (119m)Te --> 113Sb --> Sn-119. For the GaAs samples, implanted with a dose of 110-keV (119m)Te + 10(15) stable Te/cm2 and annealed at 600-degrees-C, the relative intensities of

  15. The effect of incremental gamma-ray doses and incremental neutron fluences upon the performance of self-biased sup 1 sup 0 B-coated high-purity epitaxial GaAs thermal neutron detectors

    CERN Document Server

    Gersch, H K; Simpson, P A

    2002-01-01

    High-purity epitaxial GaAs sup 1 sup 0 B-coated thermal neutron detectors advantageously operate at room temperature without externally applied voltage. Sample detectors were systematically irradiated at fixed grid locations near the core of a 2 MW research reactor to determine their operational neutron dose threshold. Reactor pool locations were assigned so that fast and thermal neutron fluxes to the devices were similar. Neutron fluences ranged between 10 sup 1 sup 1 and 10 sup 1 sup 4 n/cm sup 2. GaAs detectors were exposed to exponential fluences of base ten. Ten detector designs were irradiated and studied, differentiated between p-i-n diodes and Schottky barrier diodes. The irradiated sup 1 sup 0 B-coated detectors were tested for neutron detection sensitivity in a thermalized neutron beam. Little damage was observed for detectors irradiated at neutron fluences of 10 sup 1 sup 2 n/cm sup 2 and below, but signals noticeably degraded at fluences of 10 sup 1 sup 3 n/cm sup 2. Catastrophic damage was appare...

  16. High fluence effects on ion implantation stopping and range

    International Nuclear Information System (INIS)

    Selvi, S.; Tek, Z.; Oeztarhan, A.; Akbas, N.; Brown, I.G.

    2005-01-01

    We have developed a code STOPPO which can be used to modify the more-widely used ion implantation codes to more accurately predict the mean nuclear and electronic stopping power, preferential sputtering and range of heavy ions in monatomic target materials. In our simulations an effective atomic number and effective atomic mass are introduced into conveniently available analytical stopping cross-sections and a better fitting function for preferential sputtering yield is carefully evaluated for each ion implantation. The accuracy of the code confirmed experimentally by comparison with measured Rutherford backscattering spectrometry (RBS) concentration profiles for 130 keV Zr ions implanted into Be to fluences of 1 x 10 17 , 2 x 10 17 and 4 x 10 17 ions/cm 2 . We find a steady increase in the mean nuclear and electronic stopping powers of the target; the increase in nuclear stopping power is much greater than the increase in electronic stopping power

  17. Magnesium aluminate planar waveguides fabricated by C-ion implantation with different energies and fluences

    Energy Technology Data Exchange (ETDEWEB)

    Song, Hong-Lian; Yu, Xiao-Fei; Zhang, Lian; Wang, Tie-Jun; Qiao, Mei; Zhang, Jing; Liu, Peng; Wang, Xue-Lin, E-mail: xuelinwang@sdu.edu.cn

    2015-11-01

    We report on MgAl{sub 2}O{sub 4} planar waveguides produced using different energies and fluences of C-ion implantation at room temperature. Based on the prism coupling method and end-face coupling measurements, light could propagate in the C-ion-implanted samples. The Raman spectra results indicate that the MgAl{sub 2}O{sub 4} crystal lattice was damaged during the multi-energy C implantation process, whereas the absorption spectra were hardly affected by the C-ion implantation in the visible and infrared bands.

  18. Enhanced electrical activation of Zn and Be implants in GaAs by the co-implantation of phosphorus

    International Nuclear Information System (INIS)

    Tang, A.C.T.; Sealy, B.J.; Rezazadeh, A.A.

    1989-01-01

    In this paper, we report that, through the use of rapid thermal annealing (RTA) and the co-implantation of phosphorus, an effective way of preventing the in- and out-diffusion of zinc and beryllium has been achieved in GaAs. This is of particular significance in the case of the beryllium implanted samples because, to date, there has been no method for preventing the out-diffusion of beryllium atoms at high annealing temperatures. We have observed that the reverse annealing behaviour of the Be-implanted samples has been modified after the co-implantation of phosphorus. Furthermore, abrupt electrical profiles with hole concentrations of the order of 6x10 19 cm -3 have been achieved with the Zn+P implants after annealing at 850 0 C for 30 s. (author)

  19. Influence of implantation conditions of He+ ions on the structure of a damaged layer in GaAs(001)

    International Nuclear Information System (INIS)

    Shcherbachev, Kirill; Bailey, Melanie J.

    2011-01-01

    An investigation into the influence of implantation conditions (dose, energy, and target temperature) of He + ions on the damage structure of GaAs (100) substrates was performed by HRXRD, scanning electron microscopy, and Nomarski microscopy. Blistering is shown to become apparent as characteristic features of isolines in RSMs. We propose that the formation of the defects yielding a characteristic XRDS is defined by the behavior of implanted atoms in the GaAs matrix, depending on two competing processes: (1) formation of the gas-filled bubbles; (2) diffusion of the He atoms from the bubbles toward the surface and deep into the GaAs substrate. We conclude that the gas-filled bubbles change the structure of the irradiated layer, resulting in the formation of strained crystalline areas of the GaAs matrix. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. The application of low angle Rutherford backscattering and channelling techniques to determine implantation induced disorder profile distributions in semiconductors

    International Nuclear Information System (INIS)

    Ahmed, N.A.G.; Christodoulides, C.E.; Carter, G.; Nobes, M.J.; Titov, A.I.

    1980-01-01

    Low angle exit (9 0 ) Rutherford backscattering geometry and channelling of 2 MeV 4 He + are employed to investigate the disorder depth profiles created by 40 keV N + implantation in (111) silicon and (100) GaAs targets. Parameters which can influence the disordering rate and its spatial distribution, such as ion fluence flux, substrate type and substrate temperature are examined. Under certain implantation conditions, the damage profile distributions are asymmetric - exhibiting a bimodal form in silicon targets or confined much closer to the GaAs surface than the normally expected mean range of 40 keV N + ions. (orig.)

  1. Rapid capless annealing of28Si,64Zn, and9Be implants in GaAs

    Science.gov (United States)

    Liu, S. G.; Narayan, S. Y.

    1984-11-01

    We report the use of tungsten-halogen lamps for rapid (-10 s) thermal annealing of ion-implanted (100) GaAs under AsH3/Ar and N2 atmospheres. Annealing under flowing AsH3/Ar was carried out without wafer encapsulation. Rapid capless annealing activated implants in GaAs with good mobility and surface morphology. Typical mobilities were 3700 4500 cm2/V-s for n-layers with about 2×1017cm-3 carrier concentration and 50 150 cm2/v-s for 0.1 5xl019 cm-3 doped p-layers. Rapid thermal annealing was performed in a vertical quartz tube where different gases (N2, AsH3/H2, AsH3/Ar) can be introduced. Samples were encapsulated with SiO when N2 was used. Tungsten-halogen lamps of 600 or 1000 W were utilized for annealing GaAs wafers ranging from 1 to 10 cm2 in area and 0.025 to 0.040 cm in thickness. The transient temperature at the wafer position was monitored using a fine thermocouple. We carried out experiments for energies of 30 to 200 keV, doses of 2×1012 to 1×1015 cm-2, and peak temperatures ranging from 600 to 1000‡C. Most results quoted are in the 700 to 870‡C temperature range. Data on implant conditions, optimum anneal conditions, electrical characteristics, carrier concentration profiles, and atomic profiles of the implanted layers are described.

  2. Lateral n-p-n bipolar transistors by ion implantation into semi-insulating GaAs

    International Nuclear Information System (INIS)

    Canfield, P.; Forbes, L.

    1988-01-01

    GaAs bipolar transistors have not seen the major development effort that GaAs MESFETs have due primarily to the short minority carrier lifetimes in GaAs. The short minority carrier lifetimes require that the base region be very thin which, if done by implantation, requires that the doping be high to obtain a well defined base profile. These requirements are very difficult to achieve in GaAs and typically, if high current gain and high speed are desired for a bipolar technology, then heterostructure bipolars are the appropriate technology, although the cost of heterostructure devices will be prohibitive for some time to come. For applications requiring low current gain, more modest fabrication rules can be followed. Lateral bipolars are particularly attractive since they would be easier to fabricate than a planar bipolar or a heterojunction bipolar. Lateral bipolars do not require steps or deep contacts to make contact with the subcollector or highly doped very thin epilayers for the base region and they can draw upon the semi-insulating properties of the GaAs substrates for device isolation. Bipolar transistors are described and shown to work successfully. (author)

  3. Evolution of arsenic in high fluence plasma immersion ion implanted silicon: Behavior of the as-implanted surface

    Energy Technology Data Exchange (ETDEWEB)

    Vishwanath, V. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States); Demenev, E. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Department of Molecular Science and Nanosystems, Ca’Foscari University, Dorsoduro 2137, 30123 Venice (Italy); Giubertoni, D., E-mail: giuberto@fbk.eu [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Vanzetti, L. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Koh, A.L. [Stanford Nanocharacterization Laboratory, Stanford University, 476 Lomita Mall, Stanford, CA 94305 (United States); Steinhauser, G. [Colorado State University, Environmental and Radiological Health Sciences, Fort Collins, CO 80523 (United States); Leibniz Universität Hannover, Institut für Radioökologie und Strahlenschutz, 30419 Hannover (Germany); Pepponi, G.; Bersani, M. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Meirer, F., E-mail: f.meirer@uu.nl [Inorganic Chemistry and Catalysis, Utrecht University, Utrecht 3584 CG (Netherlands); Foad, M.A. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States)

    2015-11-15

    Highlights: • Samples prepared by high fluence, low-energy PIII of AsH{sub 3}{sup +} on Si(1 0 0) were studied. • PIII is of high technological interest for ultra-shallow doping and activation. • We used a multi-technique approach to study the As-implanted surface. • We show that PIII presents a new set of problems that needs to be tackled. • The presented study goes toward understanding the root mechanisms involved. - Abstract: High fluence (>10{sup 15} ions/cm{sup 2}) low-energy (<2 keV) plasma immersion ion implantation (PIII) of AsH{sub 3}{sup +} on (1 0 0) silicon was investigated, with the focus on stability and retention of the dopant. At this dose, a thin (∼3 nm) amorphous layer forms at the surface, which contains about 45% arsenic (As) in a silicon and oxygen matrix. The presence of silicon indicates that the layer is not only a result of deposition, but predominantly ion mixing. High fluence PIII introduces high concentration of arsenic, modifying the stopping power for incoming ions resulting in an increased deposition. When exposed to atmosphere, the arsenic rich layer spontaneously evolves forming arsenolite As{sub 2}O{sub 3} micro-crystals at the surface. The micro-crystal formation was monitored over several months and exhibits typical crystal growth kinetics. At the same time, a continuous growth of native silicon oxide rich in arsenic was observed on the exposed surface, suggesting the presence of oxidation enhancing factors linked to the high arsenic concentration at the surface.

  4. Effect of fluence on the lattice site of implanted Er and implantation induced strain in GaN

    CERN Document Server

    Wahl, U; Decoster, S; Vantomme, A; Correi, J G

    2009-01-01

    A GaN thin film was implanted with 5 × 1014 cm−2 of 60 keV stable 166Er, followed by the implantation of 2 × 1013 cm−2 radioactive 167Tm (t1/2 = 9.3 d) and an annealing sequence up to 900 °C. The emission channeling (EC) technique was applied to assess the lattice location of Er following the Tm decay from the conversion electrons emitted by 167mEr, which showed that more than 50% of 167mEr occupies substitutional Ga sites. The results are briefly compared to a 167mEr lattice location experiment in a GaN sample not pre-implanted with 166Er. In addition, high-resolution X-ray diffraction (HRXRD) was used to characterize the perpendicular strain in the high-fluence implanted film. The HRXRD experiments showed that the Er implantation resulted in an increase of the c-axis lattice constant of the GaN film around 0.5–0.7%. The presence of significant disorder within the implanted region was corroborated by the fact that the EC patterns for off-normal directions exhibit a pronounced angular broadening of t...

  5. Development of a simple, low cost, indirect ion beam fluence measurement system for ion implanters, accelerators

    Science.gov (United States)

    Suresh, K.; Balaji, S.; Saravanan, K.; Navas, J.; David, C.; Panigrahi, B. K.

    2018-02-01

    We developed a simple, low cost user-friendly automated indirect ion beam fluence measurement system for ion irradiation and analysis experiments requiring indirect beam fluence measurements unperturbed by sample conditions like low temperature, high temperature, sample biasing as well as in regular ion implantation experiments in the ion implanters and electrostatic accelerators with continuous beam. The system, which uses simple, low cost, off-the-shelf components/systems and two distinct layers of in-house built softwarenot only eliminates the need for costly data acquisition systems but also overcomes difficulties in using properietry software. The hardware of the system is centered around a personal computer, a PIC16F887 based embedded system, a Faraday cup drive cum monitor circuit, a pair of Faraday Cups and a beam current integrator and the in-house developed software include C based microcontroller firmware and LABVIEW based virtual instrument automation software. The automatic fluence measurement involves two important phases, a current sampling phase lasting over 20-30 seconds during which the ion beam current is continuously measured by intercepting the ion beam and the averaged beam current value is computed. A subsequent charge computation phase lasting 700-900 seconds is executed making the ion beam to irradiate the samples and the incremental fluence received by the sampleis estimated usingthe latest averaged beam current value from the ion beam current sampling phase. The cycle of current sampling-charge computation is repeated till the required fluence is reached. Besides simplicity and cost-effectiveness, other important advantages of the developed system include easy reconfiguration of the system to suit customisation of experiments, scalability, easy debug and maintenance of the hardware/software, ability to work as a standalone system. The system was tested with different set of samples and ion fluences and the results were verified using

  6. Atomic mixing effects on high fluence Ge implantation into Si at 40 keV

    International Nuclear Information System (INIS)

    Gras-Marti, A.; Jimenez-Rodriguez, J.J.; Peon-Fernandez, J.; Rodriguez-Vidal, M.; Tognetti, N.P.; Carter, G.; Nobes, M.J.; Armour, D.G.

    1982-01-01

    Ion implanted profiles of 40 keV Ge + into Si at fluences ranging from approx. equal to 10 15 ions/cm 2 up to saturation have been measured using the RBS technique. The profiles compare well with the predictions of an analytical model encompasing sputter erosion plus atomic relocation. (orig.)

  7. Characterization of vacancy-type defects induced by the implantation of Se and Si ions into GaAs by a slow positron beam

    International Nuclear Information System (INIS)

    Fujii, Satoshi; Shikata, Shinichi; Wei Long; Tanigawa, Shoichiro.

    1992-01-01

    Variable-energy (0-30keV) positron beam studies have been carried out on 200 keV Se-implanted and 70 keV Si-implanted GaAs specimens before and after annealing for electrical activation. From the measurements of Doppler broadened profiles as a function of incident positron energy, it was found that vacancy clusters with high concentration were introduced in the annealed specimen after Se implantation. From the parallel measurement of electrical characteristics, a higher activation efficiency was found for the higher concentration of vacancy clusters. That fact implies that electrons supplied by the activation of Se also convert the charge state of As vacancies from positive to negative. In contrast, no vacancy clusters were introduced in the Si-implanted GaAs. (author)

  8. Linearity of photoconductive GaAs detectors to pulsed electrons

    International Nuclear Information System (INIS)

    Ziegler, L.H.

    1995-01-01

    The response of neutron damaged GaAs photoconductor detectors to intense, fast (50 psec fwhm) pulses of 16 MeV electrons has been measured. Detectors made from neutron damaged GaAs are known to have reduced gain, but significantly improved bandwidth. An empirical relationship between the observed signal and the incident electron fluence has been determined

  9. Characteristics of GaAs MESFET inverters exposed to high energy neutrons

    International Nuclear Information System (INIS)

    Bloss, W.L.; Yamada, W.E.; Young, A.M.; Janousek, B.K.

    1988-01-01

    GaAs MESFET circuits have been exposed to high energy neutrons with fluences ranging from 1x10/sup 14/ n/cm/sup 2/ to 2x10/sup 15/ m/cm/sup 2/. Discrete transistors, inverters, and ring oscillators were characterized at each fluence. While the MESFETs exhibit significant threshold voltage shifts and transconductance and saturation current degradation over this range of neutron fluences, the authors have observed improvement in the DC characteristics of Schottky Diode FET Logic (SDFL) inverters. This unusual result has been successfully simulated using device parameters extracted from FETs damaged by exposure to high energy neutrons. Although the decrease in device transconductance results in an increase in inverter gate delay, as reflected in ring oscillator frequency measurements, the authors conclude that GaAs ICs fabricated from this logic family will remain functional after exposure to extreme neutron fluences. This is a consequence of the observed improvement in inverter noise margin evident in both measured and simulated circuit performance

  10. Electrical properties of Be-implanted GaAssub(1-x)Psub(x)

    International Nuclear Information System (INIS)

    Chatterjee, P.K.; McLevige, W.V.; Streetman, B.G.

    1976-01-01

    Hall effect and resistivity measurements on Be implanted GaAssub(1-x)Psub(x)(x approximately 0.38) indicate that essentially 100% doping efficiency may be obtained for normal Be concentrations after a 900 0 C anneal using either SiO 2 or Si 3 N 4 as an encapsulant. The temperature dependence of hole mobility in these samples exhibits impurity banding effects similar to those reported in heavily Zn doped GaAs. Hall effect measurements in conjunction with successive thin layer removal techniques indicate there is no significant diffusion of the implanted Be during anneal for a fluence of 6 x 10 13 ions/cm 2 . (author)

  11. Rapid-relocation model for describing high-fluence retention of rare gases implanted in solids

    Science.gov (United States)

    Wittmaack, K.

    2009-09-01

    It has been known for a long time that the maximum areal density of inert gases that can be retained in solids after ion implantation is significantly lower than expected if sputter erosion were the only limiting factor. The difference can be explained in terms of the idea that the trapped gas atoms migrate towards the surface in a series of detrapping-trapping events so that reemission takes place well before the receding surface has advanced to the original depth of implantation. Here it is shown that the fluence dependent shift and shape of implantation profiles, previously determined by Rutherford backscattering spectrometry (RBS), can be reproduced surprisingly well by extending a simple retention model originally developed to account only for the effect of surface recession by sputtering ('sputter approximation'). The additional migration of inert gas atoms is formally included by introducing an effective shift parameter Yeff as the sum of the sputtering yield Y and a relocation efficiency Ψrel. The approach is discussed in detail for 145 keV Xe + implanted in Si at normal incidence. Yeff was found to increase with increasing fluence, to arrive at a maximum equivalent to about twice the sputtering yield. At the surface one needs to account for Xe depletion and the limited depth resolution of RBS. The (high-fluence) effect of implanted Xe on the range distributions is discussed on the basis of SRIM calculations for different definitions of the mean target density, including the case of volume expansion (swelling). To identify a 'range shortening' effect, the implanted gas atoms must be excluded from the definition of the depth scale. The impact-energy dependence of the relocation efficiency was derived from measured stationary Xe concentrations. Above some characteristic energy (˜20 keV for Ar, ˜200 keV for Xe), Y exceeds Ψrel. With decreasing energy, however, Ψrel increases rapidly. Below 2-3 keV more than 90% of the reemission of Ar and Xe is estimated

  12. Behavior of χ(2) during a laser-induced phase transition in GaAs

    Science.gov (United States)

    Glezer, E. N.; Siegal, Y.; Huang, L.; Mazur, E.

    1995-04-01

    We explicitly determine the second-order optical susceptibility of GaAs following intense femtosecond laser-pulse excitation from second-harmonic-generation measurements. To separate the dependence of the 4.4-eV second-harmonic signal on the second-order susceptibility from its dependence on the linear dielectric constant, we use experimentally determined values for the dielectric constant of GaAs at 2.2 and 4.4 eV. The results show that the excitation of electrons and the resulting changes in the lattice affect the behavior of the second-order susceptibility. At pump fluences of 0.6 kJ/m2 and higher, the material loses long-range order on a time scale ranging from 100 femtoseconds to tens of picoseconds, depending on the pump fluence. A recovery of the second-order susceptibility to its initial value at pump fluences between 0.6 and 1.0 kJ/m2 shows that the loss of long-range order is reversible in this fluence regime.

  13. Laser-induced band-gap collapse in GaAs

    Science.gov (United States)

    Glezer, E. N.; Siegal, Y.; Huang, L.; Mazur, E.

    1995-03-01

    We present experimentally determined values of the dielectric constant of GaAs at photon energies of 2.2 and 4.4 eV following excitation of the sample with 1.9-eV, 70-fs laser pulses spanning a fluence range from 0 to 2.5 kJ/m2. The data show that the response of the dielectric constant to the excitation is dominated by changes in the electronic band structure and not by the optical susceptibility of the excited free carriers. The behavior of the dielectric constant indicates a drop in the average bonding-antibonding splitting of GaAs following the laser-pulse excitation. This drop in the average splitting leads to a collapse of the band gap on a picosecond time scale for excitation at fluences near the damage threshold of 1.0 kJ/m2 and on a subpicosecond time scale at higher excitation fluences. The changes in the electronic band structure result from a combination of electronic screening of the ionic potential as well as structural deformation of the lattice caused by the destabilization of the covalent bonds.

  14. Ion Implantation of Calcium and Zinc in Magnesium for Biodegradable Implant Applications

    Directory of Open Access Journals (Sweden)

    Sahadev Somasundaram

    2018-01-01

    Full Text Available In this study, magnesium was implanted with calcium-ion and zinc-ion at fluences of 1015, 1016, and 1017 ion·cm−2, and its in vitro degradation behaviour was evaluated using electrochemical techniques in simulated body fluid (SBF. Rutherford backscattering spectrometry (RBS revealed that the implanted ions formed layers within the passive magnesium-oxide/hydroxide layers. Electrochemical impedance spectroscopy (EIS results demonstrated that calcium-ion implantation at a fluence of 1015 ions·cm−2 increased the polarisation resistance by 24%, but higher fluences showed no appreciable improvement. In the case of zinc-ion implantation, increase in the fluence decreased the polarisation resistance. A fluence of 1017 ion·cm−2 decreased the polarisation resistance by 65%, and fluences of 1015 and 1016 showed only marginal effect. Similarly, potentiodynamic polarisation results also suggested that low fluence of calcium-ion decreased the degradation rate by 38% and high fluence of zinc-ion increased the degradation rate by 61%. All the post-polarized ion-implanted samples and the bare metal revealed phosphate and carbonate formation. However, the improved degradative behaviour in calcium-ion implanted samples can be due to a relatively better passivation, whereas the reduction in degradation resistance in zinc-ion implanted samples can be attributed to the micro-galvanic effect.

  15. Helium behaviour in UO{sub 2} through low fluence ion implantation studies

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, P., E-mail: philippe.garcia@cea.fr [CEA – DEN/DEC, Bât. 352, 13108 Saint-Paul-Lez-Durance Cedex (France); Gilabert, E. [Centre d’Et' udes Nucleáires de Bordeaux-Gradignan, Le Haut Vigneau, 33175 Gradignan (France); Martin, G.; Carlot, G.; Sabathier, C. [CEA – DEN/DEC, Bât. 352, 13108 Saint-Paul-Lez-Durance Cedex (France); Sauvage, T.; Desgardin, P.; Barthe, M.-F. [CNRS-CEMHTI, UPR3079, 45071 Orleáns (France)

    2014-05-01

    In this work we focus on experiments involving implantation of 500 keV {sup 3}He ions in sintered polycrystalline material. Samples are implanted at low fluences (∼2 ×10{sup 13} ions/cm{sup 2}) and subsequently isothermally annealed in a highly sensitive thermal desorption spectrometry (TDS) device PIAGARA (Plateforme Interdisciplinaire pour l’Analyse des GAz Rares en Aquitaine). The helium fluencies studied are two to three orders of magnitude lower than previous Nuclear Reaction Analysis (NRA) experiments carried out on identical samples implanted at identical energies. The fractional release of helium obtained in the TDS experiments is interpreted using a three-dimensional axisymmetric diffusion model which enables results to be quantitatively compared to previous NRA data. The analysis shows that helium behaviour is qualitatively independent of ion fluency over three orders of magnitude: helium diffusion appears to be strongly inhibited below 1273 K within the centre of the grains presumably as a result of helium bubble precipitation. The scenario involving diffusion at grain boundaries and in regions adjacent to them observed at higher fluencies is quantitatively confirmed at much lower doses. The main difference lies in the average width of the region in which uninhibited diffusion occurs.

  16. Damage accumulation in nitrogen implanted 6H-SiC: Dependence on the direction of ion incidence and on the ion fluence

    International Nuclear Information System (INIS)

    Zolnai, Z.; Ster, A.; Khanh, N. Q.; Battistig, G.; Lohner, T.; Gyulai, J.; Kotai, E.; Posselt, M.

    2007-01-01

    The influence of crystallographic orientation and ion fluence on the shape of damage distributions induced by 500 keV N + implantation at room temperature into 6H-SiC is investigated. The irradiation was performed at different tilt angles between 0 degree sign and 4 degree sign with respect to the crystallographic axis in order to consider the whole range of beam alignment from channeling to random conditions. The applied implantation fluence range was 2.5x10 14 -3x10 15 cm -2 . A special analytical method, 3.55 MeV 4 He + ion backscattering analysis in combination with channeling technique (BS/C), was employed to measure the disorder accumulation simultaneously in the Si and C sublattices of SiC with good depth resolution. For correct energy to depth conversion in the BS/C spectra, the average electronic energy loss per analyzing He ion for the axial channeling direction was determined. It was found that the tilt angle of nitrogen implantation has strong influence on the shape of the induced disorder profiles. Significantly lower disorder was found for channeling than for random irradiation. Computer simulation of the measured BS/C spectra showed the presence of a simple defect structure in weakly damaged samples and suggested the formation of a complex disorder state for higher disorder levels. Full-cascade atomistic computer simulation of the ion implantation process was performed to explain the differences in disorder accumulation on the Si and C sublattices. The damage buildup mechanism was interpreted with the direct-impact, defect-stimulated amorphization model in order to understand damage formation and to describe the composition of structural disorder versus the ion fluence and the implantation tilt angle

  17. Optical properties of InxGa1-xP/InP grown at high fluence Ga+ implantation on InP using focused ion beam

    International Nuclear Information System (INIS)

    Fang, Hsin-Chiao; Liu, Chuan-Pu; Dhara, Sandip

    2011-01-01

    Single-crystalline InP(1 0 0) substrate was implanted by 30 keV Ga + ions with fluences of 1 x 10 16 -1.5 x 10 17 cm -2 followed by post-annealing treatment at 750 o C to recover implantation-induced structural defects and activate dopants into the lattices. The optical property, composition, and microstructure of the Ga + -implanted InP were studied by Raman spectroscopy and transmission electron microscopy (TEM). Raman spectra show that the In x Ga 1-x P phase is formed at a critical fluence of 7 x 10 16 cm -2 . The newly grown phase was identified with the appearance of Ga rich TO InP and In rich TO GaP modes of a random alloy in the 1 bond-2 phonon mode configuration along with TEM structural identification.

  18. Raman study of damage processes in Si+-implanted GaAs

    International Nuclear Information System (INIS)

    Ivanda, M.; Desnica, U.V.; Haynes, T.E.; Hartmann, I.; Kiefer, W.

    1994-09-01

    Ion-induced damage in GaAs as a function of ion dose following 100 keV Si + implants has been investigated by Raman spectroscopy. A new approach for decomposition of Raman scattering intensity on to the crystalline and amorphous phase components has been used in analysis of Raman spectra. With increasing ion dose the following was observed: (a) the widths of vibrational bands of a-phase significantly increase, while the width of the LO(Γ) phonon band of c-phase remains unchanged; (b) the longitudinal optical phonon band of c-phase completely dissappears, while the transverse optical phonon mode evolves in to a new band of a-phase; (c) the wavenumbers of all vibrational bands of a- and c-phase shift to lower values by ∼ 10--15 cm -1 . A number of mechanisms possibly accountable for these shifts were analysed and evaluated

  19. Ab-initio study of the electronic structure of sup 1 sup 9 F implanted in GaAs and GaN crystals

    CERN Document Server

    Park, J H; Cho, H S; Shin, Y N

    1998-01-01

    We have studied the nuclear quadrupole interaction of a fluorine atom implanted in gallium arsenide and gallium nitride cluster models using the ab-initio Hartree-Fock theory. For the three possible fluorine sites in GaAs and GaN, we have determined the location of the implanted fluorine atom by using a self-consistent calculation, the electric field gradient at the implanted atom, and the electronic structure. Good agreement is found with experimental data wherever they are available. Predictions are made for the implanted fluorine site associated with the total energy and the electric field gradient which are expected to be measurable by a variety of experimental techniques.

  20. Origin and behavior of main electron traps in Si-implanted GaAs

    International Nuclear Information System (INIS)

    Fang, Z.Q.; Yamamoto, H.; Look, D.C.

    1990-01-01

    The electron traps in Si-implanted active layers (n ∼ 10 17 cm -3 ) have been studied by capacitance and conductance DLTS techniques in conjunction with different anneal conditions, which include rapid thermal anneals at different temperatures and furnace anneals with Si 3 N 4 cap or capless in an AsH 3 atmosphere. As compared to the electron traps in as-grown bulk n-GaAs (n ∼ 4 x 10 16 cm -3 ), nearly the same electron traps, i.e. EL2, EL3, EL4, EL5, EL6, and EL9 can be observed in the Si-implanted layers. Through a comparison with the annealing behavior of the main electron traps in bulk n-GaAs, the processing associated origins of some of the traps (EL2, EL3, EL4, EL5 and EL9) observed in Si-implanted GaAs layers have been determined. For some Si-implanted capped with Si 3 N 4 and furnace annealed, traps EL3 and EL4 dominate the trap EL2. In such layers it is found that emission due to EL3 is reduced while emission from EL12 is augmented by increasing the filling pulse width from 10 μs to 5 x 10 3 μs. In this paper phenomenon is explained in terms of a defect reaction enhanced by electron capture, showing a metastability or bistability

  1. Application of low-cost Gallium Arsenide light-emitting-diodes as kerma dosemeter and fluence monitor for high-energy neutrons

    International Nuclear Information System (INIS)

    Mukherjee, B.; Simrock, S.; Khachan, J.; Rybka, D.; Romaniuk, R.

    2007-01-01

    Displacement damage (DD) caused by fast neutrons in unbiased Gallium Arsenide (GaAs) light emitting diodes (LED) resulted in a reduction of the light output. On the other hand, a similar type of LED irradiated with gamma rays from a 60 Co source up to a dose level in excess of 1.0 kGy (1.0 x 10 5 rad) was found to show no significant drop of the light emission. This phenomenon was used to develop a low cost passive fluence monitor and kinetic energy released per unit mass dosemeter for accelerator-produced neutrons. These LED-dosemeters were used to assess the integrated fluence of photoneutrons, which were contaminated with a strong Bremsstrahlung gamma-background generated by the 730 MeV superconducting electron linac driving the free electron laser in Hamburg (FLASH) at Deutsches Elektronen-Synchrotron. The applications of GaAs LED as a routine neutron fluence monitor and DD precursor for the electronic components located in high-energy accelerator environment are highlighted. (authors)

  2. Effect of H+ implantation on the optical properties of semi-insulating GaAs crystals in the IR spectral region

    International Nuclear Information System (INIS)

    Klyui, N. I.; Lozinskii, V. B.; Liptuga, A. I.; Dikusha, V. N.; Oksanych, A. P.; Kogdas’, M. G.; Perekhrest, A. L.; Pritchin, S. E.

    2017-01-01

    The optical properties of semi-insulating GaAs crystals subjected to multienergy hydrogen-ion implantation and treatment in a high-frequency electromagnetic field are studied in the infrared spectral region. It is established that such combined treatment provides a means for substantially increasing the transmittance of GaAs crystals to values characteristic of crystals of high optical quality. On the basis of analysis of the infrared transmittance and reflectance data, Raman spectroscopy data, and atomic-force microscopy data on the surface morphology of the crystals, a physical model is proposed to interpret the effects experimentally observed in the crystals. The model takes into account the interaction of radiation defects with the initial structural defects in the crystals as well as the effect of compensation of defect centers by hydrogen during high-frequency treatment.

  3. Selenium implantation in epitaxial gallium arsenide layers

    International Nuclear Information System (INIS)

    Inada, T.; Tokunaga, K.; Taka, S.; Yuge, Y.; Kohzu, H.

    1981-01-01

    Selenium implantation at room temperature in S-doped epitaxial GaAs layers as a means of the formation of n + layers has been investigated. Doping profiles for Se-implanted layers have been examined by a C-V technique and/or a differential Hall effect method. It has been shown that n + layers with a maximum carrier concentration of approx. equal to1.5 x 10 18 cm -3 can be formed by implantation followed by a 15 min annealing at 950 0 C. Contact resistance of ohmic electrodes is reduced by use of the Se-implanted n + layers, resulting in the improvement on GaAs FET performance. Measured minimum noise figure of the Se-implanted GaAs FETs is 0.74 dB at 4 GHz. (orig.)

  4. Strain buildup in GaAs due to 100 MeV Ag ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Shramana; Bhaumik, Sudipta; Panda, Jaya Kumar [Department of Physics and Meteorology, Indian Institute of Technology, Kharagpur 721 302 (India); Ojha, Sunil [Inter-University Accelerator Center, Aruna Asaf Ali Marg, New Delhi 110 067 (India); Dhar, Achintya [Department of Physics and Meteorology, Indian Institute of Technology, Kharagpur 721 302 (India); Kabiraj, D. [Inter-University Accelerator Center, Aruna Asaf Ali Marg, New Delhi 110 067 (India); Roy, Anushree, E-mail: anushree@phy.iitkgp.ernet.in [Department of Physics and Meteorology, Indian Institute of Technology, Kharagpur 721 302 (India)

    2013-12-01

    The formation of strained layers and a non-monotonic evolution of strain in high energy (100 MeV) silver ion (Ag{sup 7+}) irradiated undoped semi-insulating GaAs are observed and analyzed using Raman scattering and high resolution X-ray diffraction (HRXRD) measurements. At low fluence, compressively strained layers are formed, whereas, with increase in fluence both compressive and tensile strains appear as observed from HRXRD measurements. Further, at low fluence, the change in compressive strain with increase in fluence is found to be sharper than what is observed at higher fluence, thereby suggesting a critical fluence value, beyond which there is a simultaneous generation and annihilation of vacancy type defects. The initial blue shift and subsequent relative red shift beyond above critical fluence in the Raman peak also qualitatively reveal non-monotonic evolution of strain in this case. Finally, we demonstrate the sensitivity of Raman spectroscopy in detecting the decrease in lattice ordering in the crystal in the low fluence regime, below the detection limit of Rutherford back-scattering channeling (c-RBS) measurements.

  5. Effect of H{sup +} implantation on the optical properties of semi-insulating GaAs crystals in the IR spectral region

    Energy Technology Data Exchange (ETDEWEB)

    Klyui, N. I.; Lozinskii, V. B., E-mail: lvb@isp.kiev.ua [Jilin University, College of Physics (China); Liptuga, A. I.; Dikusha, V. N. [National Academy of Sciences of Ukraine, Lashkaryov Institute of Semiconductor Physics (Ukraine); Oksanych, A. P.; Kogdas’, M. G.; Perekhrest, A. L.; Pritchin, S. E. [Kremenchug National University (Ukraine)

    2017-03-15

    The optical properties of semi-insulating GaAs crystals subjected to multienergy hydrogen-ion implantation and treatment in a high-frequency electromagnetic field are studied in the infrared spectral region. It is established that such combined treatment provides a means for substantially increasing the transmittance of GaAs crystals to values characteristic of crystals of high optical quality. On the basis of analysis of the infrared transmittance and reflectance data, Raman spectroscopy data, and atomic-force microscopy data on the surface morphology of the crystals, a physical model is proposed to interpret the effects experimentally observed in the crystals. The model takes into account the interaction of radiation defects with the initial structural defects in the crystals as well as the effect of compensation of defect centers by hydrogen during high-frequency treatment.

  6. High-fluence implantation in insulators. 1

    International Nuclear Information System (INIS)

    Mazzoldi, P.

    1989-01-01

    The defects which can be formed by ion implantation depend upon the insulator structure and composition. Thus, for glasses and ceramics, different changes are expected in mechanical and tribological properties, network dilatation, induced optical absorption and luminescence, compositional changes and modifications in the chemical behaviour. The modifications induced by ion implantation in the composition of glasses, with particular reference to alkali silicate glasses, the mechanical and tribological properties of ion implanted insulators, in particular glasses and ceramics, and the optical properties are discussed. 56 refs.; 20 figs

  7. Creation of oxygen-enriched layers at the surface of GaAs single crystal

    International Nuclear Information System (INIS)

    Kulik, M.; Maczka, D.; Kobzev, A.P.

    1999-01-01

    The optical properties and the element depth profiles at the (100) plane high resistant and noncomposite GaAs single crystals implanted with In ions were investigated. The results have been compared with those obtained for virgin samples. The optic properties for all of the samples (implanted and not implanted, annealed and not annealed) have been measured using the ellipsometric method. The element depth profiles for the same samples have been obtained by the RBS and NRA techniques. It has been shown that the post-implantation annealing at a temperature more than 600 deg C leads to a ten time increase in contents of oxygen atoms in the implanted layer with respect to the not annealed sample. The thickness of the transparence layer at the surface of GaAs single crystal increases also after implantation with In ions and subsequent annealing

  8. Optimization of the GaAs et GaAs/Si annealing using halogen lamp flashes

    International Nuclear Information System (INIS)

    Blanck, H.

    1989-01-01

    The aim of the work is to check whether the flash annealing of GaAs and GaAs/Si, using halogen lamps, allows an improvement in the results obtained by usual methods. The electrical activation, defects behavior and results uniformity are studied. The results on the activation and diffusion of implanted impurities are shown to be equivalent to those obtained with classical annealing methods. However, residual impurities (or defects) diffusion phenomena are restrained by the flash annealing technique. The Hall effect cartographic measurements showed an improvement of the uniformity of the implanted coating surface resistance. Flash annealing is a suitable method for the Si activation in GaAs. It allows an improvement of the GaAs results obtained with standard techniques, as well as the formation, by means of ion implantation, of active zones in the GaAs/Si layers [fr

  9. The influence of the ion implantation temperature and the dose rate on smart-cut in GaAs

    International Nuclear Information System (INIS)

    Webb, M.; Jeynes, C.; Gwilliam, R.; Too, P.; Kozanecki, A.; Domagala, J.; Royle, A.; Sealy, B.

    2005-01-01

    The temperature and dose rate dependence of the smart-cut process in GaAs have been investigated in this paper. The distribution of hydrogen and the implantation damage in the samples were studied by ion beam analysis and X-ray diffraction. It was found that at higher temperatures, hydrogen is mobile in the lattice and can rearrange into the platelets, microcracks and bubbles which are present in blistered material, thus relieving the strain in the lattice. The dose rate was also found to be significant for the smart-cut process, as blistering and exfoliation are inhibited at low dose rates

  10. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Yongwei; Zhang, Miao [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Deng, Chuang; Men, Chuanling [School of Energy and Power Engineering, University of Shanghai for Science and Technology, Shanghai 200093 (China); Chen, Da [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Zhu, Lei; Yu, Wenjie; Wei, Xing [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Di, Zengfeng, E-mail: zfdi@mail.sim.ac.cn [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Wang, Xi [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China)

    2015-08-15

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10{sup 17} cm{sup −2}, the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10{sup 17} cm{sup −2}. • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10{sup 17} cm{sup −2}, the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10{sup 17} cm{sup −2} H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF{sub 6} plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era.

  11. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    International Nuclear Information System (INIS)

    Chang, Yongwei; Zhang, Miao; Deng, Chuang; Men, Chuanling; Chen, Da; Zhu, Lei; Yu, Wenjie; Wei, Xing; Di, Zengfeng; Wang, Xi

    2015-01-01

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10 17 cm −2 , the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10 17 cm −2 . • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10 17 cm −2 , the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10 17 cm −2 H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF 6 plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era

  12. Shallow doping of gallium arsenide by recoil implantation

    International Nuclear Information System (INIS)

    Sadana, D.K.; Souza, J.P. de; Rutz, R.F.; Cardone, F.; Norcott, M.H.

    1989-01-01

    Si atoms were recoil-implanted into GaAs by bombarding neutral (As + ) or dopant (Si + ) ions through a thin Si cap. The bombarded samples were subsequently rapid thermally or furnace annealed at 815-1000 degree C in Ar or arsine ambient. The presence of the recoiled Si in GaAs and resulting n + -doping was confirmed by secondary ion mass spectrometry and Hall measurements. It was found that sheet resistance of 19 cm 3 and the annealing temperature was > 850 degree C. The present electrical data show that the recoil implant method is a viable alternative to direct shallow implant for n + doping of GaAs. 7 refs., 3 figs., 1 tab

  13. Complete p-type activation in vertical-gradient freeze GaAs co-implanted with gallium and carbon

    Science.gov (United States)

    Horng, S. T.; Goorsky, M. S.

    1996-03-01

    High-resolution triple-axis x-ray diffractometry and Hall-effect measurements were used to characterize damage evolution and electrical activation in gallium arsenide co-implanted with gallium and carbon ions. Complete p-type activation of GaAs co-implanted with 5×1014 Ga cm-2 and 5×1014 C cm-2 was achieved after rapid thermal annealing at 1100 °C for 10 s. X-ray diffuse scattering was found to increase after rapid thermal annealing at 600-900 °C due to the aggregation of implantation-induced point defects. In this annealing range, there was ˜10%-72% activation. After annealing at higher annealing temperatures, the diffuse scattered intensity decreased drastically; samples that had been annealed at 1000 °C (80% activated) and 1100 °C (˜100% activated) exhibited reciprocal space maps that were indicative of high crystallinity. The hole mobility was about 60 cm2/V s for all samples annealed at 800 °C and above, indicating that the crystal perfection influences dopant activation more strongly than it influences mobility. Since the high-temperature annealing simultaneously increases dopant activation and reduces x-ray diffuse scattering, we conclude that point defect complexes which form at lower annealing temperatures are responsible for both the diffuse scatter and the reduced activation.

  14. Intrinsic radiation tolerance of ultra-thin GaAs solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hirst, L. C.; Yakes, M. K.; Warner, J. H.; Schmieder, K. J.; Walters, R. J.; Jenkins, P. P. [U.S. Naval Research Laboratory, 4555 Overlook Ave. SW., Washington, D.C. 20375 (United States); Bennett, M. F. [Sotera Defense Solutions, Inc., Annapolis Junction, Maryland 20701-1067 (United States)

    2016-07-18

    Radiation tolerance is a critical performance criterion of photovoltaic devices for space power applications. In this paper we demonstrate the intrinsic radiation tolerance of an ultra-thin solar cell geometry. Device characteristics of GaAs solar cells with absorber layer thicknesses 80 nm and 800 nm were compared before and after 3 MeV proton irradiation. Both cells showed a similar degradation in V{sub oc} with increasing fluence; however, the 80 nm cell showed no degradation in I{sub sc} for fluences up to 10{sup 14 }p{sup +} cm{sup −2}. For the same exposure, the I{sub sc} of the 800 nm cell had severely degraded leaving a remaining factor of 0.26.

  15. Surface damage on polycrystalline β-SiC by xenon ion irradiation at high fluence

    Science.gov (United States)

    Baillet, J.; Gavarini, S.; Millard-Pinard, N.; Garnier, V.; Peaucelle, C.; Jaurand, X.; Duranti, A.; Bernard, C.; Rapegno, R.; Cardinal, S.; Escobar Sawa, L.; De Echave, T.; Lanfant, B.; Leconte, Y.

    2018-05-01

    Polycrystalline β-silicon carbide (β-SiC) pellets were prepared by Spark Plasma Sintering (SPS). These were implanted at room temperature with 800 keV xenon at ion fluences of 5.1015 and 1.1017 cm-2. Microstructural modifications were studied by electronic microscopy (TEM and SEM) and xenon profiles were determined by Rutherford Backscattering Spectroscopy (RBS). A complete amorphization of the implanted area associated with a significant oxidation is observed for the highest fluence. Large xenon bubbles formed in the oxide phase are responsible of surface swelling. No significant gas release has been measured up to 1017 at.cm-2. A model is proposed to explain the different steps of the oxidation process and xenon bubbles formation as a function of ion fluence.

  16. Optical and electrical properties of disordered layers in GaAs crystals produced by Si+ ion implantation

    International Nuclear Information System (INIS)

    Shigetomi, S.; Matsumori, T.

    1981-01-01

    The ion dose dependence and the annealing behavior of GaAs after implantation with 200 keV 2 x 10 12 -2 x 10 16 Si + ions/cm 2 as studied by using optical absorption, reflection, photoluminescence, sheet-resistivity, Hall effect and field effect methods. The experimental results of the optical absorption and reflection indicate that, when annealed at about 400 0 C, the disordered layers produced by high-dose implantation (2 x 10 15 -2 x 10 16 ions/cm 2 ), reorder epitaxially on the underlying crystal leaving relatively little residual disorder in the near-surface. However, from the experimental results of optical reflection, 800 0 C annealing is required for perfect recrystallization. The temperature dependence of the sheet-resistivity, with annealing temperature as a parameter, indicates that, next to the variable-range hopping and phonon-assisted hopping regions, a new region is observed. Holes, thermally-activated from localized states near the Fermi level Esub(F) to the mobility edge Esub(V) near the valence band, are responsible for electrical conduction in this region. (orig.)

  17. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    International Nuclear Information System (INIS)

    Auden, E.C.; Vizkelethy, G.; Serkland, D.K.; Bossert, D.J.; Doyle, B.L.

    2017-01-01

    The Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al_0_._3Ga_0_._7As/GaAs/Al_0_._2_5Ga_0_._7_5As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation as photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.

  18. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    Energy Technology Data Exchange (ETDEWEB)

    Auden, E.C., E-mail: eauden@sandia.gov; Vizkelethy, G.; Serkland, D.K.; Bossert, D.J.; Doyle, B.L.

    2017-05-15

    The Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al{sub 0.3}Ga{sub 0.7}As/GaAs/Al{sub 0.25}Ga{sub 0.75}As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation as photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.

  19. Wettability control of polystyrene by ion implantation

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Iwaki, Masaya

    1994-01-01

    The permanent effects of ion implantation on the improvement of wettability of polystyrene is investigated in relation to ion species and fluences. The He + , Ne + , Na + , N 2 + , O 2 + , Ar + , K + and Kr + ion implantations were performed at energies of 50 and 150 keV at room temperature. The fluences ranged from 1x10 15 to 1x10 17 ions/cm 2 . The results showed that the contact angle of water for Na + and K + implanted polystyrene decreased from 87 to 0 , as the fluences increased to 1x10 17 ions/cm 2 at an energy of 50 keV. The contact angle for Na + and K + implanted polystyrene did not change under ambient room conditions, even when time elapsed. However, the contact an gle for He + , C + , O + , Ne + , N 2 + , O 2 + , Ar + , and Kr + ion implanted specimens decreased slightly immediately after ion implantation. Results of X-ray photoelectron spectroscopy showed that the increase in the Na content in the surface of Na + implanted specimens were observed with increasing fluence. It is concluded that permanent improvement in wettability was caused by doping effects rather than by radiation effects from Na + and K + ion implantation. ((orig.))

  20. A novel wide range, real-time neutron fluence monitor based on commercial off the shelf gallium arsenide light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, B., E-mail: bhaskar.mukherjee@uk-essen.de [Westdeutsches Protonentherapiezentrum Essen (WPE) gGmbH, Hufelandstrasse 55, D-45147 Essen (Germany); Hentschel, R. [Strahlenklinik, University Hospital Essen (Germany); Lambert, J. [Westdeutsches Protonentherapiezentrum Essen (WPE) gGmbH, Hufelandstrasse 55, D-45147 Essen (Germany); Deya, W. [Strahlenklinik, University Hospital Essen (Germany); Farr, J. [Westdeutsches Protonentherapiezentrum Essen (WPE) gGmbH, Hufelandstrasse 55, D-45147 Essen (Germany)

    2011-10-01

    Displacement damage produced by high-energy neutrons in gallium arsenide (GaAs) light emitting diodes (LED) results in the reduction of light output. Based on this principle we have developed a simple, cost effective, neutron detector using commercial off the shelf (COTS) GaAs-LED for the assessment of neutron fluence and KERMA at critical locations in the vicinity of the 230 MeV proton therapy cyclotron operated by Westdeutsches Protonentherapiezentrum Essen (WPE). The LED detector response (mV) was found to be linear within the neutron fluence range of 3.0x10{sup 8}-1.0x10{sup 11} neutron cm{sup -2}. The response of the LED detector was proportional to neutron induced displacement damage in LED; hence, by using the differential KERMA coefficient of neutrons in GaAs, we have rescaled the calibration curve for two mono-energetic sources, i.e. 1 MeV neutrons and 14 MeV neutrons generated by D+T fusion reaction. In this paper we present the principle of the real-time GaAs-LED based neutron fluence monitor as mentioned above. The device was calibrated using fast neutrons produced by bombarding a thick beryllium target with 14 MeV deuterons from a TCC CV 28 medical cyclotron of the Strahlenklinik University Hospital Essen.

  1. Modifying the conductivity of polypyrrole through low-energy lead ion implantation

    International Nuclear Information System (INIS)

    Booth, Marsilea Adela; Leveneur, Jérôme; Costa, Alexsandro Santos; Kennedy, John; Harbison, SallyAnn; Travas-Sejdic, Jadranka

    2012-01-01

    Interest lies in the creation of novel nanocomposite materials obtained through mixing, impregnation or incorporation techniques. One such technique is ion implantation which possesses the potential for retaining properties from the base material and implanted material as well as any effects observed from combining the two. To this end low-energy (15 keV) implantation of lead ions of various fluences was performed in conducting polypyrrole films. The presence of lead-rich particles was evidenced through transmission electron microscopy. An interesting trend was observed between fluence and conductivity. Of the fluences tested, the optimum fluences of lead ion implantation in polypyrrole films for enhanced conductivity are 5 × 10 14 at. cm −2 and 2 × 10 15 at. cm −2 . The conductivity and stability appear to result from a combination of effects: polymer degradation arising from ion beam damage, an increase in charge-carriers (dications) present after implantation and precipitation of Pb-rich nanoparticles. Monitoring conductivity over time showed increased retention of conductivity levels after lead implantation. Improvements in stability for polypyrrole open avenues for application and bring polypyrrole one step closer to practical use. A mechanism is suggested for this advantageous retained conductivity. -- Highlights: ► Implanted and characterized polypyrrole films with Pb ions at different fluences. ► Samples indicate high conductivity when implanted with particular fluences. ► Increase in charge carriers and precipitation of conductive Pb-rich phase. ► Conductivity stability is higher for some implanted fluences than for pristine polypyrrole.

  2. Dual-ion implantation into GaAs

    International Nuclear Information System (INIS)

    Sealy, B.J.; Bell, E.C.; Surridge, R.K.; Stephens, K.G.; Ambridge, T.; Heckingbottom, R.

    1976-01-01

    A variety of dual implants have been carried out to test the theory of Ambridge and Heckingbottom (Ambridge, T. and Heckingbottom, R., 1973, Radiat. Effects, vol. 17, 31). After annealing at 700 0 C or 750 0 C a significant enhancement of electrical activity compared with single-ion implants has been obtained for (Ga + Se) and (Sn + Se) implants but the degree of enhancement is dose dependent. The results imply that the dual implantation process is more complex than predicted by the theory and the electrical activity measured seems to be dominated by residual, compensating damage. (author)

  3. Laser-induced bandgap collapse in GaAs

    Science.gov (United States)

    Siegal, Y.; Glezer, Eli N.; Huang, Li; Mazur, Eric

    1994-05-01

    We present recent time-resolved measurements of the linear dielectric constant of GaAs at 2.2 eV and 4.4 eV following femtosecond laser pulse excitation. In sharp contrast to predictions based on the widely used Drude model, the data show an interband absorption peak coming into resonance first with the 4.4 eV probe photon energy and then with the 2.2 eV probe photon energy, indicating major changes in the band structure. The time scale for these changes ranges from within 100 fs to a few picoseconds, depending on the incident pump pulse fluence.

  4. The vessel fluence; Fluence cuve

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-07-01

    This book presents the proceedings of the technical meeting on the reactors vessels fluence. They are grouped in eight sessions: the industrial context and the stakes of the vessels control; the organization and the methodology for the fluence computation; the concerned physical properties; the reference computation methods; the fluence monitoring in an industrial context; vessels monitoring under irradiation; others methods in the world; the research and development programs. (A.L.B.)

  5. A study of ion implanted gallium arsenide using deep level transient spectroscopy

    International Nuclear Information System (INIS)

    Emerson, N.G.

    1981-03-01

    This thesis is concerned with the study of deep energy levels in ion implanted gallium arsenide (GaAs) using deep level transient spectroscopy (D.L.T.S.). The D.L.T.S. technique is used to characterise deep levels in terms of their activation energies and capture cross-sections and to determine their concentration profiles. The main objective is to characterise the effects on deep levels, of ion implantation and the related annealing processes. In the majority of cases assessment is carried out using Schottky barrier diodes. Low doses of selenium ions 1 to 3 x 10 12 cm -2 are implanted into vapour phase epitaxial (V.P.E.) GaAs and the effects of post-implantation thermal and pulsed laser annealing are compared. The process of oxygen implantation with doses in the range 1 x 10 12 to 5 x 10 13 cm -2 followed by thermal annealing at about 750 deg C, introduces a deep level at 0.79 eV from the conduction band. Oxygen implantation, at doses of 5 x 10 13 cm -2 , into V.P.E. GaAs produces a significant increase in the concentration of the A-centre (0.83 eV). High doses of zinc (10 15 cm -2 ) are implanted into n-type V.P.E. GaAs to form shallow p-type layers. The D.L.T.S. system described in the text is used to measure levels in the range 0.16 to 1.1 eV (for GaAs) with a sensitivity of the order 1:10 3 . (U.K.)

  6. Surface modification of metals by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1988-01-01

    Ion implantation in metals has attracted the attention as a useful technology for the formation of new metastable alloys and compounds in metal surface layers without thermal equilibrium. Current studies of metal surface modification by ion implantation with high fluences have expanded from basic research areas and to industrial applications for the improvement of life time of tools. Many results suggest that the high fluence implantation produces the new surface layers with un-expected microscopic characteristics and macroscopic properties due to implant particles, radiation damage, sputtering, and knock-on doping. In this report, the composition, structure and chemical bonding state in surface layers of iron, iron-based alloy and aluminum sheets implanted with high fluences have been investigated by means of secondary ion mass spectroscopy (SIMS), Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy (TEM). Tribological properties such as hardness, friction and wear are introduced. (author)

  7. GaAs Led based NIEL spectrometer for the space radiation environment

    International Nuclear Information System (INIS)

    Houdayer, A.J.; Hinrichsen, P.F.; Barry, A.L.; Ng, A.

    1999-01-01

    A NIEL (non-ionizing-energy-loss) spectrometer for the Mir space station is described. The NIEL spectrometer package contained 20 GaAs LEDs, 10 SiC LEDs and 13 locations for TLD-700s. In order to probe different energy regions of the radiation field, the package is divided into 4 compartments covered by absorbers of varying thicknesses. This device has been submitted to proton irradiation. The effects on both the response time and the intensity of the light were measured as a function of the fluence. One of the advantages of LEDs as radiation monitors is their sensitivity and it is shown that it would be possible to detect a fluence of 4*10 7 p/cm 2 of 10 MeV protons, with sensitivity scaled as 1/E for other energies. (A.C.)

  8. Dielectric constant of GaAs during a subpicosecond laser-induced phase transition

    Science.gov (United States)

    Siegal, Y.; Glezer, E. N.; Mazur, E.

    1994-06-01

    We measured the time evolution of the real and imaginary parts of the dielectric constant of GaAs following femtosecond laser pulse excitation. The data show a collapse of the average optical gap, or average bonding-antibonding energy-level separation. The rate of collapse increases with pump fluence. The decrease in the gap indicates that the pump beam induces a structural transformation from a covalent, tetrahedrally coordinated crystal to a phase with metallic cohesive properties.

  9. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    International Nuclear Information System (INIS)

    Belay, K.B.; Ridgway, M.C.; Llewellyn, D.J.

    1996-01-01

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs

  10. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Belay, K.B.; Ridgway, M.C.; Llewellyn, D.J. [Australian National Univ., Canberra, ACT (Australia). Dept. of Physics

    1996-12-31

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs.

  11. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Belay, K B; Ridgway, M C; Llewellyn, D J [Australian National Univ., Canberra, ACT (Australia). Dept. of Physics

    1997-12-31

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs.

  12. The mutual influence of krypton implantation and pre-existing stress states in polycrystalline alpha titanium

    Energy Technology Data Exchange (ETDEWEB)

    Nsengiyumva, S. [Department of Physics, University of Cape Town, Rondebosch 7701 (South Africa); Department of Physics, Kigali Institute of Education, P.O. Box 5039 Kigali (Rwanda)], E-mail: schadrack.nsengiyumva@uct.ac.za; Ntsoane, T.P. [Nuclear Energy Corporation of South Africa (NECSA), P.O. Box 582 (South Africa); Raji, A.T. [Department of Physics, University of Cape Town, Rondebosch 7701 (South Africa); Topic, M. [iThemba LABS, Somerset West 7129 (South Africa); Kellermann, G. [Laboratorio Nacional de Luz Sincrotron (LNLS), Campinas (Brazil); Riviere, J.P. [Laboratoire de Physique des Materiaux UMR6630-CNRS, 86960 (France); Britton, D.T.; Haerting, M. [Department of Physics, University of Cape Town, Rondebosch 7701 (South Africa)

    2009-08-15

    The stress profile in polycrystalline titanium implanted with krypton ions at different fluences has been determined using synchrotron radiation diffraction. For each fluence, the krypton profile has been measured using Rutherford backscattering geometry. The results were compared to model calculations obtained from the SRIM 2008 computer code. A strong stress relaxation was found for high fluence implantation, whereas for low fluence implantation an additional source of tensile stress was introduced in the near surface region. The projected range of the implanted krypton was significantly reduced compared to the expected range. A possible cause of this discrepancy is the drift of implanted ions under the influence of the pre-existing stress gradient.

  13. The mutual influence of krypton implantation and pre-existing stress states in polycrystalline alpha titanium

    International Nuclear Information System (INIS)

    Nsengiyumva, S.; Ntsoane, T.P.; Raji, A.T.; Topic, M.; Kellermann, G.; Riviere, J.P.; Britton, D.T.; Haerting, M.

    2009-01-01

    The stress profile in polycrystalline titanium implanted with krypton ions at different fluences has been determined using synchrotron radiation diffraction. For each fluence, the krypton profile has been measured using Rutherford backscattering geometry. The results were compared to model calculations obtained from the SRIM 2008 computer code. A strong stress relaxation was found for high fluence implantation, whereas for low fluence implantation an additional source of tensile stress was introduced in the near surface region. The projected range of the implanted krypton was significantly reduced compared to the expected range. A possible cause of this discrepancy is the drift of implanted ions under the influence of the pre-existing stress gradient.

  14. Donor level of interstitial hydrogen in GaAs

    International Nuclear Information System (INIS)

    Dobaczewski, L.; Bonde Nielsen, K.; Nylandsted Larsen, A.; Peaker, A.R.

    2006-01-01

    The first data evidencing the existence of the donor level of the interstitial hydrogen in GaAs are presented. The abundant formation of the (0/+) donor level after in situ low-temperature implantation of hydrogen into the depletion layer of GaAs Schottky diodes has been observed and the activation energy and annealing properties have been determined by Laplace DLTS. The activation energy for electron emission of this donor state is 0.14eV. Above 100K the hydrogen deep donor state is unstable, converting to a more stable form when there are electrons available for the capture process. A slightly perturbed form of the hydrogen donor in its neutral charge state can be recovered by illuminating the sample. This process releases twice as many electrons as the ionisation process of the hydrogen donor state itself. This fact, by analogy with the silicon case, evidences the negative-U behaviour of hydrogen in GaAs

  15. Stoichiometric titanium dioxide ion implantation in AISI 304 stainless steel for corrosion protection

    Science.gov (United States)

    Hartwig, A.; Decker, M.; Klein, O.; Karl, H.

    2015-12-01

    The aim of this study is to evaluate the applicability of highly chemically inert titanium dioxide synthesized by ion beam implantation for corrosion protection of AISI 304 stainless steel in sodium chloride solution. More specifically, the prevention of galvanic corrosion between carbon-fiber reinforced plastic (CFRP) and AISI 304 was investigated. Corrosion performance of TiO2 implanted AISI 304 - examined for different implantation and annealing parameters - is strongly influenced by implantation fluence. Experimental results show that a fluence of 5 × 1016 cm-2 (Ti+) and 1 × 1017 cm-2 (O+) is sufficient to prevent pitting corrosion significantly, while galvanic corrosion with CFRP can already be noticeably reduced by an implantation fluence of 5 × 1015 cm-2 (Ti+) and 1 × 1016 cm-2 (O+). Surface roughness, implantation energy and annealing at 200 °C and 400 °C show only little influence on the corrosion behavior. TEM analysis indicates the existence of stoichiometric TiO2 inside the steel matrix for medium fluences and the formation of a separated metal oxide layer for high fluences.

  16. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  17. Ion implantation induced blistering of rutile single crystals

    Energy Technology Data Exchange (ETDEWEB)

    Xiang, Bing-Xi [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Jiao, Yang [College of Physics and Electronics, Shandong Normal University, Jinan, Shandong 250100 (China); Guan, Jing [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Wang, Lei [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (China)

    2015-07-01

    The rutile single crystals were implanted by 200 keV He{sup +} ions with a series fluence and annealed at different temperatures to investigate the blistering behavior. The Rutherford backscattering spectrometry, optical microscope and X-ray diffraction were employed to characterize the implantation induced lattice damage and blistering. It was found that the blistering on rutile surface region can be realized by He{sup +} ion implantation with appropriate fluence and the following thermal annealing.

  18. Post-annealing recrystallization and damage recovery process in Fe ion implanted Si

    International Nuclear Information System (INIS)

    Naito, Muneyuki; Hirata, Akihiko; Ishimaru, Manabu; Hirotsu, Yoshihiko

    2007-01-01

    We have investigated ion-beam-induced and thermal annealing-induced microstructures in high fluence Fe implanted Si using transmission electron microscopy. Si(1 1 1) substrates were irradiated with 120 keV Fe ions at 120 K to fluences of 0.4 x 10 17 and 4.0 x 10 17 cm -2 . A continuous amorphous layer was formed on Si substrates in both as-implanted samples. After thermal annealing at 1073 K for 2 h, β-FeSi 2 fine particles buried in a polycrystalline Si layer were observed in the low fluence sample, while a continuous β-FeSi 2 layer was formed in the high fluence sample. We discuss the relationship between ion fluence and defects recovery process in Fe ion implanted Si

  19. Surface topographical and structural analysis of Ag+-implanted polymethylmethacrylate

    International Nuclear Information System (INIS)

    Arif, Shafaq; Rafique, M. Shahid; Saleemi, Farhat; Naab, Fabian; Toader, Ovidiu; Sagheer, Riffat; Bashir, Shazia; Zia, Rehana; Siraj, Khurram; Iqbal, Saman

    2016-01-01

    Specimens of polymethylmethacrylate (PMMA) were implanted with 400-keV Ag + ions at different ion fluences ranging from 1 × 10 14 to 5 × 10 15 ions/cm 2 using a 400-kV NEC ion implanter. The surface topographical features of the implanted PMMA were investigated by a confocal microscope. Modifications in the structural properties of the implanted specimens were analyzed in comparison with pristine PMMA by X-ray diffraction (XRD) and Raman spectroscopy. UV–Visible spectroscopy was applied to determine the effects of ion implantation on optical transmittance of the implanted PMMA. The confocal microscopic images revealed the formation of hillock-like microstructures along the ion track on the implanted PMMA surface. The increase in ion fluence led to more nucleation of hillocks. The XRD pattern confirmed the amorphous nature of pristine and implanted PMMA, while the Raman studies justified the transformation of Ag + -implanted PMMA into amorphous carbon at the ion fluence of ⩾5 × 10 14 ions/cm 2 . Moreover, the decrease in optical transmittance of PMMA is associated with the formation of hillocks and ion-induced structural modifications after implantation.

  20. Effect of pre-implanted oxygen in Si on the retention of implanted He

    Energy Technology Data Exchange (ETDEWEB)

    Manuaba, A. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Paszti, F. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)]. E-mail: paszti@rmki.kfki.hu; Ramos, A.R. [ITN - Instituto Tecnologico e Nuclear, Estrada Nacional 10, P-2686-953, Sacavem (Portugal); Khanh, N.Q. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Pecz, B. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Zolnai, Z. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Tunyogi, A. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)

    2006-08-15

    Buried SiO {sub x} layers, with different x values, were formed by implanting 80 keV O{sup +} ions with different fluences into single crystal Si samples at room temperature. Into each of these O pre-implanted layers, 20 keV He{sup +} was implanted up to the fluence of 1 x 10{sup 17} ion/cm{sup 2}. The He distribution profiles were determined by 2045 keV proton backscattering spectrometry. It was found that as the O content increases, the retained He gradually decreases at the beginning, then rapidly falls at x = 0.6 till it disappears at x = 1.3. The process that leads to this phenomenon is discussed.

  1. Vacancies and negative ions in GaAs

    International Nuclear Information System (INIS)

    Corbel, C.

    1991-01-01

    We use positron lifetime studies performed in GaAs materials to show the defect properties which can be investigated by implanting positive positrons in semiconductors. The studies concern native and electron irradiation induced defects. These studies show that vacancy charge state and vacancy ionization levels can be determined from positron annihilation. They show also that positrons are trapped by negative ions and give information on their concentration

  2. Platelet adhesion and plasma protein adsorption control of collagen surfaces by He+ ion implantation

    International Nuclear Information System (INIS)

    Kurotobi, K.; Suzuki, Y.; Nakajima, H.; Suzuki, H.; Iwaki, M.

    2003-01-01

    He + ion implanted collagen-coated tubes with a fluence of 1 x 10 14 ions/cm 2 were exhibited antithrombogenicity. To investigate the mechanisms of antithrombogenicity of these samples, plasma protein adsorption assay and platelet adhesion experiments were performed. The adsorption of fibrinogen (Fg) and von Willebrand factor (vWf) was minimum on the He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 . Platelet adhesion (using platelet rich plasma) was inhibited on the He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and was accelerated on the untreated collagen and ion implanted collagen with fluences of 1 x 10 13 , 1 x 10 15 and 1 x 10 16 ions/cm 2 . Platelet activation with washed platelets was observed on untreated collagen and He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and was inhibited with fluences of 1 x 10 13 , 1 x 10 15 and 1 x 10 16 ions/cm 2 . Generally, platelets can react with a specific ligand inside the collagen (GFOGER sequence). The results of platelets adhesion experiments using washed platelets indicated that there were no ligands such as GFOGER on the He + ion implanted collagen over a fluence of 1 x 10 13 ions/cm 2 . On the 1 x 10 14 ions/cm 2 implanted collagen, no platelet activation was observed due to the influence of plasma proteins. >From the above, it is concluded that the decrease of adsorbed Fg and vWf caused the antithrombogenicity of He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and that plasma protein adsorption took an important role repairing the graft surface

  3. Characterization of carbon ion implantation induced graded microstructure and phase transformation in stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Kai; Wang, Yibo [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Li, Zhuguo, E-mail: lizg@sjtu.edu.cn [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)

    2015-08-15

    Austenitic stainless steel 316L is ion implanted by carbon with implantation fluences of 1.2 × 10{sup 17} ions-cm{sup −} {sup 2}, 2.4 × 10{sup 17} ions-cm{sup −} {sup 2}, and 4.8 × 10{sup 17} ions-cm{sup −} {sup 2}. The ion implantation induced graded microstructure and phase transformation in stainless steel is investigated by X-ray diffraction, X-ray photoelectron spectroscopy and high resolution transmission electron microscopy. The corrosion resistance is evaluated by potentiodynamic test. It is found that the initial phase is austenite with a small amount of ferrite. After low fluence carbon ion implantation, an amorphous layer and ferrite phase enriched region underneath are formed. Nanophase particles precipitate from the amorphous layer due to energy minimization and irradiation at larger ion implantation fluence. The morphology of the precipitated nanophase particles changes from circular to dumbbell-like with increasing implantation fluence. The corrosion resistance of stainless steel is enhanced by the formation of amorphous layer and graphitic solid state carbon after carbon ion implantation. - Highlights: • Carbon implantation leads to phase transformation from austenite to ferrite. • The passive film on SS316L becomes thinner after carbon ion implantation. • An amorphous layer is formed by carbon ion implantation. • Nanophase precipitate from amorphous layer at higher ion implantation fluence. • Corrosion resistance of SS316L is improved by carbon implantation.

  4. Nanoscale nonlinear effects in Erbium-implanted Yttrium Orthosilicate

    Energy Technology Data Exchange (ETDEWEB)

    Kukharchyk, Nadezhda, E-mail: nadezhda.kukharchyk@physik.uni-saarland.de [Experimentalphysik, Universität des Saarlandes, D-66123 Saarbrücken (Germany); Angewandte Festkörperphysik, Ruhr-Universität Bochum, D-44780 Bochum (Germany); Shvarkov, Stepan [Optoelektronische Materialien und Bauelemente, Universität Paderborn, D-33098 Padeborn (Germany); Probst, Sebastian [Quantronics group, Service de Physique de l' Etat Condense, DSM/IRAMIS/SPEC, CNRS UMR 3680, CEA-Saclay, 91191 Gif-sur-Yvette cedex (France); Xia, Kangwei [3. Physikalisches Institut, Universität Stuttgart, D-70569 Stuttgart (Germany); Becker, Hans-Werner [RUBION, Ruhr-Universität Bochum, D-44780 Bochum (Germany); Pal, Shovon [Angewandte Festkörperphysik, Ruhr-Universität Bochum, D-44780 Bochum (Germany); AG THz Spectroscopie und Technologie, Ruhr-Universität Bochum, D-44780 Bochum (Germany); Markmann, Sergej [AG THz Spectroscopie und Technologie, Ruhr-Universität Bochum, D-44780 Bochum (Germany); Kolesov, Roman; Siyushev, Petr; Wrachtrup, Jörg [3. Physikalisches Institut, Universität Stuttgart, D-70569 Stuttgart (Germany); Ludwig, Arne [Angewandte Festkörperphysik, Ruhr-Universität Bochum, D-44780 Bochum (Germany); Ustinov, Alexey V. [Physikalisches Institut, Karlsruhe Institute of Technology, D-76128 Karlsruhe (Germany); Wieck, Andreas D. [Angewandte Festkörperphysik, Ruhr-Universität Bochum, D-44780 Bochum (Germany); and others

    2016-09-15

    Doping of substrates at desired locations is a key technology for spin-based quantum memory devices. Focused ion beam implantation is well-suited for this task due to its high spacial resolution. In this work, we investigate ion-beam implanted Erbium ensembles in Yttrium Orthosilicate crystals by means of confocal photoluminescence spectroscopy. The sample temperature and the post-implantation annealing step strongly reverberate in the properties of the implanted ions. We find that hot implantation leads to a higher activation rate of the ions. At high enough fluences, the relation between the fluence and final concentration of ions becomes non-linear. Two models are developed explaining the observed behavior.

  5. Stoichiometric titanium dioxide ion implantation in AISI 304 stainless steel for corrosion protection

    International Nuclear Information System (INIS)

    Hartwig, A.; Decker, M.; Klein, O.; Karl, H.

    2015-01-01

    The aim of this study is to evaluate the applicability of highly chemically inert titanium dioxide synthesized by ion beam implantation for corrosion protection of AISI 304 stainless steel in sodium chloride solution. More specifically, the prevention of galvanic corrosion between carbon-fiber reinforced plastic (CFRP) and AISI 304 was investigated. Corrosion performance of TiO 2 implanted AISI 304 – examined for different implantation and annealing parameters – is strongly influenced by implantation fluence. Experimental results show that a fluence of 5 × 10 16 cm −2 (Ti + ) and 1 × 10 17 cm −2 (O + ) is sufficient to prevent pitting corrosion significantly, while galvanic corrosion with CFRP can already be noticeably reduced by an implantation fluence of 5 × 10 15 cm −2 (Ti + ) and 1 × 10 16 cm −2 (O + ). Surface roughness, implantation energy and annealing at 200 °C and 400 °C show only little influence on the corrosion behavior. TEM analysis indicates the existence of stoichiometric TiO 2 inside the steel matrix for medium fluences and the formation of a separated metal oxide layer for high fluences.

  6. Surface science analysis of GaAs photocathodes following sustained electron beam delivery

    Directory of Open Access Journals (Sweden)

    V. Shutthanandan

    2012-06-01

    Full Text Available Degradation of the photocathode materials employed in photoinjectors represents a challenge for sustained operation of nuclear physics accelerators and high power free electron lasers (FEL. Photocathode quantum efficiency degradation is due to residual gases in the electron source vacuum system being ionized and accelerated back to the photocathode. These investigations are a first attempt to characterize the nature of the photocathode degradation, and employ multiple surface and bulk analysis techniques to investigate damage mechanisms including sputtering of the Cs-oxidant surface monolayer, other surface chemistry effects, and ion implantation. Surface and bulk analysis studies were conducted on two GaAs photocathodes, which were removed from the JLab FEL DC photoemission gun after delivering electron beam, and two control samples. The analysis techniques include helium ion microscopy, Rutherford backscattering spectrometry (RBS, atomic force microscopy, and secondary ion mass spectrometry (SIMS. In addition, two high-polarization strained superlattice GaAs photocathode samples, one removed from the continuous electron beam accelerator facility (CEBAF photoinjector and one unused, were also analyzed using transmission electron microscopy (TEM and SIMS. It was found that heat cleaning the FEL GaAs wafer introduces surface roughness, which seems to be reduced by prolonged use. The bulk GaAs samples retained a fairly well organized crystalline structure after delivering beam but show evidence of Cs depletion on the surface. Within the precision of the SIMS and RBS measurements, the data showed no indication of hydrogen implantation or lattice damage from ion back bombardment in the bulk GaAs wafers. In contrast, SIMS and TEM measurements of the strained superlattice photocathode show clear crystal damage in the wafer from ion back bombardment.

  7. Proximity annealing of sulfur-implanted gallium arsenide using a strip heater

    International Nuclear Information System (INIS)

    Banerjee, S.; Baker, J.

    1985-01-01

    A graphite strip heater has been employed for rapid (-- 30 s) thermal annealing (RTA), at temperatures between 850 and 1150 0 C, of Cr-doped GaAs implanted with 120 keV 32 S + with doses between 10 13 and 10 15 cm -2 . In order to minimize the incongruent evaporation of As, proximity anneals were employed by protecting the implanted samples with GaAs cover pieces. RTA yields electrical activation and donor mobilities better than or comparable to furnace annealing, with less redistribution of the implanted S and background Cr. (author)

  8. Surface topographical and structural analysis of Ag{sup +}-implanted polymethylmethacrylate

    Energy Technology Data Exchange (ETDEWEB)

    Arif, Shafaq, E-mail: sarif2005@gmail.com [Department of Physics, Lahore College for Women University, Lahore 54000 (Pakistan); Rafique, M. Shahid [Department of Physics, University of Engineering & Technology, Lahore 54000 (Pakistan); Saleemi, Farhat [Department of Physics, Lahore College for Women University, Lahore 54000 (Pakistan); Naab, Fabian; Toader, Ovidiu [Department of Nuclear Engineering and Radiological Sciences, Michigan Ion Beam Laboratory, University of Michigan, MI 48109-2104 (United States); Sagheer, Riffat [Department of Physics, Lahore College for Women University, Lahore 54000 (Pakistan); Bashir, Shazia [Center for Advanced Studies in Physics (CASP), Government College University, Lahore 54000 (Pakistan); Zia, Rehana [Department of Physics, Lahore College for Women University, Lahore 54000 (Pakistan); Siraj, Khurram; Iqbal, Saman [Department of Physics, University of Engineering & Technology, Lahore 54000 (Pakistan)

    2016-08-15

    Specimens of polymethylmethacrylate (PMMA) were implanted with 400-keV Ag{sup +} ions at different ion fluences ranging from 1 × 10{sup 14} to 5 × 10{sup 15} ions/cm{sup 2} using a 400-kV NEC ion implanter. The surface topographical features of the implanted PMMA were investigated by a confocal microscope. Modifications in the structural properties of the implanted specimens were analyzed in comparison with pristine PMMA by X-ray diffraction (XRD) and Raman spectroscopy. UV–Visible spectroscopy was applied to determine the effects of ion implantation on optical transmittance of the implanted PMMA. The confocal microscopic images revealed the formation of hillock-like microstructures along the ion track on the implanted PMMA surface. The increase in ion fluence led to more nucleation of hillocks. The XRD pattern confirmed the amorphous nature of pristine and implanted PMMA, while the Raman studies justified the transformation of Ag{sup +}-implanted PMMA into amorphous carbon at the ion fluence of ⩾5 × 10{sup 14} ions/cm{sup 2}. Moreover, the decrease in optical transmittance of PMMA is associated with the formation of hillocks and ion-induced structural modifications after implantation.

  9. Exfoliation of GaAs caused by MeV 1H and 4He ion implantation at left angle 100 right angle , left angle 110 right angle axial and random orientations

    International Nuclear Information System (INIS)

    Rauhala, E.; Raeisaenen, J.

    1994-01-01

    The exfoliation procedure of the ion range determination of gaseous implants in single crystal GaAs is investigated. The correlation of the observed crater depth with the ion range is studied for random, left angle 100 right angle and left angle 110 right angle axial orientation high dose implantations of 1.5-2.5 MeV 1 H and 4 He ions. Depending on the experimental conditions, the crater depths corresponded to range values between the modal range and the range maximum. The observed crater depths could be related to the actual He concentration depth distributions by determining the profiles of the 4 He implants by 2.7 MeV proton backscattering. The implantation parameters affecting the exfoliation process, and especially the increase rate of the sample temperature, are investigated. The range distribution parameters for the 1.5 MeV 4 He implants are presented. ((orig.))

  10. Stoichiometric titanium dioxide ion implantation in AISI 304 stainless steel for corrosion protection

    Energy Technology Data Exchange (ETDEWEB)

    Hartwig, A.; Decker, M.; Klein, O.; Karl, H., E-mail: helmut.karl@physik.uni-augsburg.de

    2015-12-15

    The aim of this study is to evaluate the applicability of highly chemically inert titanium dioxide synthesized by ion beam implantation for corrosion protection of AISI 304 stainless steel in sodium chloride solution. More specifically, the prevention of galvanic corrosion between carbon-fiber reinforced plastic (CFRP) and AISI 304 was investigated. Corrosion performance of TiO{sub 2} implanted AISI 304 – examined for different implantation and annealing parameters – is strongly influenced by implantation fluence. Experimental results show that a fluence of 5 × 10{sup 16} cm{sup −2} (Ti{sup +}) and 1 × 10{sup 17} cm{sup −2} (O{sup +}) is sufficient to prevent pitting corrosion significantly, while galvanic corrosion with CFRP can already be noticeably reduced by an implantation fluence of 5 × 10{sup 15} cm{sup −2} (Ti{sup +}) and 1 × 10{sup 16} cm{sup −2} (O{sup +}). Surface roughness, implantation energy and annealing at 200 °C and 400 °C show only little influence on the corrosion behavior. TEM analysis indicates the existence of stoichiometric TiO{sub 2} inside the steel matrix for medium fluences and the formation of a separated metal oxide layer for high fluences.

  11. High pressure annealing of Europium implanted GaN

    KAUST Repository

    Lorenz, K.; Miranda, S. M. C.; Alves, E.; Roqan, Iman S.; O'Donnell, K. P.; Bokowski, M.

    2012-01-01

    GaN epilayers were implanted with Eu to fluences of 1×10^13 Eu/cm2 and 1×10^15 Eu/cm2. Post-implant thermal annealing was performed in ultra-high nitrogen pressures at temperatures up to 1450 ºC. For the lower fluence effective structural recovery of the crystal was observed for annealing at 1000 ºC while optical activation could be further improved at higher annealing temperatures. The higher fluence samples also reveal good optical activation; however, some residual implantation damage remains even for annealing at 1450 ºC which leads to a reduced incorporation of Eu on substitutional sites, a broadening of the Eu luminescence lines and to a strongly reduced fraction of optically active Eu ions. Possibilities for further optimization of implantation and annealing conditions are discussed.© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  12. High pressure annealing of Europium implanted GaN

    KAUST Repository

    Lorenz, K.

    2012-02-09

    GaN epilayers were implanted with Eu to fluences of 1×10^13 Eu/cm2 and 1×10^15 Eu/cm2. Post-implant thermal annealing was performed in ultra-high nitrogen pressures at temperatures up to 1450 ºC. For the lower fluence effective structural recovery of the crystal was observed for annealing at 1000 ºC while optical activation could be further improved at higher annealing temperatures. The higher fluence samples also reveal good optical activation; however, some residual implantation damage remains even for annealing at 1450 ºC which leads to a reduced incorporation of Eu on substitutional sites, a broadening of the Eu luminescence lines and to a strongly reduced fraction of optically active Eu ions. Possibilities for further optimization of implantation and annealing conditions are discussed.© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  13. Interaction of implanted deuterium and helium with beryllium: radiation enhanced oxidation

    International Nuclear Information System (INIS)

    Langley, R.A.

    1979-01-01

    The interaction of implanted deuterium and helium with beryllium is of significant interest in the application of first wall coatings and other components of fusion reactors. Electropolished polycrystalline beryllium was first implanted with an Xe backscatter marker at 1.98 MeV followed by either implantation with 5 keV diatomic deuterium or helium. A 2.0 MeV He beam was used to analyze for impurity buildup; namely oxygen. The oxide layer thickness was found to increase linearly with increasing implant fluence. A 2.5 MeV H + beam was used to depth profile the D and He by ion backscattering. In addition the retention of the implant was measured as a function of the implant fluence. The mean depth of the implant was found to agree with theoretical range calculations. Scanning electron microscopy was used to observe blister formation. No blisters were observed for implanted D but for implanted He blisters occurred at approx. 1.75 x 10 17 He cm -2 . The blister diameter increased with increasing implant fluence from about 0.8 μm at 10 18 He cm -2 to 5.5 μm at 3 x 10 18 He cm -2

  14. Singularities of 28Si electrical activation in a single crystal and epitaxial GaAs under radiation annealing

    International Nuclear Information System (INIS)

    Ardyshev, V.M.; Ardyshev, M.V.; Khludkov, S.S.

    2000-01-01

    Using the voltage-capacitance characteristics method, the concentration profiles of 28 Si that is implanted in monocrystal and epitaxial GaAs after fast thermal annealing (FTA) (825, 870, 950 deg C, 12 s) have been studied; using Van-der-Paw method, the electron Hall mobility temperature dependence in the range of 70-400 K has been measured. Unlike thermal annealing (800 deg C, 30 min), the silicon diffusion depth redistribution into GaAs is shown to occur for both types of material. The coefficient of diffusion of Si in the single crystal is 2 times greater, but the electrical activation efficiency is somewhat less than in the epitaxial GaAs for each of the temperatures of FTA. The analysis of the temperature dependence of the electron mobility in ion-implanted layers after FTA gives the evidence about the significantly lower concentration of defects restricting the mobility in comparison with results obtained at thermal annealing during 30 min [ru

  15. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    Science.gov (United States)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  16. Change of chemical bond and wettability of polylacticacid implanted with high-flux carbon ion

    International Nuclear Information System (INIS)

    Zhang Jizhong; Kang Jiachen; Zhang Xiaoji; Zhou Hongyu

    2008-01-01

    Polylacticacid (PLA) was submitted to high-flux carbon ion implantation with energy of 40 keV. It was investigated to the effect of ion fluence (1 x 10 12 -1 x 10 15 ions/cm 2 ) on the properties of the polymer. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), wettability, and roughness were employed to study change of structure and properties of the as-implanted PLA samples. Six carbon bonds, that is, C, C-H, C-O-C, C-O, O-C-O, and >C=O, were observed on surfaces of the as-implanted PLA samples. The intensities of various chemical bonds changed with increasing ion fluence. AFM images displayed that there was irradiation damage and that it was related closely with ion fluence. At fluence as high as 1 x 10 15 ions/cm 2 surface-restructuring phenomenum took place on the surface of the PLA. Wettability was also affected by the variation on the fluence. With increasing ion fluence, the water contact angle of the as-implanted PLA samples changed gradually reaching a maximum of 76.5 deg. with 1 x 10 13 ions/cm 2 . The experimental results revealed that carbon ion fluence strongly affected surface chemical bond, morphology, wettability, and roughness of the PLA samples

  17. Thermal behaviour of nitrogen implanted into zirconium

    International Nuclear Information System (INIS)

    Miyagawa, S.; Ikeyama, M.; Saitoh, K.; Nakao, S.; Niwa, H.; Tanemura, S.; Miyagawa, Y.

    1994-01-01

    Zirconium films were implanted with 15 N ions of energy 50keV to a total fluence of 1x10 18 ionscm -2 in an attempt to study the formation process and thermal stability of ZrN layers produced by high fluence implantation of nitrogen. Subsequent to the implantation at room temperature, samples were annealed at temperatures of 300 C-900 C. The depth profiles of the implanted nitrogen were measured by nuclear reaction analysis using the 15 N(p,αγ) 12 C at E R =429keV, and the surfaces were examined by thin film X-ray diffraction (XRD) and scanning electron microscopy. There were many blisters 0.2-0.4μm in diameter on the surface of the as-implanted samples and double peaks were observed in the nitrogen depth profiles; they were in both sides of the mean projected range. It was found that most of the blisters became extinct after annealing above 400 C, and the XRD peak (111) intensity was increased with the increase in the annealing temperature. Moreover, 14 N and 15 N implantations were superimposed on Zr samples in order to study the atomic migration of nitrogen at each stage of high fluence implantation. It was found that the decrease in the peak at the deeper layers was related to blister extinction and nitrogen diffusion into underling zirconium which could be correlated with radiation damage induced by post-implanted ions. ((orig.))

  18. Amorphous surface layers in Ti-implanted Fe

    International Nuclear Information System (INIS)

    Knapp, J.A.; Follstaedt, D.M.; Picraux, S.T.

    1979-01-01

    Implanting Ti into high-purity Fe results in an amorphous surface layer which is composed of not only Fe and Ti, but also C. Implantations were carried out at room temperature over the energy range 90 to 190 keV and fluence range 1 to 2 x 10 16 at/cm 2 . The Ti-implanted Fe system has been characterized using transmission electron microscopy (TEM), ion backscattering and channeling analysis, and (d,p) nuclear reaction analysis. The amorphous layer was observed to form at the surface and grow inward with increasing Ti fluence. For an implant of 1 x 10 17 Ti/cm 2 at 180 keV the layer thickness was 150 A, while the measured range of the implanted Ti was approx. 550 A. This difference is due to the incorporation of C into the amorphous alloy by C being deposited on the surface during implantation and subsequently diffusing into the solid. Our results indicate that C is an essential constituent of the amorphous phase for Ti concentrations less than or equal to 10 at. %. For the 1 x 10 17 Ti/cm 2 implant, the concentration of C in the amorphous phase was approx. 25 at. %, while that of Ti was only approx. 3 at. %. A higher fluence implant of 2 x 10 17 Ti/cm 2 produced an amorphous layer with a lower C concentration of approx. 10 at. % and a Ti concentration of approx. 20 at. %

  19. Solid phase epitaxy of amorphous silicon carbide: Ion fluence dependence

    International Nuclear Information System (INIS)

    Bae, I.-T.; Ishimaru, Manabu; Hirotsu, Yoshihiko; Sickafus, Kurt E.

    2004-01-01

    We have investigated the effect of radiation damage and impurity concentration on solid phase epitaxial growth of amorphous silicon carbide (SiC) as well as microstructures of recrystallized layer using transmission electron microscopy. Single crystals of 6H-SiC with (0001) orientation were irradiated with 150 keV Xe ions to fluences of 10 15 and 10 16 /cm 2 , followed by annealing at 890 deg. C. Full epitaxial recrystallization took place in a specimen implanted with 10 15 Xe ions, while retardation of recrystallization was observed in a specimen implanted with 10 16 /cm 2 Xe ions. Atomic pair-distribution function analyses and energy dispersive x-ray spectroscopy results suggested that the retardation of recrystallization of the 10 16 Xe/cm 2 implanted sample is attributed to the difference in amorphous structures between the 10 15 and 10 16 Xe/cm 2 implanted samples, i.e., more chemically disordered atomistic structure and higher Xe impurity concentration in the 10 16 Xe/cm 2 implanted sample

  20. Ion implantation of Cd and Ag into AlN and GaN

    CERN Document Server

    Miranda, Sérgio M C; Correia, João Guilherme; Vianden, Reiner; Johnston, Karl; Alves, Eduardo; Lorenz, Katharina

    2012-01-01

    GaN and AlN thin films were implanted with cadmium (Cd) or silver (Ag), to fluences ranging from 1×1013 to 1.7 × 1015 at/cm$^{2}$. The implanted samples were annealed at 950 ºC under flowing nitrogen. While implantation damage could be fully removed for the lowest fluences, for higher fluences the crystal quality was only partially recovered. For the high fluence samples the lattice site location of the ions was studied by Rutherford Backscattering/ channelling (RBS/C). Cd ions are found to be incorporated in substitutional cation sites (Al or Ga) while Ag is slightly displaced from this position. To further investigate the incorporation sites, Perturbed Angular Correlation (PAC) measurements were performed and the electric field gradients at the site of the probe nuclei were determined.

  1. Improved oxidation resistance of group VB refractory metals by Al+ ion implantation

    International Nuclear Information System (INIS)

    Hampikian, J.M.

    1996-01-01

    Aluminum ion implantation of vanadium, niobium, and tantalum improved the metals' oxidation resistances at 500 C and 735 C. Implanted vanadium oxidized only to one-third the extent of unimplanted vanadium when exposed at 500 C to air. The oxidative weight gains of implanted niobium and tantalum proved negligible when measured at 500 C and for times sufficient to fully convert the untreated metals to their pentoxides. At 735 C, implantation of vanadium only slightly retarded its oxidation, while oxidative weight gains of niobium and tantalum were reduced by factors of 3 or more. Implanted niobium exhibited weight gain in direct proportion to oxidation time squared at 735 C. Microstructural examination of the metals implanted with selected fluences of the 180 kV aluminum ions showed the following. The solubility limit of aluminum is extended by implantation, the body centered cubic (bcc) phases being retained to ∼60 at. pct Al in all three metals. The highest fluence investigated, 2.4 x 10 22 ions/m 2 , produced an ∼400-nm layer of VAl 3 beneath the surface of vanadium, and ∼300-nm layers of an amorphous phase containing ∼70 at. pct Al beneath the niobium and tantalum surfaces. All three metals, implanted to this fluence and annealed at 600 C, contained tri-aluminides, intermetallic compounds known for their oxidation resistances. Specimens implanted to this fluence were thus selected for the oxidation measurements

  2. RBS channeling measurement of damage annealing in InAs/AlSb HEMT structures

    International Nuclear Information System (INIS)

    Hallén, Anders; Moschetti, Giuseppe

    2014-01-01

    Electrical isolation of InAs/AlSb high electron mobility transistors has been achieved by the ion implantation isolation technique. The multilayered structures are grown by molecular beam epitaxy on GaAs substrates. The optimal isolation is provided by damaging patterned areas by 100 keV Ar ions implanted at room temperature using fluence of 2 × 10 15 cm −2 , and then annealing the samples in 365 °C for 30 min. The damage build-up and annealing is studied by channeling Rutherford backscattering spectrometry (RBS) and compared to sheet resistance measurements. Only a low level of damage annealing can be seen in RBS for the post-implant annealed samples, but for Ar fluence higher than 2 × 10 14 cm −2 , a strong electrical resistivity increase can still be achieved

  3. Interaction of implanted deuterium and helium with beryllium: radiation enhanced oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Langley, R.A.

    1979-01-01

    The interaction of implanted deuterium and helium with beryllium is of significant interest in the application of first wall coatings and other components of fusion reactors. Electropolished polycrystalline beryllium was first implanted with an Xe backscatter marker at 1.98 MeV followed by either implantation with 5 keV diatomic deuterium or helium. A 2.0 MeV He beam was used to analyze for impurity buildup; namely oxygen. The oxide layer thickness was found to increase linearly with increasing implant fluence. A 2.5 MeV H/sup +/ beam was used to depth profile the D and He by ion backscattering. In addition the retention of the implant was measured as a function of the implant fluence. The mean depth of the implant was found to agree with theoretical range calculations. Scanning electron microscopy was used to observe blister formation. No blisters were observed for implanted D but for implanted He blisters occurred at approx. 1.75 x 10/sup 17/ He cm/sup -2/. The blister diameter increased with increasing implant fluence from about 0.8 ..mu..m at 10/sup 18/ He cm/sup -2/ to 5.5 ..mu..m at 3 x 10/sup 18/ He cm/sup -2/.

  4. Biodegradable radioactive implants for glaucoma filtering surgery produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Assmann, W. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany)]. E-mail: walter.assmann@lmu.de; Schubert, M. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany); Held, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany); Pichler, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Muenchen (Germany); Chill, A. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Kiermaier, S. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Schloesser, K. [Forschungszentrum Karlsruhe, 76021 Karlsruhe (Germany); Busch, H. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Schenk, K. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Streufert, D. [Acri.Tec GmbH, 16761 Hennigsdorf (Germany); Lanzl, I. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany)

    2007-04-15

    A biodegradable, {beta}-emitting implant has been developed and successfully tested which prevents fresh intraocular pressure increase after glaucoma filtering surgery. Ion implantation has been used to load the polymeric implants with the {beta}-emitter {sup 32}P. The influence of ion implantation and gamma sterilisation on degradation and {sup 32}P-fixation behavior has been studied by ion beam and chemical analysis. Irradiation effects due to the applied ion fluence (10{sup 15} ions/cm{sup 2}) and gamma dose (25 kGy) are found to be tolerable.

  5. Effects of electron and proton irradiations on n/p and p/n GaAs cells grown by MOCVD

    International Nuclear Information System (INIS)

    Weinberg, I.; Swartz, C.K.; Hart, R.E. Jr.

    1987-01-01

    State-of-the-art n/p and p/n heteroface GaAs cells, processed by metal organic chemical vapor deposition, were irradiated by 1 MeV electrons and 37 MeV protons and their performance determined as a function of fluence. It was found that the p/n cells were more radiation resistant than the n/p cells. The increased loss in the n/p cells was attributed to increases in series resistance and losses in the p-region resulting from the irradiation. The greater loss in fill factor observed for the n/p cells introduces the possibility that the presently observed superiority of the p/n cells may not be an intrinsic property of this configuration in GaAs

  6. Enhancement of electrical conductivity of ion-implanted polymer films

    International Nuclear Information System (INIS)

    Brock, S.

    1985-01-01

    The electrical conductivity of ion-implanted films of Nylon 66, Polypropylene (PP), Poly(tetrafluoroethylene) (Teflon) and mainly Poly (ethylene terephthalate) (PET) was determined by DC measurements at voltages up to 4500 V and compared with the corresponding values of pristine films. Measurements were made at 21 0 C +/- 1 0 C and 65 +/- 2% RH. The electrical conductivity of PET films implanted with F + , Ar + , or As + ions at energies of 50 keV increases by seven orders of magnitude as the fluence increases from 1 x 10 18 to 1 x 10 20 ions/m 2 . The conductivity of films implanted with As + was approximately one order greater than those implanted with Ar + , which in turn was approximately one-half order greater than those implanted with F + . The conductivity of the most conductive film ∼1 S/m) was almost 14 orders of magnitude greater than the pristine PET film. Except for the three PET samples implanted at fluences near 1 x 10 20 ions/m 2 with F + , Ar + , and As + ions, all implanted films were ohmic up to an electric field strength of 600 kV/m. The temperature dependence of the conductivity of the three PET films implanted near a fluence of 1 x 10 20 ions/m 2 was measured over the range of 80 K < T < 300 K

  7. High-fluence implantation of iron into polyimide

    Czech Academy of Sciences Publication Activity Database

    Macková, Anna; Hnatowicz, Vladimír; Peřina, Vratislav; Popok, V. N.; Khaibullin, R. I.; Bazarov, V. V.; Odzhaev, V. B.

    158/159, - (2002), s. 395-398 ISSN 0257-8972 R&D Projects: GA ČR GA203/99/1626; GA ČR GA102/01/1324 Keywords : polyimide * ion implantation * iron * Rutherford backscattering spectroscopy Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.267, year: 2002

  8. Au{sup 3+} ion implantation on FTO coated glasses: Effect on structural, electrical, optical and phonon properties

    Energy Technology Data Exchange (ETDEWEB)

    Sahu, Bindu; Dey, Ranajit; Bajpai, P.K., E-mail: bajpai.pk1@gmail.com

    2017-06-01

    Highlights: • Effects of 11.00 MeV Au{sup 3+} ions implanted in FTO coated (thickness ≈300 nm) silicate glasses at varying fluence. • Metal clustering near the surface and subsurface region below glass-FTO interface changes electrical and optical properties significantly. • Ion implantation does not affect the crystalline structure of the coated films; however, the tetragonal distortion increases with increasing ion fluence. • Significant surface reconstruction takes place with ion beam fluence; The average roughness also decreases with increasing fluence. • The sheet resistivity increases with increasing fluence. • Raman analysis also corroborates the re-crystallization process inducing due to ion implantation. • Optical properties of the implanted surfaces changes significantly. - Abstract: Effects of 11.00 MeV Au{sup 3+} ions implanted in FTO coated (thickness ≈300 nm) silicate glasses on structural, electrical optical and phonon behavior have been explored. It has been observed that metal clustering near the surface and sub-surface region below glass-FTO interface changes electrical and optical properties significantly. Ion implantation does not affect the crystalline structure of the coated films; however, the unit cell volume decreases with increase in fluence and the tetragonal distortion (c/a ratio) also decreases systematically in the implanted samples. The sheet resistivity of the films increases from 11 × 10{sup −5} ohm-cm (in pristine) to 7.5 × 10{sup −4} ohm-cm for highest ion beam fluence ≈10{sup 15} ions/cm{sup 2}. The optical absorption decreases with increasing fluence whereas, the optical transmittance as well as reflectance increases with increasing fluence. The Raman spectra are observed at ∼530 cm{sup −1} and ∼1103 cm{sup −1} in pristine sample. The broad band at 530 cm{sup −1} shifts towards higher wave number in the irradiated samples. This may be correlated with increased disorder and strain relaxation in

  9. Study of surface exfoliation on 6H-SiC induced by H{sub 2}{sup +} implantation

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, L. [Department of Physics, School of Science, Lanzhou University of Technology, Lanzhou 730050 (China); Li, B.S., E-mail: b.s.li@impcas.ac.cn [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2017-03-01

    The effect of lattice damage generated by the H{sub 2}{sup +}-implantation on exfoliation efficiency in 6H-SiC wafers is investigated. <0001> 6H-SiC wafers were implanted with 134 keV H{sub 2}{sup +} ions to ion fluences from 1.5×10{sup 16} to 5×10{sup 16} H{sub 2}{sup +} cm{sup −2} and subsequently annealed at temperatures from 973 K to 1373 K. The samples were studied by a combination of optical microscopy and transmission electron microscopy. Only after 1373 K annealing for 15 min, blisters and exfoliation occur on the H{sub 2}{sup +}-implanted sample surface. With increasing the implantation fluences from 1.5×10{sup 16} to 3.75×10{sup 16} H{sub 2}{sup +} cm{sup −2}, the exfoliation mean size decreases, while the exfoliation density increases. For the highest fluence of 5×10{sup 16} H{sub 2}{sup +} cm{sup −2}, seldom exfoliations occur on the sample surface. Microstructure analysis shows that exfoliation efficiency is largely controlled by the H{sub 2}{sup +}-implantation-induced lattice damage. The depth of the microcrack is related to the implantation fluence. The effect of implantation fluence on dislocation loops, platelet nucleation and growth is investigated.

  10. Optimization of the doping profile of a MESFET, realized by ion implantation

    International Nuclear Information System (INIS)

    Cazaux, J.L.; Graffeuil, J.; Pavlidis, D.

    1986-01-01

    A method is proposed to investigate the influence of doping profiles on the performance of GaAs Field Effect Transistors. We consider in particular the effect of different ion implantation energies and doses, as well as, the influence of gate recess. The static and dynamic small signal characteristics of GaAs MESFETs with non-uniform doping profiles are studied by combining analytical and numerical techniques to reduce calculation time. Details of the FET analysis and computer simulation are presented. Results are compared with experimental data obtained from FETs with different implantation conditions and gate recess depths. The influence of the doping profile on the equivalent circuit elements of GaAs MESFETs is finally investigated in view of an optimization of their microwave properties [fr

  11. Thermal desorption of deuterium implanted into beryllium

    International Nuclear Information System (INIS)

    Markin, A.V.; Chernikov, V.N.; Zakharov, A.P.

    1995-01-01

    By means of TDS measurements it is shown that the desorption of deuterium from Be implanted with 5 keV D ions to fluences, Φ, from 1x10 20 D/m 2 to 1x10 21 D/m 2 proceeds in one high temperature stage B, while at Φ ≥ 1.2x10 21 D/m 2 one more stage A is added. The desorption maximum A is narrow and consists of two peaks A 1 and A 2 at about 460 K and 490 K, respectively. Peak A 1 is attributed to the desorption of deuterium from the walls of opened channels formed under D ion implantation. Peak A 2 is a consequence of the opening of a part of closed bubbles/channels to the outer surface. The position of maximum B shifts noticeably and nonsteadily on the fluence in a range from 850 to 1050 K. The origin of this maximum is the liberation of D atoms bound at vacancy complexes discussed previously by Wampler. The dependence of Tm(B) on the fluence is governed by the interaction of freely migrating D atoms with partly opened or fully closed gas cavity arrangements which are created under temperature ramping, but differently in specimens implanted with D ions to different fluences

  12. The refractive index distributions of KTP crystal waveguides formed with He-ions at high fluences and low energy

    International Nuclear Information System (INIS)

    Yin, Jiao-Jian; Lu, Fei; Ming, Xian-Bing; Ma, Yu-Jie

    2013-01-01

    The 300 keV He + ions have been implanted into z-cut KTP crystals with fluences of 4 × 10 16 , 6 × 10 16 , 8 × 10 16 and 10 × 10 16 ions/cm 2 . The Rutherford back scattering spectrometry (RBS)/channelling spectra of KTP crystals and the dark-mode spectrum have been measured. According to the multiple scattering formulae of Feldman and Rodgers, the damage profiles of z-cut KTP crystals have been calculated and extracted. The relations between the damage ratio, fluence and the ion-implanted depth have been established. The refractive index profiles over depth have been calculated, which are very close to the real distribution in waveguide

  13. Determination of deep-level impurities and their effects on the small-single and LF noise properties of ion-implanted GaAs MESFETs

    International Nuclear Information System (INIS)

    Sriram, S.; Kim, B.; Ghosh, P.K.; Das, M.B.; Pennsylvania State Univ., University Park; Pennsylvania State Univ., University Park

    1982-01-01

    A large number of deep levels, with energies ranging from Esub(c)-0.19eV to Esub(c)-0.9eV, have been identified and characterized using ion-implanted MESFET's on undoped and Cr-doped LEC-grown semi-insulating GaAs substrates. Measurement techniques used include deep level transient (DLTS) and steady state spectroscopic (DLSS) methods. Large capture cross-section values are obtained for levels below Esub(c)-0.5eV, possibly due to high electric field. Spectral densities of LF noise with distinct bulges have been shown to be related to deep levels. In some samples, natural deep level related oscillations have been observed and their ionization energies have been determined. (author)

  14. The modification of LiTaO3 crystal by low-energy He-ion implantation

    International Nuclear Information System (INIS)

    Pang, L.L.; Wang, Z.G.; Jin, Y.F.; Yao, C.F.; Cui, M.H.; Sun, J.R.; Shen, T.L.; Wei, K.F.; Zhu, Y.B.; Sheng, Y.B.; Li, Y.F.

    2012-01-01

    Highlights: ► LiTaO 3 crystal was implanted by 250 keV He + . ► We report the surface and transmittance of LiTaO 3 change with the fluence and time. ► New phenomena (self-splitting, self-exfoliation, self-recovery) occurred. ► Evolvement of defects and the behavior of helium were discussed. - Abstract: The effects of He-ion implantation on the surface morphology and transmittance of LiTaO 3 single crystals are investigated. The samples were implanted with 250 keV He-ion at different fluences at room temperature. The results show that the surface morphology and transmittance of implanted samples strongly depend on the ion fluence and the time when the samples expose to the air up to 60 days. When the fluence is above 1.0 × 10 16 He + /cm 2 , the transmission spectra indicate that a high concentration of defects is created. 3D-profile images show that at the higher fluence a great many triangular stripes appear on the surface of the samples. After 60 days, the recovery of the transmittance occurs and varies with the fluence. For the sample at the fluence of 5.0 × 10 16 He + /cm 2 , the raised stripes on the surface evolve into narrow cracks. Regional exfoliation, however, occurs on the surface of the sample with the fluence of 1.0 × 10 17 He + /cm 2 . According to the experimental results and simulation of SRIM 2008 code, the evolvement of defects and the behavior of He are discussed.

  15. Comparative study of 150 keV Ar+ and O+ ion implantation induced structural modification on electrical conductivity in Bakelite polymer

    Science.gov (United States)

    Aneesh Kumar, K. V.; Krishnaveni, S.; Asokan, K.; Ranganathaiah, C.; Ravikumar, H. B.

    2018-02-01

    A comparative study of 150 keV argon (Ar+) and oxygen (O+) ion implantation induced microstructural modifications in Bakelite Resistive Plate Chamber (RPC) detector material at different implantation fluences have been studied using Positron Annihilation Lifetime Spectroscopy (PALS). Positron lifetime parameters viz., o-Ps lifetime (τ3) and its intensity (I3) upon lower implantation fluences can be interpreted as the cross-linking and the increased local temperature induced diffusion followed by trapping of ions in the interior polymer voids. The increased o-Ps lifetime (τ3) at higher O+ ion implantation fluences indicates chain scission owing to the oxidation and track formation. This is also justified by the X-Ray Diffraction (XRD) and Fourier Transform Infrared (FTIR) results. The modification in the microstructure and electrical conductivity of Bakelite materials are more upon implantation of O+ ions than Ar+ ions of same energy and fluences. The reduced electrical conductivity of Bakelite polymer material upon ion implantation of both the ions is correlated to the conducting pathways and cross-links in the polymer matrix. The appropriate energy and fluence of implanting ions might reduce the leakage current and hence improve the performance of Bakelite RPC detectors.

  16. Spatial effects in the 800 keV {sup 3}He implantation in W followed by isochronal annealing at 900 K

    Energy Technology Data Exchange (ETDEWEB)

    De Backer, A., E-mail: Andree.de-backer@univ-lille1.fr [Unité Matériaux Et Transformations, UMET, UMR 8207, Université de Lille 1, F-59655 Villeneuve d’Ascq (France); Laboratoire commun EDF-CNRS Étude et Modélisation des Microstructures pour le Vieillissement des Matériaux (EM2VM) (France); Ortiz, C.J. [Laboratorio Nacional de Fusión por Confinamiento Magnético – CIEMAT, Avenida Complutense 40, E-28040 Madrid (Spain); Domain, C. [EDF R and D, Dpt Matériaux et Mécanique des Composants, F-77250 Moret sur Loing (France); Laboratoire commun EDF-CNRS Étude et Modélisation des Microstructures pour le Vieillissement des Matériaux (EM2VM) (France); Barthe, M.F. [CNRS, UPR3079 CEMHTI, 1D avenue de la Recherche Scientifique, F-45071 Orléans cedex 2 (France); Université d’Orléans, Faculté des Sciences, Avenue du Parc Floral, BP 6749, F-45067 Orléans cedex 2 (France); Becquart, C.S. [Unité Matériaux Et Transformations, UMET, UMR 8207, Université de Lille 1, F-59655 Villeneuve d’Ascq (France); Laboratoire commun EDF-CNRS Étude et Modélisation des Microstructures pour le Vieillissement des Matériaux (EM2VM) (France)

    2013-05-15

    The effect of fluence on the depth distribution of vacancy clusters in the track region of room temperature 800 keV {sup 3}He atom implanted tungsten further annealed up to 900 K has been investigated using an Object Kinetic Monte Carlo (OKMC) approach. The results show that the width and the position of the maximum size of the depth distribution strongly depend on the implantation fluence. For the high implantation fluence, the vacancy clusters are the largest in the first 100 nm close to the surface, whereas, for the low implantation fluence, the distribution is more uniform in size between 100 and 300 nm from the surface. The vacancy clusters are always smaller very close to the surface, and this whatever the fluence. The trends observed are in good agreement with the experimental Positron Annihilation Spectroscopy (PAS) results.

  17. Modification of magnetic properties of polyethyleneterephthalate by iron ion implantation

    International Nuclear Information System (INIS)

    Lukashevich, M.G.; Batlle, X.; Labarta, A.; Popok, V.N.; Zhikharev, V.A.; Khaibullin, R.I.; Odzhaev, V.B.

    2007-01-01

    Fe + ions (40 keV) were implanted into polyethyleneterephthalate (PET) films with fluences of (0.25-1.5) x 10 17 cm -2 . Magnetic properties of the synthesised Fe:PET composites were studied using superconducting quantum interference device (SQUID) technique in temperature range of 2-300 K. For range of fluences (0.5-0.75) x 10 17 cm -2 the samples reveal superparamagnetic behaviour at room temperature. At fluences above 0.75 x 10 17 cm -2 the strong increase of magnetisation and transition to ferromagnetic properties are registered. Analysis of the magnetic hysteresis loops suggests an easy plane magnetic anisotropy similar to that found for thin magnetic films. Zero-field-cooled (ZFC) and field-cooled (FC) temperature measurements of magnetisation are found to be in agreement with earlier observed formation of Fe nanoparticles (NPs) in the implanted layers. The growth and agglomeration of the NPs forming the quasi-continuous labyrinth-like structure in the polymer film at the highest implantation fluence of 1.5 x 10 17 cm -2 is an origin for the transition to the ferromagnetic properties

  18. Copper nanoparticles synthesized in polymers by ion implantation

    DEFF Research Database (Denmark)

    Popok, Vladimir; Nuzhdin, Vladimir; Valeev, Valerij

    2015-01-01

    nanoparticles are observed to partly tower above the sample surface due to a side effect of high-fluence irradiation leading to considerable sputtering of polymers. Implantation and particle formation significantly change optical properties of both polymers reducing transmittance in the UV-visible range due...... as optical transmission spectroscopy. It is found that copper nanoparticles nucleation and growth are strongly fluence dependent as well as they are affected by the polymer properties, in particular, by radiation stability yielding different nanostructures for the implanted PI and PMMA. Shallow synthesized...

  19. Optical and structural behaviour of Mn implanted sapphire

    International Nuclear Information System (INIS)

    Marques, C.; Franco, N.; Kozanecki, A.; Silva, R.C. da; Alves, E.

    2006-01-01

    Sapphire single crystals were implanted at room temperature with 180 keV manganese ions to fluences up to 1.8 x 10 17 cm -2 . The samples were annealed at 1000 deg. C in oxidizing or reducing atmosphere. Surface damage was observed after implantation of low fluences, the amorphous phase being observed after implantation of 5 x 10 16 cm -2 , as seen by Rutherford backscattering spectroscopy under channelling conditions. Thermal treatments in air annealed most of the implantation related defects and promoted the redistribution of the manganese ions, in a mixed oxide phase. X-ray diffraction studies revealed the presence of MnAl 2 O 4 . On the contrary, similar heat treatments in vacuum led to enhanced out diffusion of Mn while the matrix remained highly damaged. The analysis of laser induced luminescence performed after implantation showed the presence of an intense red emission

  20. Microstructure and composition of 304 stainless steel implanted with Ti and C

    International Nuclear Information System (INIS)

    Follstaedt, D.M.; Knapp, J.A.; Pope, L.E.

    1989-01-01

    The microstructure and composition of surface alloys formed by implanting Ti and C into 304 stainless steel are examined for a range of Ti fluences, both with and without additional implanted C. The resulting amorphous layers are found to contain TiC precipitates, apparently with some Cr on Ti lattice sites, when the metal-atom fraction of Ti+Cr exceeds 55%. The depth profiles of Ti and C are measured, and the amounts of C incorporated into the alloys during Ti implantation are determined. Small amounts of H are also incorporated during the high-fluence Ti implantations. Thicker amorphous layers than those resulting from Ti implantation alone can be formed when additional C is implanted either before or after the Ti. (orig.)

  1. Computational analysis of the maximum power point for GaAs sub-cells in InGaP/GaAs/Ge triple-junction space solar cells

    International Nuclear Information System (INIS)

    Cappelletti, M A; Cédola, A P; Peltzer y Blancá, E L

    2014-01-01

    The radiation resistance in InGaP/GaAs/Ge triple-junction solar cells is limited by that of the middle GaAs sub-cell. In this work, the electrical performance degradation of different GaAs sub-cells under 1 MeV electron irradiation at fluences below 4 × 10 15 cm −2 has been analyzed by means of a computer simulation. The numerical simulations have been carried out using the one-dimensional device modeling program PC1D. The effects of the base and emitter carrier concentrations of the p- and n-type GaAs structures on the maximum power point have been researched using a radiative recombination lifetime, a damage constant for the minority carrier lifetime and carrier removal rate models. An analytical model has been proposed, which is useful to either determine the maximum exposure time or select the appropriate device in order to ensure that the electrical parameters of different GaAs sub-cells will have a satisfactory response to radiation since they will be kept above 80% with respect to the non-irradiated values. (paper)

  2. Gain and time resolution of 45 μm thin Low Gain Avalanche Detectors before and after irradiation up to a fluence of 1015 neq/cm2

    CERN Document Server

    Lange, J; Cavallaro, E; Chytka, L; Davis, P.M; Flores, D; Förster, F; Grinstein, S; Hidalgo, S; Komarek, T; Kramberger, G; Mandić, I; Merlos, A; Nozka, L; Pellegrini, G; Quirion, D; Sykora, T; Physics

    2018-01-01

    The gain showed the expected decrease at a fixed voltage for a lower initial implantation dose, as well as for a higher fluence due to effective acceptor removal in the multiplication layer. Time resolutions below 30 ps were obtained at the highest applied voltages for both implantation doses before irradiation. Also after an intermediate fluence of 3 × 1014 neq/cm2, similar values were measured since a higher applicable reverse bias voltage could recover most of the pre-irradiation gain...

  3. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  4. Calibration of a He accumulation fluence monitor for fast reactor dosimetry

    Energy Technology Data Exchange (ETDEWEB)

    Ito, Chikara [Power Reactor and Nuclear Fuel Development Corp., Oarai, Ibaraki (Japan). Oarai Engineering Center

    1997-03-01

    The helium accumulation fluence monitor (HAFM) has been developed for a fast reactor dosimetry. The HAFM measurement system was calibrated using He gas and He implanted samples and the measurement accuracy was confirmed to be less than 5%. Based on the preliminary irradiation test in JOYO, the measured He in the {sup 10}B type HAFM agreed well with the calculated values using the JENDL-3.2 library. (author)

  5. Evolution of arsenic in high fluence plasma immersion ion implanted silicon : Behavior of the as-implanted surface

    NARCIS (Netherlands)

    Vishwanath, V.; Demenev, E.; Giubertoni, D.; Vanzetti, L.; Koh, A. L.; Steinhauser, G.; Pepponi, G.; Bersani, M.; Meirer, F.; Foad, M. A.

    2015-01-01

    High fluence (>1015 ions/cm2) low-energy (3 + on (1 0 0) silicon was investigated, with the focus on stability and retention of the dopant. At this dose, a thin (∼3 nm) amorphous layer forms at the surface, which contains about 45% arsenic (As) in a silicon and oxygen matrix. The presence of silicon

  6. Indium implantation and annealing of GaN: Lattice damage and recovery

    Energy Technology Data Exchange (ETDEWEB)

    Katsikini, M.; Ves, S.; Paloura, E.C. [Aristotle University of Thessaloniki, School of Physics, 54124 Thessaloniki (Greece); Arvanitidis, J. [Technological Educational Institute of Thessaloniki, Department of Applied Sciences, 57400 Sindos (Greece); Wendler, E.; Wesch, W. [Institut fuer Festkoerperphysik, Friedrich-Schiller Universitaet Jena, Max-Wien-Platz 1, 07743 Jena (Germany)

    2010-01-15

    The effect of indium (In) implantation in n-type GaN is studied using Raman spectroscopy and Rutherford backscattering (RBS). The RBS analysis reveals that the 700 keV In implantation results in the formation of a subsurface defective region that extends to a depth of 400 nm. An abrupt increase ({proportional_to}93%) of the maximum defect concentration is observed for fluences in the range 1.5 and 5 x 10{sup 14} cm{sup -2}. A further increase of the fluence to 5 x 10{sup 15} cm{sup -2} renders the implanted layer amorphous. In the Raman spectra recorded in the backscattering geometry only the E{sup 2}{sub 2} peak is resolved since the A{sub 1}(LO) is completely damped due to plasmon - phonon coupling. As the fluence increases, the characteristic sharp peaks of the as-grown sample broaden due to relaxation of the q-selection rules allowing phonons with q{ne}0 to contribute in the Raman scattering. Furthermore, three additional broad peaks are detected in the implanted samples even after implantation with the fluence of 5 x 10{sup 13} cm{sup -2}. They are ascribed to disorder activated Raman scattering or acoustic overtones (300 cm{sup -1}, 420 cm{sup -1}) and the formation of point defects (670 cm{sup -1}), respectively. Rapid thermal annealing at 1000 C causes partial recovery of the lattice. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Radiance and particle fluence

    International Nuclear Information System (INIS)

    Papiez, L.; Battista, J.J.

    1994-01-01

    The International Commission on Radiological Units and Measurements (ICRU) has defined fluence in terms of the number of the radiation particles crossing a small sampling sphere. A second definition has been proposed in which the length of track segments contained within any sampling volume are used to calculate the incident fluence. This approach is often used in Monte Carlo simulations of individual particle tracks, allowing the fluence to be scored in small volumes of any shape. In this paper we stress that the second definition generalizes the classical (ICRU) concept of fluence. We also identify the assumptions inherent in the two definitions of fluence and prove their equivalence for the case of straight-line particle trajectories. (author)

  8. Recharging processes, radiation induced strain and changes of OH - bands under H + ion implantation in Ti doped lithium niobate

    Science.gov (United States)

    Kumar, P.; Moorthy Babu, S.; Bhaumik, I.; Ganesamoorthy, S.; Karnal, A. K.; Kumar, Praveen; Rodrigues, G. O.; Sulania, I.; Kanjilal, D.; Pandey, A. K.; Raman, R.

    2010-01-01

    A systematic analysis of variations in structural and optical characteristics of Z-cut plates of titanium doped congruent lithium niobate single crystals implanted with 120 keV proton beam at various fluences of 10 15, 10 16 and 10 17 protons/cm 2 is presented. Through, high resolution X-ray diffraction, atomic force microscopy, Fourier transform infrared and UV-visible-NIR analysis of congruent lithium niobate, the correlation of properties before and after implantation are discussed. HRXRD (0 0 6) reflection by Triple Crystal Mode shows that both tensile and compressive strain peak are produced by the high fluence implantation. A distinct tensile peak was observed from implanted region for a fluence of 10 16 protons/cm 2. AFM micrographs indicate mountain ridges, bumps and protrusions on target surface on implantation. UV-visible-NIR spectra reveal an increase in charge transfer between Ti 3+/Ti 4+ and ligand oxygen for implantation with 10 15 protons/cm 2, while spectra for higher fluence implanted samples show complex absorption band in the region from 380-1100 nm. Variations of OH - stretching vibration mode were observed for cLN Pure, cLNT2% virgin, and implanted samples with FTIR spectra. The concentration of OH - ion before and after implantation was calculated from integral absorption intensity. The effect of 120 keV proton implantation induced structural, surface and optical studies were correlated.

  9. Investigation of hydrogen bubbles behavior in tungsten by high-flux hydrogen implantation

    Science.gov (United States)

    Zhao, Jiangtao; Meng, Xuan; Guan, Xingcai; Wang, Qiang; Fang, Kaihong; Xu, Xiaohui; Lu, Yongkai; Gao, Jun; Liu, Zhenlin; Wang, Tieshan

    2018-05-01

    Hydrogen isotopes retention and bubbles formation are critical issues for tungsten as plasma-facing material in future fusion reactors. In this work, the formation and growing up behavior of hydrogen bubbles in tungsten were investigated experimentally. The planar TEM samples were implanted by 6.0keV hydrogens to a fluence of 3.38 ×1018 H ṡ cm-2 at room temperature, and well-defined hydrogen bubbles were observed by TEM. It was demonstrated that hydrogen bubbles formed when exposed to a fluence of 1.5 ×1018 H ṡ cm-2 , and the hydrogen bubbles grew up with the implantation fluence. In addition, the bubbles' size appeared larger with higher beam flux until saturated at a certain flux, even though the total fluence was kept the same. Finally, in order to understand the thermal annealing effect on the bubbles behavior, hydrogen-implanted samples were annealed at 400, 600, 800, and 1000 °C for 3 h. It was obvious that hydrogen bubbles' morphology changed at temperatures higher than 800 °C.

  10. Investigation of Mn Implanted LiNbO3 applying electron paramagnetic resonance technique

    International Nuclear Information System (INIS)

    Darwish, A.; Ila, D.; Poker, D.B.; Hensley, D.K.

    1997-10-01

    The effect of ion implantation on the LiNbO 3 crystal is studied using electron paramagnetic resonance spectroscopy (EPR). EPR measurements on these crystals were performed as a function of ion species Mn and Fe and fluence at room temperature. Also the effect of the laser illumination on the EPR signal was determined by illuminating the crystal in situ and measuring the decay and growth of the EPR signal. LiNbO 3 :Mn 2+ at a depth of approximately 200 nm was formed by implantation of 2.5 x 10 14 Mncm 2 and 1 x 10 17 Mn/cm 2 at 2 MeV. The implanted samples were compared with bulk doped crystals. It was found that the decay and growth of Mn EPR for the implanted crystal is very small compared with the bulk doped LiNbO 3 :Mn crystal. This was found to be primarily due to the spin concentration on the crystals. On the other, hand the decay time of the high fluence is about 40% slower than the decay of the low fluence implanted crystal

  11. Modification of polyethyleneterephtalate by implantation of nitrogen ions

    International Nuclear Information System (INIS)

    Svorcik, V.; Endrst, R.; Rybka, V.; Hnatowicz, V.; Cerny, F.

    1994-01-01

    The implantation of 90 keV N + ions into polyethyleneterephtalate (PET) to fluences of 1 x 10 14 --1 x 10 17 cm -2 was studied. The changes in electrical sheet conductivity and polarity of ion-exposed PET were observed and the structural changes were examined using IR spectroscopy. One degradation process is a chain fission according to the Norrish II reaction. The sheet conductivity due to conjugated double bonds was increased by ten orders of magnitude as a result of ion implantation. The surface polarity of the PET samples increases slightly with increasing ion fluence

  12. Variable energy positron beam study of Xe-implanted uranium oxide

    International Nuclear Information System (INIS)

    Djourelov, Nikolay; Marchand, Benoît; Marinov, Hristo; Moncoffre, Nathalie; Pipon, Yves; Nédélec, Patrick; Toulhoat, Nelly; Sillou, Daniel

    2013-01-01

    Doppler broadening of annihilation gamma-line combined with a slow positron beam was used to measure the momentum density distribution of annihilating pair in a set of sintered UO 2 samples. The influence of surface polishing, of implantation with 800-keV 136 Xe 2+ at fluences of 1 × 10 15 and 1 × 10 16 Xe cm −2 , and of annealing were studied by following the changes of the momentum distribution shape by means of S and W parameters. The program used for this purpose was VEPFIT. At the two fluences in the stoichiometric as-implanted UO 2 , formation of Xe bubbles was not detected. The post-implantation annealing and over-stoichiometry in the as-implanted sample caused Xe precipitation and formation of Xe bubbles.

  13. Formation and growth of embedded indium nanoclusters by In2+ implantation in silica

    International Nuclear Information System (INIS)

    Santhana Raman, P.; Nair, K.G.M.; Kesavamoorthy, R.; Panigrahi, B.K.; Dhara, S.; Ravichandran, V.

    2007-01-01

    Indium nanoclusters are synthesized in an amorphous silica matrix using an ion-implantation technique. Indium ions (In 2+ ) with energy of 890 keV are implanted on silica to fluences in the range of 3 x 10 16 -3 x 10 17 cm -2 . The formation of indium nanoclusters is confirmed by optical absorption spectrometry and glancing incidence X-ray diffraction studies. A low frequency Raman scattering technique is used to study the growth of embedded indium nanoclusters in the silica matrix as a function of fluence and post-implantation annealing duration. Rutherford backscattering spectrometry studies show the surface segregation of implanted indium. Photoluminescence studies indicate the formation of a small quantity of indium oxide phase in the ion-implanted samples. (orig.)

  14. Range distributions in multiply implanted targets

    International Nuclear Information System (INIS)

    Kostic, S.; Jimenez-Rodriguez, J.J.; Karpuzov, D.S.; Armour, D.G.; Carter, G.; Salford Univ.

    1984-01-01

    Range distributions in inhomogeneous binary targets have been investigated both theoretically and experimentally. Silicon single crystal targets [(111) orientation] were implanted with 40 keV Pb + ions to fluences in the range from 5x10 14 to 7.5x10 16 cm -2 prior to bombardment with 80 keV Kr + ions to a fluence of 5x10 15 cm -2 . The samples were analysed using high resolution Rutherford backscattering before and after the krypton implantation in order to determine the dependence of the krypton distribution on the amount of lead previously implanted. The theoretical analysis was undertaken using the formalism developed in [1] and the computer simulation was based on the MARLOWE code. The agreement between the experimental, theoretical and computational krypton profiles is very good and the results indicate that accurate prediction of ranges profiles in inhomogeneous binary targets is possible using available theoretical and computational treatments. (orig.)

  15. Surface-conductivity enhancement of PMMA by keV-energy metal-ion implantation

    International Nuclear Information System (INIS)

    Bannister, M.E.; Hijazi, H.; Meyer, H.M.; Cianciolo, V.; Meyer, F.W.

    2014-01-01

    An experiment has been proposed to measure the neutron electric dipole moment (nEDM) with high precision at the Oak Ridge National Laboratory (ORNL) Spallation Neutron Source. One of the requirements of this experiment is the development of PMMA (Lucite) material with a sufficiently conductive surface to permit its use as a high-voltage electrode while immersed in liquid He. At the ORNL Multicharged Ion Research Facility, an R and D activity is under way to achieve suitable surface conductivity in poly-methyl methacrylate (PMMA) using metal ion implantation. The metal implantation is performed using an electron-cyclotron-resonance (ECR) ion source and a recently developed beam line deceleration module that is capable of providing high flux beams for implantation at energies as low as a few tens of eV. The latter is essential for reaching implantation fluences exceeding 1 × 10 16 cm −2 , where typical percolation thresholds in polymers have been reported. In this contribution, we report results on initial implantation of Lucite by Ti and W beams with keV energies to average fluences in the range 0.5–6.2 × 10 16 cm −2 . Initial measurements of surface-resistivity changes are reported as function of implantation fluence, energy, and sample temperature. We also report X-ray photoelectron spectroscopy (XPS) surface and depth profiling measurements of the ion implanted samples, to identify possible correlations between the near surface and depth resolved implanted W concentrations and the measured surface resistivities

  16. Annealing of low-temperature GaAs studied using a variable energy positron beam

    International Nuclear Information System (INIS)

    Keeble, D.J.; Umlor, M.T.; Asoka-Kumar, P.; Lynn, K.G.; Cooke, P.W.

    1993-01-01

    The annihilation characteristics of monoenergetic positrons implanted in a molecular beam epitaxy layer of low-temperature (LT) GaAs annealed at temperatures from 300 to 600 degree C were measured. A gallium vacancy concentration of approximately 3x10 17 cm -3 is inferred for the as-grown material. The S parameter increased significantly upon anneal to 500 degree C. The dominant positron traps in samples annealed at and below 400 degree C are distinct from those acting for samples annealed to 500 or 600 degree C. The change in S parameter for the 600 degree C annealed sample compared to the GaAs substrate, S LT,600 =1.047S sub , is consistent with divacancies or larger open volume defects

  17. Implantation doping of GaN

    International Nuclear Information System (INIS)

    Zolper, J.C.

    1996-01-01

    Ion implantation has played an enabling role in the realization of many high performance photonic and electronic devices in mature semiconductor materials systems such as Si and GaAs. This can also be expected to be the case in III-Nitride based devices as the material quality continues to improve. This paper reviews the progress in ion implantation processing of the III-Nitride materials, namely, GaN, AlN, InN and their alloys. Details are presented of the successful demonstrations of implant isolation as well as n- and p-type implantation doping of GaN. Implant doping has required activation annealing at temperatures in excess of 1,000 C. The nature of the implantation induced damage and its response to annealing is addressed using Rutherford Backscattering. Finally, results are given for the first demonstration of a GaN device fabricated using ion implantation doping, a GaN junction field effect transistor (JFET)

  18. Implanted He retention and release from boronized layers

    International Nuclear Information System (INIS)

    Doyle, B.L.; Walsh, D.S.; Wampler, W.R.

    1992-01-01

    3 He has been implanted at an energy of 3 keV into amorphous hydrogenated boron-carbon (a-BC) films deposited by rf sputtering onto single crystal Si substrates. The initial composition of the films was analyzed by nuclear-enhanced backscattering spectrometry to be B 2 C with ∝20% H and ∝10% O. The areal density of the implanted and retained 3 He was measured in situ by a new ion beam analysis technique using the 3 He( 3 He, pp) three-body nuclear reaction. The He trapping or pumping efficiency at room temperature is only 3.4% for low fluence implants and the a-BC layer saturates with He at a fluence of 5x10 17 He/cm 2 . At this saturation fluence, only 3.1x10 15 He/cm 2 is retained in the film. Isochronal annealing of the implanted samples reveals a distributed release of implanted He at ∝200deg C, which corresponds to a trap activation energy of 1.65±0.25 eV. 3 He was trapped less efficiently at 250deg C than at room temperature and exhibited a saturated retention of 8.6x10 14 He/cm 2 . These results indicate that wall pumping should play only a minor role in the interpretation of the Textor He-pump experiment carried out earlier this year. The results also show that the unintentional deposition of a-Bc, onto He pumping plates could adversely affect the operation of such devices, and should therefore be avoided. (orig.)

  19. Atomic-scale structure of irradiated GaN compared to amorphised GaP and GaAs

    International Nuclear Information System (INIS)

    Ridgway, M.C.; Everett, S.E.; Glover, C.J.; Kluth, S.M.; Kluth, P.; Johannessen, B.; Hussain, Z.S.; Llewellyn, D.J.; Foran, G.J.; Azevedo, G. de M.

    2006-01-01

    We have compared the atomic-scale structure of ion irradiated GaN to that of amorphised GaP and GaAs. While continuous and homogenous amorphised layers were easily achieved in GaP and GaAs, ion irradiation of GaN yielded both structural and chemical inhomogeneities. Transmission electron microscopy revealed GaN crystallites and N 2 bubbles were interspersed within an amorphous GaN matrix. The crystallite orientation was random relative to the unirradiated epitaxial structure, suggesting their formation was irradiation-induced, while the crystallite fraction was approximately constant for all ion fluences beyond the amorphisation threshold, consistent with a balance between amorphisation and recrystallisation processes. Extended X-ray absorption fine structure measurements at the Ga K-edge showed short-range order was retained in the amorphous phase for all three binary compounds. For ion irradiated GaN, the stoichiometric imbalance due to N 2 bubble formation was not accommodated by Ga-Ga bonding in the amorphous phase or precipitation of metallic Ga but instead by a greater reduction in Ga coordination number

  20. Synthesis of sponge-like hydrophobic NiBi_3 surface by 200 keV Ar ion implantation

    International Nuclear Information System (INIS)

    Siva, Vantari; Datta, D.P.; Chatterjee, S.; Varma, S.; Kanjilal, D.; Sahoo, Pratap K.

    2017-01-01

    Highlights: • A sponge-like hydrophobic NiBi_3 surface has been synthesized using 200 keV Ar ion implantation. • A competition between amorphization and re-crystallization was observed in the existing phases owing to comparable magnitudes of nuclear and electronic energy depositions. • The relation between hydrophobic nature and sponge-like NiBi_3 phase seems interesting, which is attributed to ion beam induced sputtering and mixing of the layers. - Abstract: Sponge-like nanostructures develop under Ar-ion implantation of a Ni–Bi bilayer with increasing ion fluence at room temperature. The surface morphology features different stages of evolution as a function of ion fluence, finally resulting in a planar surface at the highest fluence. Our investigations on the chemical composition reveal a spontaneous formation of NiBi_3 phase on the surface of the as deposited bilayer film. Interestingly, we observe a competition between crystallization and amorphization of the existing poly-crystalline phases as a function of the implanted fluence. Measurements of contact angle by sessile drop method clearly show the ion-fluence dependent hydrophobic nature of the nano-structured surfaces. The wettability has been correlated with the variation in roughness and composition of the implanted surface. In fact, our experimental results confirm dominant effect of ion-sputtering as well as ion-induced mixing at the bilayer interface in the evolution of the sponge-like surface.

  1. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    Energy Technology Data Exchange (ETDEWEB)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.; Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultrahigh Frequency Semiconductor Electronics (Russian Federation); Grekhov, M. M. [National Research Nuclear University “MEPhI” (Russian Federation); Ilyakov, I. E.; Shishkin, B. V.; Akhmedzhanov, R. A. [Russian Academy of Sciences, Institute of Applied Physics (Russian Federation)

    2017-04-15

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  2. Recharging processes, radiation induced strain and changes of OH{sup -} bands under H{sup +} ion implantation in Ti doped lithium niobate

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, P. [Crystal Growth Centre, Anna University, Chennai 600025, Tamil Nadu (India); Moorthy Babu, S., E-mail: smoorthybabu@yahoo.co [Crystal Growth Centre, Anna University, Chennai 600025, Tamil Nadu (India); Bhaumik, I.; Ganesamoorthy, S.; Karnal, A.K. [LMDD Division, RRCAT, Indore 452013, Madhya Pradesh (India); Kumar, Praveen; Rodrigues, G.O.; Sulania, I.; Kanjilal, D. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg 110067, New Delhi (India); Pandey, A.K.; Raman, R. [Solid State Physics Laboratory, Timarpur 110 054, New Delhi (India)

    2010-01-15

    A systematic analysis of variations in structural and optical characteristics of Z-cut plates of titanium doped congruent lithium niobate single crystals implanted with 120 keV proton beam at various fluences of 10{sup 15}, 10{sup 16} and 10{sup 17} protons/cm{sup 2} is presented. Through, high resolution X-ray diffraction, atomic force microscopy, Fourier transform infrared and UV-visible-NIR analysis of congruent lithium niobate, the correlation of properties before and after implantation are discussed. HRXRD (0 0 6) reflection by Triple Crystal Mode shows that both tensile and compressive strain peak are produced by the high fluence implantation. A distinct tensile peak was observed from implanted region for a fluence of 10{sup 16} protons/cm{sup 2}. AFM micrographs indicate mountain ridges, bumps and protrusions on target surface on implantation. UV-visible-NIR spectra reveal an increase in charge transfer between Ti{sup 3+}/Ti{sup 4+} and ligand oxygen for implantation with 10{sup 15} protons/cm{sup 2}, while spectra for higher fluence implanted samples show complex absorption band in the region from 380-1100 nm. Variations of OH{sup -} stretching vibration mode were observed for cLN Pure, cLNT2% virgin, and implanted samples with FTIR spectra. The concentration of OH{sup -} ion before and after implantation was calculated from integral absorption intensity. The effect of 120 keV proton implantation induced structural, surface and optical studies were correlated.

  3. Ion implantation in compound semiconductors for high-performance electronic devices

    International Nuclear Information System (INIS)

    Zolper, J.C.; Baca, A.G.; Sherwin, M.E.; Klem, J.F.

    1996-01-01

    Advanced electronic devices based on compound semiconductors often make use of selective area ion implantation doping or isolation. The implantation processing becomes more complex as the device dimensions are reduced and more complex material systems are employed. The authors review several applications of ion implantation to high performance junction field effect transistors (JFETs) and heterostructure field effect transistors (HFETs) that are based on compound semiconductors, including: GaAs, AlGaAs, InGaP, and AlGaSb

  4. Cell adhesion of F{sup +} ion implantation of intraocular lens

    Energy Technology Data Exchange (ETDEWEB)

    Li, D.J. E-mail: dejunli@hotmail.com; Cui, F.Z.; Gu, H.Q

    1999-04-01

    The cell adhesion of ion implanted polymethylmethacrylate (PMMA) intraocular lens was studied using cultured cells in vitro. F{sup +} ion implantation was performed at the energies of 40, 60, 80, 100 keV with the fluences ranging from 5x10{sup 13} to 1x10{sup 15} ions/cm{sup 2} at room temperature. The cell adhesion tests gave interesting results that the number of the neutral granulocytes and the macrophages adhering on surface were reduced significantly after ion implantation. The optimal fluence was about 4x10{sup 14} ions/cm{sup 2}. The hydrophobicity imparted to the lens surface was also enhanced. The results of X-ray photoelectron spectroscopy analysis indicated that ion implantation resulted in the cleavage of some pendant groups, the oxidation of the surface, and the formation of some new chemical bonds, which was probably the main reason for the cell adhesion change.

  5. Fluence map segmentation

    International Nuclear Information System (INIS)

    Rosenwald, J.-C.

    2008-01-01

    The lecture addressed the following topics: 'Interpreting' the fluence map; The sequencer; Reasons for difference between desired and actual fluence map; Principle of 'Step and Shoot' segmentation; Large number of solutions for given fluence map; Optimizing 'step and shoot' segmentation; The interdigitation constraint; Main algorithms; Conclusions on segmentation algorithms (static mode); Optimizing intensity levels and monitor units; Sliding window sequencing; Synchronization to avoid the tongue-and-groove effect; Accounting for physical characteristics of MLC; Importance of corrections for leaf transmission and offset; Accounting for MLC mechanical constraints; The 'complexity' factor; Incorporating the sequencing into optimization algorithm; Data transfer to the treatment machine; Interface between R and V and accelerator; and Conclusions on fluence map segmentation (Segmentation is part of the overall inverse planning procedure; 'Step and Shoot' and 'Dynamic' options are available for most TPS (depending on accelerator model; The segmentation phase tends to come into the optimization loop; The physical characteristics of the MLC have a large influence on final dose distribution; The IMRT plans (MU and relative dose distribution) must be carefully validated). (P.A.)

  6. The effect of Ni pre-implantation on surface morphology and optical absorption properties of Ag nanoparticles embedded in SiO2

    International Nuclear Information System (INIS)

    Shen, Yanyan; Qi, Ting; Qiao, Yu; Yu, Shengwang; Hei, Hongjun; He, Zhiyong

    2016-01-01

    Graphical abstract: - Highlights: • Ag concentration increased significantly due to the Ni pre-implantation. • Deposition and accumulation process of Ag atoms depends on Ni fluences. • The incorporation of Ni elements in Ag NPs can damp SPR absorption intensity. • AgNi alloy NPs embedded in SiO 2 have been created by sequentially implantation. • Unique SPR absorption with dual peaks centered at 406 nm and 563 nm was observed. - Abstract: The effect of Ni ion fluence on Ag nucleation and particle growth was investigated by sequentially implantation of 60 keV Ni ions at fluences of 1 × 10 16 , 5 × 10 16 , 1 × 10 17 ions/cm 2 and 70 keV Ag ions at a fluence of 5 × 10 16 ions/cm 2 . Due to the modification of the deposition and accumulation process of Ag implants caused by Ni pre-implantation, the surface morphology, structures, and optical absorption properties of the Ag nanoparticles (NPs) depends strongly on the Ni fluences. UV–vis absorption spectroscopy study showed that the introducing of Ni atoms lead to intensity decrease in the Ag SPR band. Remarkable local concentration increase of Ag profiles appeared for the sample pre-implanted by Ni ions of 5.0 × 10 16 ions/cm 2 . In particular, the AgNi alloy NPs with dual absorption peaks centered at 406 nm and 563 nm have been formed after 600 °C annealing in Ar atmosphere. However, at a low fluence of 1.0 × 10 16 ions/cm 2 , only small increase of the local Ag concentration than the Ag ions singly implanted sample can be observed. At a high fluence of 1.0 × 10 17 ions/cm 2 , lots Ag atoms are trapped close to the surface, which result in heavy sputtering loss of Ag atoms and the sublimation of Ag atoms after 600 °C annealing.

  7. In situ EELS and TEM observation of Al implanted with nitrogen ions

    International Nuclear Information System (INIS)

    Hojou, K.; Furuno, S.; Kushita, K.N.; Otsu, H.; Izui, K.

    1995-01-01

    Formation processes of Aluminum nitride (AIN) in Aluminum (AI) implanted with nitrogen were examined by in situ EELS and TEM observations during nitrogen ion implantation in an electron microscope at room temperature and 400 deg C. AIN phase was identified both by EDP and EELS after nitrogen ion implantation to 6 x 10 20 (N + )/m 2 . The observed peak (20.8 eV) in EELS spectra was identified as plasmon loss peak of AIN formed in AI. The binding energy of N ls in AI was found to shift by about 4 eV to the lower side with increasing nitrogen-ion fluence. Unreacted AI was also found to remain in the AIN films after high fluence implantation both at room temperature and 400 deg C. (authors). 11 refs., 5 figs., 2 tabs

  8. Radiation annealing of gallium arsenide implanted with sulphur

    CERN Document Server

    Ardyshev, V M

    2002-01-01

    Sulfur ions were implanted in a semi-insulating GaAs. Photon annealing (805 deg C/(10-12) s) and the thermal one (800 deg C/30 min) were conducted under SiO sub 2 -films coating obtained by different ways. Contents of GaAs components in films were determined from Rutherford backscattering spectra; concentration profiles of electrons were measured by the voltage-capacitance method. Diffusion of sulfur was shown to go in two directions - to the surface and into bulk of GaAs. The first process was induced by vacancies that had been formed near the surface of semiconductors during the dielectric coating. The coefficient of the bulk-diffusion and diffusion-to-surface of sulfur ions under photon annealing was twice as much as that under thermal one. The doping efficiency was also larger

  9. Microstructural change of Al on H implantation

    Energy Technology Data Exchange (ETDEWEB)

    Kamada, K; Sagara, A; Kinoshita, H; Takahashi, H

    1987-01-01

    Modifications to the subsurface layer of Al on 25 keV H/sub 2//sup +/ ion implantation to fluences of 4 x 10/sup 17/ to 2 x 10/sup 18/ H/sup +//cm/sup 2/ at room temperature were investigated by transmission electron microscopy (TEM) and elastic recoil detection (ERD) with 1.5 MeV He/sup +/. Numerous bubbles ranging in diameter from 50 to 1000 A were observed with a sharp peak at 120 A irrespective of the ion fluence. Stereomicroscopic observations revealed the depth distribution of the bubbles. At fluences greater than 6 x 10/sup 17/ H/sup +//cm/sup 2/, a lateral layer of lower density compared with matrix was observed and named ''Swiss cheese structure'' since its estimated structure is similar to Emmental cheese containing many holes. This Swiss cheese structure causes the formation of new layers on a free surface, and plays a precursor role for blistering. Hhydrogen depth profiling with ERD was performed on every specimen revealing the collapse of the implanted hydrogen profile due to the formation of the Swiss cheese structure.

  10. RBS/channeling analysis of hydrogen-implanted single crystals of FZ silicon and 6H silicon

    International Nuclear Information System (INIS)

    Irwin, R.B.

    1984-01-01

    Single crystals of FZ silicon and 6H silicon carbide were implanted with hydrogen ions (50 and 80 keV, respectively) to fluences from 2 x 10 16 H + /cm 2 to 2 x 10 18 H+/cm 2 . The implantations were carried out at three temperatures: approx.95K, 300 K, and approx.800 K. Swelling of the samples was measured by surface profilometry. RBS/channeling was used to obtain the damage profiles and to determine the amount of hydrogen retained in the lattice. The damage profiles are centered around X/sub m/ for the implants into silicon and around R/sub p/ for silicon carbide. For silicon carbide implanted at 95 K and 300 K and for silicon implanted at 95 K, the peak damage region is amorphous for fluences above 8 x 10 16 H + /cm 2 , 4 x 10 17 H + /cm 2 , and 2 x 10 17 H + /cm 2 , respectively. Silicon implanted at 300 and 800 K and silicon carbide implanted at 800 K remain crystalline up to fluences of 1 x 10 18 H + /cm 2 . The channeling damage results agree with previously reported TEM and electron diffraction data. The predictions of a simple disorder-accumulation model with a linear annealing term explains qualitatively the observed damage profiles in silicon carbide. Quantitatively, however, the model predicts faster development of the damage profiles than is observed at low fluences in both silicon and silicon carbide. For samples implanted at 300 and 800 K, the model also predicts substantially less peak disorder than is observed. The effect of the surface, the retained hydrogen, the shape of S/sub D/(X), and the need for a nonlinear annealing term may be responsible for the discrepancy

  11. The fluence research of filter material for fast neutron fluence measurement

    International Nuclear Information System (INIS)

    Tang Xiding

    2010-01-01

    When the fast neutron fluence is measured by radioactivation techniques in the nuclear reactor the fast neutron is also filtered a little by the thermal neutron filter material, and if the filter material thickness increase the filtered fast neutron increases therewith. For fast neutron fluenc measurement, there are only cadmium, boron and gadolinium three elements filtering fluence can be calculated ordinarily. In order to calculate the filtered fast neutron fluence of the all elements in the filter material, the many total cross sections of nuclides had checked out from nuclear cross section data library, converted them into the same energy group structure, then element's total cross section, compound's total cross section and multilayer filters' total cross section had calculated from these total cross sections with same energy group structure, a new cross section data library can be obtained lastly through merging these cross sections into the old cross section data library used for neutron fluence measurement. The calculation analysis indicates that the results of the unit 2 surveillance capsule U of DAYA Bay NPP and the unit 1 surveillance capsule A of the Second Nuclear Power Plant of Qinshan by considering the all elements subtracting iron are smaller about 1.5% and 2.6% respectively than the ones only to consider cadmium, boron. The old measured results accord with the new values under the measurement uncertainty, are reliable. The new results are more accuracy. (authors)

  12. Oxygen ion implantation induced microstructural changes and electrical conductivity in Bakelite RPC detector material

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, K. V. Aneesh, E-mail: aneesh1098@gmail.com; Ravikumar, H. B., E-mail: hbr@physics.uni-mysore.ac.in [Department of Studies in Physics, University of Mysore, Mysore-570006 (India); Ranganathaiah, C., E-mail: cr@physics.uni-mysore.ac.in [Govt. Research Centre, Sahyadri Educational Institutions, Mangalore-575007 (India); Kumarswamy, G. N., E-mail: kumy79@gmail.com [Department of Studies in Physics, Amrita Vishwa Vidyapeetham, Bangalore-560035 (India)

    2016-05-06

    In order to explore the structural modification induced electrical conductivity, samples of Bakelite Resistive Plate Chamber (RPC) detector materials were exposed to 100 keV Oxygen ion in the fluences of 10{sup 12}, 10{sup 13}, 10{sup 14} and 10{sup 15} ions/cm{sup 2}. Ion implantation induced microstructural changes have been studied using Positron Annihilation Lifetime Spectroscopy (PALS) and X-Ray Diffraction (XRD) techniques. Positron lifetime parameters viz., o-Ps lifetime and its intensity shows the deposition of high energy interior track and chain scission leads to the formation of radicals, secondary ions and electrons at lower ion implantation fluences (10{sup 12} to10{sup 14} ions/cm{sup 2}) followed by cross-linking at 10{sup 15} ions/cm{sup 2} fluence due to the radical reactions. The reduction in electrical conductivity of Bakelite detector material is correlated to the conducting pathways and cross-links in the polymer matrix. The appropriate implantation energy and fluence of Oxygen ion on polymer based Bakelite RPC detector material may reduce the leakage current, improves the efficiency, time resolution and thereby rectify the aging crisis of the RPC detectors.

  13. Pulsed electron-beam annealing of selenium-implanted gallium arsenide

    International Nuclear Information System (INIS)

    Inada, T.; Tokunaga, K.; Taka, S.

    1979-01-01

    Electrical properties of selenium-implanted gallium arsenide annealed by a single shot of high-power pulsed electron beams have been investigated by differential Hall-effect and sheet-resistivity measurements. It has been shown that higher electrical activation of implanted selenium can be obtained after electron-beam annealing at an incident energy density of 1.2 J/cm 2 , independent of heating of GaAs substrate during implantation. Measured carrier concentrations exhibit uniformly distributed profiles having carrier concentrations of 2--3 x 10 19 /cm 3 , which is difficult to realize by conventional thermal annealing

  14. Precipitation of Kr after implantation into Al

    International Nuclear Information System (INIS)

    Birtcher, R.C.; Jaeger, W.

    1985-09-01

    Transmission electron microscopy (TEM) was used to perform a systematic study of the microstructural evolution in Al as a function of the fluence received during 65 keV Kr + ion implantation at room temperature. At the lower fluences (2 x 10 16 to 2 x 10 19 Kr + m -2 ), isolated dislocation loops and the evolution of a dislocation network was observed by TEM. Above fluences of 10 19 Kr + m -2 , the microstructure is dominated by a high density of Kr bubbles whose average size increases with dose. The appearance of additional electron diffraction reflections indicates that the majority of the bubbles contain solid fcc Kr that is epitaxially aligned with the fcc Al matrix. Above fluences of 2 x 10 20 Kr + m -2 an increasing fraction of the Kr is in a liquid or gas-like phase. The thermal stability of the microstructure, characteristic of the different fluence regimes, was investigated up to 640 0 C by in situ TEM annealing experiments

  15. Structural investigations of amorphised iron and nickel by high-fluence metalloid ion implantation

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Otto, G.; Hohmuth, K.; Heera, V.

    1987-01-01

    Boron, phosphorus and arsenic ions have been implanted into evaporated iron and nickel thin films at room temperature, and the implantation-induced microstructure has been investigated by high-voltage electron microscopy and transmission high energy electron diffraction. The metal films were implanted with ions to a constant dose of 1 x 10 17 and 5 x 10 17 ions/cm 2 respectively at energy of 50 keV. An amorphous layer was produced by boron and phosphorus ion implantation. Information on the atomic structure of the amorphous layers was obtained from the elastically diffracted electron intensity. On the basis of the correct scattering curves, the total interference function and the pair correlation function were determined. Finally, the atomic arrangement of the implantation-induced amorphous layers is discussed and structure produced by ion irradiation is compared with amorphous structures formed with other techniques. (author)

  16. Martensitic transformations in 304 stainless steel after implantation with helium, hydrogen and deuterium

    International Nuclear Information System (INIS)

    Johnson, E.; Grabaek, L.; Johansen, A.; Sarholt-Kristensen, L.; Hayashi, N.; Sakamoto, I.

    1988-01-01

    Using conversion electron Moessbauer spectroscopy (CEMS) and glancing angle X-ray diffraction, martensitic transformations have been studied in type 304 austenitic stainless steels implanted with 8 keV helium, hydrogen and deuterium. Furthermore, using CEMS in the energy selective mode (DCEMS), the distribution of martensite in the implantation zone has been analysed as a function of depth. Transformation of the implanted layer occurs after implantation with 10 21 m -2 He + ions while 100 times higher fluence is required for the implanted layer to transform after hydrogen or deuterium implantations. This difference is due to the ability of helium to form high pressure gas bubbles, while implanted hydrogen is continuously lost by back diffusion to the surface. The helium bubbles, which are confined under pressures as high as 60 GPa, will induce extremely high stress levels in the implanted layer, by which the martensitic transformation is directly induced. The fact that a much higher fluence of hydrogen or deuterium is required to induce the transformation, shows that radiation damage plays only a minor role. In this case, the martensitic transformation first occurs when the implanted layer resembles the state of a cathodically charged surface. (orig.)

  17. Synthesis of sponge-like hydrophobic NiBi{sub 3} surface by 200 keV Ar ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Siva, Vantari; Datta, D.P. [School of Physical Sciences, National Institute of Science Education and Research, HBNI, Jatni 752050 (India); Chatterjee, S. [Colloids and Materials Chemistry Department, CSIR-Institute of Minerals and Materials Technology, Acharya Vihar, Bhubaneswar 751 013 (India); Varma, S. [Institute of Physics, Sachivalaya Marg, Bhubaneswar 751005 (India); Kanjilal, D. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110067 (India); Sahoo, Pratap K., E-mail: pratap.sahoo@niser.ac.in [School of Physical Sciences, National Institute of Science Education and Research, HBNI, Jatni 752050 (India)

    2017-07-15

    Highlights: • A sponge-like hydrophobic NiBi{sub 3} surface has been synthesized using 200 keV Ar ion implantation. • A competition between amorphization and re-crystallization was observed in the existing phases owing to comparable magnitudes of nuclear and electronic energy depositions. • The relation between hydrophobic nature and sponge-like NiBi{sub 3} phase seems interesting, which is attributed to ion beam induced sputtering and mixing of the layers. - Abstract: Sponge-like nanostructures develop under Ar-ion implantation of a Ni–Bi bilayer with increasing ion fluence at room temperature. The surface morphology features different stages of evolution as a function of ion fluence, finally resulting in a planar surface at the highest fluence. Our investigations on the chemical composition reveal a spontaneous formation of NiBi{sub 3} phase on the surface of the as deposited bilayer film. Interestingly, we observe a competition between crystallization and amorphization of the existing poly-crystalline phases as a function of the implanted fluence. Measurements of contact angle by sessile drop method clearly show the ion-fluence dependent hydrophobic nature of the nano-structured surfaces. The wettability has been correlated with the variation in roughness and composition of the implanted surface. In fact, our experimental results confirm dominant effect of ion-sputtering as well as ion-induced mixing at the bilayer interface in the evolution of the sponge-like surface.

  18. Damage annealing in low temperature Fe/Mn implanted ZnO

    International Nuclear Information System (INIS)

    Gunnlaugsson, H. P.; Bharuth-Ram, K.; Johnston, K.; Langouche, G.; Mantovan, R.; Mølholt, T. E.; Naidoo, D.; Ólafsson, O.; Weyer, G.

    2015-01-01

    57 Fe Emission Mössbauer spectra obtained after low fluence (<10 12 cm −2 ) implantation of 57 Mn (T 1/2 = 1.5 min.) into ZnO single crystal held at temperatures below room temperature (RT) are presented. The spectra can be analysed in terms of four components due to Fe 2+ and Fe 3+ on Zn sites, interstitial Fe and Fe in damage regions (Fe D ). The Fe D component is found to be indistinguishable from similar component observed in emission Mössbauer spectra of higher fluence (∼10 15 cm −2 ) 57 Fe/ 57 Co implanted ZnO and 57 Fe implanted ZnO, demonstrating that the nature of the damage regions in the two types of experiments is similar. The defect component observed in the low temperature regime was found to anneal below RT

  19. Ion Implantation of Polymers

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2012-01-01

    The current paper presents a state-of-the-art review in the field of ion implantation of polymers. Numerous published studies of polymers modified by ion beams are analysed. General aspects of ion stopping, latent track formation and changes of structure and composition of organic materials...... are discussed. Related to that, the effects of radiothermolysis, degassing and carbonisation are considered. Specificity of depth distributions of implanted into polymers impurities is analysed and the case of high-fluence implantation is emphasised. Within rather broad topic of ion bombardment, the focus...... is put on the low-energy implantation of metal ions causing the nucleation and growth of nanoparticles in the shallow polymer layers. Electrical, optical and magnetic properties of metal/polymer composites are under the discussion and the approaches towards practical applications are overviewed....

  20. Residual stress in deuterium implanted nominal copper coatings

    International Nuclear Information System (INIS)

    Inal, M. Y.; Alam, M.; Peascoe, R. A.; Watkins, T. R.

    2000-01-01

    The effects of deuterium (D) implantation on the residual stresses in Cu and CuAl 2 phases present in nominal Cu coatings (containing Al) deposited on Al-alloy (Al-6061) substrates were measured using an x-ray diffraction technique. The coatings were deposited by radio frequency magnetron sputtering of a pure Cu target under identical conditions and Al was incorporated in the coatings during growth by diffusion from the substrate. Deuterium was implanted in the coatings at energies of 40 or 40+120 keV with fluences of 1x10 21 , 2x10 21 , or 3x10 21 D + /m 2 . Pole figures of the Cu phase in the coatings prior to and after implantation indicated no effect of implantation on the fibrous texture. Triaxial stress analysis indicated the surface normal stress component to be negligible in Cu and slightly tensile in CuAl 2 under all conditions. Furthermore, under all conditions, the in-plane residual stresses in both phases were found to be compressive and nearly isotropic. The magnitude of the isotropic compressive stress was always higher in CuAl 2 as compared to Cu. The compressive residual stresses in the Cu phase changed only mildly with increasing coating weight, ion energy, and fluence. However, in the CuAl 2 phase the compressive residual stresses changed markedly with increasing ion energy (initial decrease followed by leveling off) and increasing ion fluence (initial decrease followed by an increase), but remained unaffected by increasing coating weight. (c) 2000 American Institute of Physics

  1. Copper ion implantation of polycarbonate matrices: Morphological and structural properties

    Energy Technology Data Exchange (ETDEWEB)

    Resta, V., E-mail: vincenzo.resta@le.infn.it; Quarta, G.; Maruccio, L.; Calcagnile, L.

    2014-07-15

    The implantation of 1 MeV {sup 63}Cu{sup +} ions in polycarbonate (PC) matrices has been carried out in order to evaluate the morphological and structural modifications induced in the polymer as a function of the ion fluence in the range 5 × 10{sup 13} ions cm{sup −2} to 1 × 10{sup 17} ions cm{sup −2}. Atomic Force Microscopy analysis reveals a significant roughness increase of the polymer surface only for fluences higher than 5 × 10{sup 16} ions cm{sup −2} with the presence of hillock structures which surface density increases with increasing the ion fluence. X-ray Diffraction measurements of PC implanted with fluences in the range between 5 × 10{sup 15} at cm{sup −2} and 5 × 10{sup 16} at cm{sup −2} reveal an increase of the disorder inside the PC matrix, as a consequence of the damaging process induced by the ion irradiation. Evidences about the presence of exotic phase structures ascribed to both cubic Cu{sub 2}O and cubic Cu have been found.

  2. Preparation of 1-pyrenebutyric acid and pyrene submicron dots by laser-induced molecular micro-jet implantation

    International Nuclear Information System (INIS)

    Pihosh, Y.; Goto, M.; Kasahara, A.; Tosa, M.

    2009-01-01

    Pyrene and 1-pyrenebuturic acid molecules were deposited on glass and copper substrates with the formation of submicron dots by laser-induced molecular micro-jet implantation through polar and non-polar liquid layers. The size of the smallest 1-pyrenebuturic acid molecules dots prepared on a glass substrate by implantation through water and diiodomethane was estimated to be about 400 nm and 300 nm at laser fluences of 235 J/cm 2 and 326 J/cm 2 , respectively. The fluorescence and the Raman spectra showed that the implanted 1-pyrenebutyric acid molecules did not decompose during the implantation process. The smallest size of a pyrene dot was 700 nm at the laser fluence of 378 J/cm 2 . However, the pyrene dots could be formed only by implantation through a water layer.

  3. Elaboration by ion implantation of cobalt nano-particles in silica layers and modifications of their properties by electron and swift heavy ion irradiations

    International Nuclear Information System (INIS)

    D'Orleans, C.

    2003-07-01

    This work aims to investigate the capability of ion irradiations to elaborate magnetic nano-particles in silica layers, and to modify their properties. Co + ions have been implanted at 160 keV at fluences of 2.10 16 , 5.10 16 and 10 17 at/cm 2 , and at temperatures of 77, 295 and 873 K. The dependence of the particle size on the implantation fluence, and more significantly on the implantation temperature has been shown. TEM (transmission electronic microscopy) observations have shown a mean diameter varying from 1 nm for implantations at 2.10 16 Co + /cm 2 at 77 K, to 9.7 nm at 10 17 Co + /cm 2 at 873 K. For high temperature implantations, two regions of particles appear. Simulations based on a kinetic 3-dimensional lattice Monte Carlo method reproduce quantitatively the features observed for implantations. Thermal treatments induce the ripening of the particles. Electron irradiations at 873 K induce an important increase in mean particle sizes. Swift heavy ion irradiations also induce the ripening of the particles for low fluences, and an elongation of the particles in the incident beam direction for high fluences, resulting in a magnetic anisotropy. Mechanisms invoked in thermal spike model could also explain this anisotropic growth. (author)

  4. Diffusion and solubility of Au implanted into the AZ1350 photoresist

    International Nuclear Information System (INIS)

    Soares, M.R.F.; Kaschny, J.R.A.; Santos, J.H.R. dos; Amaral, L.; Behar, M.; Fink, D.

    2000-01-01

    In the present paper we report diffusion and solubility results for Au into the photoresist AZ1350. Au was implanted into AZ1350 films at very low energy (E=20 keV) and fluences (PHI=10 12 and 5x10 12 Au/cm 2 ). In this way the radiation damage introduced by the implantation process was minimized and cluster formation was avoided. Annealing was performed in the 150-300 deg. C temperature range and the as implanted and thermal treated samples were analyzed using the Rutherford backscattering (RBS) technique. For the lowest implantation fluence the results have shown a regular atomic diffusion process characterized by an activation energy of E a =640 meV. Instead, for PHI=5x10 12 Au/cm 2 the diffusional mechanism has revealed the effects of the radiation damage. In addition solubility measurements indicate that the solubility limit at 250 deg. C is of the order 0.3 at.%

  5. Depth distribution analysis of Martensitic transformations in Xe implanted austenitic stainless steel

    DEFF Research Database (Denmark)

    Johnson, E.; Gerritsen, E.; Chechenin, N.G.

    1989-01-01

    In recent years the implantation of noble gases in metals has been found to induce some exciting phenomena such as formation of inclusions containing solid gas at extremely high pressures. In stainless steels these inclusions are the origin of a stress-induced martensitic fcc → bcc phase...... transformation in the implanted layer. In this work we present results from a depth distribution analysis of the martensitic phase change occurring in Xe implanted single crystals of austenitic stainless steel. Analysis was done by in situ RBS/channeling analysis, X-ray diffraction and cross-section transmission...... electron microscopy (XTEM) of the implanted surface. It is found that the martensitic transformation of the surface layer occurs for fluences above 1 × 1020 m−2. The thickness of the transformed layer increases with fluence to ≈ 150 nm at 1 × 10 21 m−2, which far exceeds the range plus straggling...

  6. Gain and time resolution of 45 μm thin Low Gain Avalanche Detectors before and after irradiation up to a fluence of 1015 neq/cm2

    International Nuclear Information System (INIS)

    Lange, J.; Cavallaro, E.; Förster, F.; Grinstein, S.; Carulla, M.; Flores, D.; Hidalgo, S.; Merlos, A.; Pellegrini, G.; Quirion, D.; Chytka, L.; Komarek, T.; Nozka, L.; Davis, P.M.; Kramberger, G.; Mandić, I.; Sykora, T.

    2017-01-01

    Low Gain Avalanche Detectors (LGADs) are silicon sensors with a built-in charge multiplication layer providing a gain of typically 10 to 50. Due to the combination of high signal-to-noise ratio and short rise time, thin LGADs provide good time resolutions. LGADs with an active thickness of about 45 μm were produced at CNM Barcelona. Their gains and time resolutions were studied in beam tests for two different multiplication layer implantation doses, as well as before and after irradiation with neutrons up to 10 15 n eq /cm 2 . The gain showed the expected decrease at a fixed voltage for a lower initial implantation dose, as well as for a higher fluence due to effective acceptor removal in the multiplication layer. Time resolutions below 30 ps were obtained at the highest applied voltages for both implantation doses before irradiation. Also after an intermediate fluence of 3×10 14 n eq /cm 2 , similar values were measured since a higher applicable reverse bias voltage could recover most of the pre-irradiation gain. At 10 15 n eq /cm 2 , the time resolution at the maximum applicable voltage of 620 V during the beam test was measured to be 57 ps since the voltage stability was not good enough to compensate for the gain layer loss. The time resolutions were found to follow approximately a universal function of gain for all implantation doses and fluences.

  7. Temperature and fluence effects in lead implanted cobalt single crystals

    International Nuclear Information System (INIS)

    Johansen, A.; Sarholt-Kristensen, L.; Johnson, E.; Steenstrup, S.; Chernysh, V.S.

    1988-01-01

    The channeled sputtering yields of the hcp and fcc phases of cobalt depend on the crystal structure and the radiation induced damage. Earlier irradiations of cobalt with argon ions channeled in the hcp direction give sputtering yields higher than expected in the temperature range 100-350deg C. This effect was attributed to a combination of radiation induced damage and a possible implantation induced hcp --> fcc phase transition. Sputtering yields for cobalt single crystals irradiated with 150 keV Pb + ions along the direction of the hcp phase and the direction of the fcc phase have been measured using the weightloss method. The radiation damage and the amount of lead retained in the implanted surface has been investigated by 'in situ' RBS/channeling analysis. Measured partial sputtering yields of lead ≅ 1 atom/ion indicate preferential sputtering of lead atoms. (orig.)

  8. Elaboration by ion implantation of cobalt nano-particles in silica layers and modifications of their properties by electron and swift heavy ion irradiations; Elaboration par implantation ionique de nanoparticules de cobalt dans la silice et modifications de leurs proprietes sous irradiation d'electrons et d'ions de haute energie

    Energy Technology Data Exchange (ETDEWEB)

    D' Orleans, C

    2003-07-15

    This work aims to investigate the capability of ion irradiations to elaborate magnetic nano-particles in silica layers, and to modify their properties. Co{sup +} ions have been implanted at 160 keV at fluences of 2.10{sup 16}, 5.10{sup 16} and 10{sup 17} at/cm{sup 2}, and at temperatures of 77, 295 and 873 K. The dependence of the particle size on the implantation fluence, and more significantly on the implantation temperature has been shown. TEM (transmission electronic microscopy) observations have shown a mean diameter varying from 1 nm for implantations at 2.10{sup 16} Co{sup +}/cm{sup 2} at 77 K, to 9.7 nm at 10{sup 17} Co{sup +}/cm{sup 2} at 873 K. For high temperature implantations, two regions of particles appear. Simulations based on a kinetic 3-dimensional lattice Monte Carlo method reproduce quantitatively the features observed for implantations. Thermal treatments induce the ripening of the particles. Electron irradiations at 873 K induce an important increase in mean particle sizes. Swift heavy ion irradiations also induce the ripening of the particles for low fluences, and an elongation of the particles in the incident beam direction for high fluences, resulting in a magnetic anisotropy. Mechanisms invoked in thermal spike model could also explain this anisotropic growth. (author)

  9. Site location and optical properties of Eu implanted sapphire

    International Nuclear Information System (INIS)

    Marques, C.; Wemans, A.; Maneira, M.J.P.; Kozanecki, A.; Silva, R.C. da; Alves, E.

    2005-01-01

    Synthetic colourless transparent (0 0 0 1) sapphire crystals were implanted at room temperature with 100 keV europium ions to fluences up to 1 x 10 16 cm -2 . Surface damage is observed at low fluences, as seen by Rutherford backscattering spectrometry under channelling conditions. Optical absorption measurements revealed a variety of structures, most probably related to F-type defects characteristic of implantation damage. Thermal treatments in air or in vacuum up to 1000 deg. C do not produce noticeable changes both in the matrix or the europium profiles. However, the complete recovery of the implantation damage and some redistribution of the europium ions is achieved after annealing at 1300 deg. C in air. Detailed lattice site location studies performed for various axial directions allowed to assess the damage recovery and the incorporation of the Eu ions into well defined crystallographic sites, possibly in an oxide phase also inferred from optical absorption measurements

  10. Damage recovery in ZnO by post-implantation annealing

    International Nuclear Information System (INIS)

    Audren, A.; Hallen, A.; Linnarsson, M.K.; Possnert, G.

    2010-01-01

    ZnO bulk samples were implanted with 200 keV-Co ions at room temperature with two fluences, 1 x 10 16 and 8 x 10 16 cm -2 , and then annealed in air for 30 min at different temperatures up to 900 o C. After the implantation and each annealing step, the samples were analyzed by Rutherford backscattering spectrometry (RBS) in random and channeling directions to follow the evolution of the disorder profile. The RBS spectra reveal that disorder is created during implantation in proportion to the Co fluence. The thermal treatments induce a disorder recovery, which is however, not complete after annealing at 900 o C, where about 15% of the damage remains. To study the Co profile evolution during annealing, the samples were, in addition to RBS, characterized by secondary ion mass spectrometry (SIMS). The results show that Co diffusion starts at 800 o C, but also that a very different behavior is seen for Co concentrations below and above the solubility limit.

  11. Si exfoliation by MeV proton implantation

    International Nuclear Information System (INIS)

    Braley, Carole; Mazen, Frédéric; Tauzin, Aurélie; Rieutord, François; Deguet, Chrystel; Ntsoenzok, Esidor

    2012-01-01

    Proton implantation in silicon and subsequent annealing are widely used in the Smart Cut™ technology to transfer thin layers from a substrate to another. The low implantation energy range involved in this process is usually from a few ten to a few hundred of keV, which enables the separation of up to 2 μm thick layers. New applications in the fields of 3D integration and photovoltaic wafer manufacturing raise the demand for extending this technology to higher energy in order to separate thicker layer from a substrate. In this work, we propose to investigate the effect of proton implantation in single crystalline silicon in the 1–3 MeV range which corresponds to a 15–100 μm range for the hydrogen maximum concentration depth. We show that despites a considerably lower hydrogen concentration at R p , the layer separation is obtained with fluence close to the minimum fluence required for low energy implantation. It appears that the fracture propagation in Si and the resulting surface morphology is affected by the substrate orientation. Defects evolution is investigated with Fourier Transform Infrared Spectroscopy. The two orientations reveal similar type of defects but their evolution under annealing appears to be different.

  12. Implantation of P ions in SiO2 layers with embedded Si nanocrystals

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I.

    2004-01-01

    The effect of 10 13 -10 16 cm -2 P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO 2 layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10 14 cm -2 , thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs

  13. Electrical properties and dielectric spectroscopy of Ar{sup +} implanted polycarbonate

    Energy Technology Data Exchange (ETDEWEB)

    Chawla, Mahak, E-mail: mahak.chawla@gmail.com; Shekhawat, Nidhi; Aggarwal, Sanjeev; Sharma, Annu [Department of Physics, Kurukshetra University, Kurukshetra - 136119 (India); Nair, K. G. M. [Consultant, UGC-DAE Consortium for Scientific Research, Kalpakkam Node, Kokilamedu-603104, Tamilnadu (India)

    2015-05-15

    The aim of the present paper is to study the effect of argon ion implantation on electrical and dielectric properties of polycarbonate. Specimens were implanted with 130 keV Ar{sup +} ions in the fluence ranging from 1×10{sup 14} to 1×10{sup 16} ions cm{sup −2}. The beam current used was ∼0.40 µA cm{sup −2}. The electrical conduction behaviour of virgin and Ar{sup +} implanted polycarbonate specimens have been studied through current-voltage (I-V characteristic) measurements. It has been observed that after implantation conductivity increases with increasing ion fluence. The dielectric spectroscopy of these specimens has been done in the frequency range of 100 kHz-100 MHz. Relaxation processes were studied by Cole-Cole plot of complex permittivity (real part of complex permittivity, ε′ vs. imaginary part of complex permittivity, ε″). The Cole-Cole plots have also been used to determine static dielectric constant (ε{sub s}), optical dielectric constant (ε{sub ∞}), spreading factor (α), average relaxation time (τ{sub 0}) and molecular relaxation time (τ). The dielectric behaviour has been found to be significantly affected due to Ar{sup +} implantation. The possible correlation between this behaviour and the changes induced by the implantation has been discussed.

  14. Lithium compensation of GaAs

    International Nuclear Information System (INIS)

    Alexiev, D.; Tavendale, A.J.

    1988-08-01

    Defects generated following Li diffusion into GaAs were studied by optical deep level transient spectroscopy (ODLTS) and deep level transient spectroscopy (DLTS). In an exploratory series of experiments, the effect of Li diffusion on existing trap spectra, defect generation and as a means for the compensation of GaAs was studied. The variables included diffusion temperature, initial trap spectra of GaAs and annealing periods. Detailed measurements of trap energies were made

  15. Elaboration by ion implantation of cobalt nano-particles in silica layers and modifications of their properties by electron and swift heavy ion irradiations; Elaboration par implantation ionique de nanoparticules de cobalt dans la silice et modifications de leurs proprietes sous irradiation d'electrons et d'ions de haute energie

    Energy Technology Data Exchange (ETDEWEB)

    D' Orleans, C

    2003-07-15

    This work aims to investigate the capability of ion irradiations to elaborate magnetic nano-particles in silica layers, and to modify their properties. Co{sup +} ions have been implanted at 160 keV at fluences of 2.10{sup 16}, 5.10{sup 16} and 10{sup 17} at/cm{sup 2}, and at temperatures of 77, 295 and 873 K. The dependence of the particle size on the implantation fluence, and more significantly on the implantation temperature has been shown. TEM (transmission electronic microscopy) observations have shown a mean diameter varying from 1 nm for implantations at 2.10{sup 16} Co{sup +}/cm{sup 2} at 77 K, to 9.7 nm at 10{sup 17} Co{sup +}/cm{sup 2} at 873 K. For high temperature implantations, two regions of particles appear. Simulations based on a kinetic 3-dimensional lattice Monte Carlo method reproduce quantitatively the features observed for implantations. Thermal treatments induce the ripening of the particles. Electron irradiations at 873 K induce an important increase in mean particle sizes. Swift heavy ion irradiations also induce the ripening of the particles for low fluences, and an elongation of the particles in the incident beam direction for high fluences, resulting in a magnetic anisotropy. Mechanisms invoked in thermal spike model could also explain this anisotropic growth. (author)

  16. Electrical and optical properties of nitrile rubber modified by ion implantation

    Science.gov (United States)

    S, Najidha; Predeep, P.

    2014-10-01

    Implantation of N+ ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 1014 to 1016 ions/cm2. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease with increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.

  17. Implantation of P ions in SiO{sub 2} layers with embedded Si nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Kachurin, G.A. E-mail: kachurin@isp.nsc.ru; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I

    2004-08-01

    The effect of 10{sup 13}-10{sup 16} cm{sup -2} P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO{sub 2} layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10{sup 14} cm{sup -2}, thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs.

  18. N and Cr ion implantation of natural ruby surfaces and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Rao, K. Sudheendra; Sahoo, Rakesh K.; Dash, Tapan [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India); Magudapathy, P.; Panigrahi, B.K. [Materials Science Group, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Nayak, B.B.; Mishra, B.K. [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India)

    2016-04-15

    Highlights: • Cr and N ion implantation on natural rubies of low aesthetic quality. • Cr-ion implantation improves colour tone from red to deep red (pigeon eye red). • N-ion implantation at fluence of 3 × 10{sup 17} causes blue coloration on surface. • Certain extent of amorphization is observed in the case of N-ion implantation. - Abstract: Energetic ions of N and Cr were used to implant the surfaces of natural rubies (low aesthetic quality). Surface colours of the specimens were found to change after ion implantation. The samples without and with ion implantation were characterized by diffuse reflectance spectra in ultra violet and visible region (DRS-UV–Vis), field emission scanning electron microscopy (FESEM), selected area electron diffraction (SAED) and nano-indentation. While the Cr-ion implantation produced deep red surface colour (pigeon eye red) in polished raw sample (without heat treatment), the N-ion implantation produced a mixed tone of dark blue, greenish blue and violet surface colour in the heat treated sample. In the case of heat treated sample at 3 × 10{sup 17} N-ions/cm{sup 2} fluence, formation of colour centres (F{sup +}, F{sub 2}, F{sub 2}{sup +} and F{sub 2}{sup 2+}) by ion implantation process is attributed to explain the development of the modified surface colours. Certain degree of surface amorphization was observed to be associated with the above N-ion implantation.

  19. Determination of phosphorus contamination during antimony implantation by measurement and simulation

    International Nuclear Information System (INIS)

    Kuruc, M.; Hulenyi, L.; Kinder, R.

    2006-01-01

    Experimental determination of phosphorus cross-contamination during antimony implantation is presented. As a suitable structure for this experiment, a buried layer was employed which is created by implanting antimony followed by a long diffusion process. The samples implanted in different implanters were analysed by secondary ion mass spectrometry (SIMS), four-point probe and spreading resistance methods. The obtained results were compared with those calculated by program SUPREM-IV. Methods that can and cannot be used to determine phosphorus contamination during antimony implantation and to estimate the fluence of phosphorus being co-implanted with antimony are described in detail

  20. Cathodoluminescence studies of anomalous ion implantation defect introduction in lightly and heavily doped liquid phase epitaxial GaAs:Sn

    International Nuclear Information System (INIS)

    Norris, C.B.; Barnes, C.E.

    1980-01-01

    The anomalous postrange defect introduction produced by shallow ion implantation in GaAs has been investigated in Sn-doped liquid phase epitaxial (LPE) material using depth-resolved cathodoluminescence in conjunction with layer removal by chemical etching. 100-keV Ne + or 200-keV Zn + ions were implanted into lightly or heavily Sn-doped LPE layers at temperatures between 80 and 300 K. All implantations were subsequently annealed at 300 K. Although the projected ion ranges for the implants were on the order of 1000 A, significant postrange damage was observed at far greater depths. At depths up to several microns, the damage introduction produced severe nonradiative recombination but simultaneously caused an apparent increase in the concentration of incumbent luminescence centers responsible for an extrinsic band near 1.39 eV. A weak damage-related band near 1.2 eV could also be seen in one instance. At depths of 5--30 μm, the postrange damage had the opposite effect of annihilating incumbent 1.39-eV luminescence centers. The efficiency of the damage introduction has a complicated temperature dependence which is significantly different for the ion/substrate combinations investigated. However, no conditions were found for which the damage introduction could be inhibited. While our measurements are the most extensive to date concerning the anomalous ion implant damage introduction in GaAs, the detailed mechanisms responsible for this effect still remain obscure owing in part to the limited understanding of defects in GaAs

  1. Atomic scale characterization of ion-induced amorphization of GaAs and InAs using PAC spectroscopy

    International Nuclear Information System (INIS)

    Dogra, R.; Byrne, A.P.; Ridgway, M.C.

    2005-01-01

    Single crystals of GaAs (100) and InAs (100) were implanted with 1-7 MeV 74 Ge ions over a wide dose range at liquid nitrogen temperature. The implanted substrates were investigated with respect to the damage production by means of perturbed angular correlation spectroscopy based upon hyperfine interactions of nuclear electromagnetic moments of probe nuclei with extra-nuclear fields. The perturbed angular correlation measurements were performed at room temperature utilizing the 111 In/Cd radioisotope probe nuclei. The crystalline, disordered and amorphous probe environments were identified from the measurements. The defect production is described within the framework of different amorphization models. (author). 6 refs., 2 figs

  2. Preferential adsorption of gallium on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires

    International Nuclear Information System (INIS)

    Shu Haibo; Chen Xiaoshuang; Ding Zongling; Dong Ruibin; Lu Wei

    2010-01-01

    The mechanism of the preferential adsorption of Ga on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires is studied by using first-principles calculations within density functional theory. The calculated results show that Au preadsorption on GaAs(111)B surface significantly enhances the stability of the Ga adatom in comparison with the adsorption of Ga on clean GaAs(111)B surface. The stabilization of the Ga adatom is due to charge transfers from the Ga 4p and 4s states to the Au 6s and As 4p states. The number of Ga adatoms stabilized on GaAs(111)B surfaces depends on the size of surface Au cluster. The reason is that Au acted as an electron acceptor on GaAs(111)B surface assists the charge transfer of Ga adatoms for filling the partial unoccupied bands of GaAs(111)B surface. Our results are helpful to understand the growth of Au-assisted GaAs nanowires.

  3. Damage annealing in low temperature Fe/Mn implanted ZnO

    Energy Technology Data Exchange (ETDEWEB)

    Gunnlaugsson, H. P. [University of Aarhus, Department of Physics and Astronomy (Denmark); Bharuth-Ram, K., E-mail: kbr@tlabs.ac.za [Durban University of Technology, Physics Department (South Africa); Johnston, K. [PH Department, ISOLDE/CERN (Switzerland); Langouche, G. [University of Leuven, Instituut voor Kern-en Stralings fysika (Belgium); Mantovan, R. [Laboratorio MDM, IMM-CNR (Italy); Mølholt, T. E. [University of Iceland, Science Institute (Iceland); Naidoo, D. [University of the Witwatersrand, School of Physics (South Africa); Ólafsson, O. [University of Iceland, Science Institute (Iceland); Weyer, G. [University of Aarhus, Department of Physics and Astronomy (Denmark)

    2015-04-15

    {sup 57}Fe Emission Mössbauer spectra obtained after low fluence (<10{sup 12} cm {sup −2}) implantation of {sup 57}Mn (T{sub 1/2}= 1.5 min.) into ZnO single crystal held at temperatures below room temperature (RT) are presented. The spectra can be analysed in terms of four components due to Fe {sup 2+} and Fe {sup 3+} on Zn sites, interstitial Fe and Fe in damage regions (Fe {sub D}). The Fe {sub D} component is found to be indistinguishable from similar component observed in emission Mössbauer spectra of higher fluence (∼10{sup 15} cm {sup −2}){sup 57}Fe/ {sup 57}Co implanted ZnO and {sup 57}Fe implanted ZnO, demonstrating that the nature of the damage regions in the two types of experiments is similar. The defect component observed in the low temperature regime was found to anneal below RT.

  4. The microstructure and surface hardness of Ti6Al4V alloy implanted with nitrogen ions at an elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Vlcak, Petr, E-mail: petr.vlcak@fs.cvut.cz [Department of Physics, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic); Cerny, Frantisek [Department of Physics, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic); Drahokoupil, Jan [Department of Metals, Institute of Physics, AS CR, v.v.i., Na Slovance 2, 182 21 Prague (Czech Republic); Sepitka, Josef [Department of Mechanics, Biomechanics and Mechatronics, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic); Tolde, Zdenek [Department of Materials Engineering, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic)

    2015-01-25

    Highlights: • The Ti6Al4V samples were implanted with 90 keV nitrogen ions. • The samples were annealed at 500 °C during the ion implantation process. • An elevated temperature increases the mobility of the atoms and the quantity of TiN. • The hardness showed a significant increase compared to room temperature implantation. - Abstract: The effect of an elevated temperature during nitrogen ion implantation on the microstructure and on the surface hardness of Ti6Al4V titanium alloy was examined. The implantation process was carried out at fluences of 1 ⋅ 10{sup 17}, 2.7 ⋅ 10{sup 17} and 6 ⋅ 10{sup 17} cm{sup −2} and at ion energy 90 keV. The implanted samples were annealed at 500 °C during the implantation process. X-ray diffraction analysis was performed to obtain a phase characterization and a phase quantification in the implanted sample surface. The surface hardness was investigated by nanoindentation testing, and the nitrogen depth distribution was measured by Rutherford Backscattering Spectroscopy. Elevated temperature led to increased formation of a TiN compound. It was found that a mixture of TiN and an α-Ti(+N) solid solution had a predominant amount of TiN for samples with fluence of 2.7 ⋅ 10{sup 17} cm{sup −2} or higher. Elevated temperature during ion implantation caused an increase in surface hardening more towards the depth of the substrate in comparison with room temperature implantation. The hardness showed a remarkably significant increase at a fluence of 1 ⋅ 10{sup 17} and 2.7 ⋅ 10{sup 17} cm{sup −2} compared to samples implanted at the same fluences and at room temperature. There is a discussion of such mechanisms that explain the observed hardening more towards the depth of the substrate, and the increase in hardness.

  5. Ion Back-Bombardment of GaAs Photocathodes Inside DC High Voltage Electron Guns

    CERN Document Server

    Grames, Joseph M; Brittian, Joshua; Charles, Daniel; Clark, Jim; Hansknecht, John; Lynn Stutzman, Marcy; Poelker, Matthew; Surles-Law, Kenneth E

    2005-01-01

    The primary limitation for sustained high quantum efficiency operation of GaAs photocathodes inside DC high voltage electron guns is ion back-bombardment of the photocathode. This process results from ionization of residual gas within the cathode/anode gap by the extracted electron beam, which is subsequently accelerated backwards to the photocathode. The damage mechanism is believed to be either destruction of the negative electron affinity condition at the surface of the photocathode or damage to the crystal structure by implantation of the bombarding ions. This work characterizes ion formation within the anode/cathode gap for gas species typical of UHV vacuum chambers (i.e., hydrogen, carbon monoxide and methane). Calculations and simulations are performed to determine the ion trajectories and stopping distance within the photocathode material. The results of the simulations are compared with test results obtained using a 100 keV DC high voltage GaAs photoemission gun and beamline at currents up to 10 mA D...

  6. Oxygen depth profiling in Kr+-implanted polycrystalline alpha titanium by means of 16O(α,α)16O resonance scattering

    International Nuclear Information System (INIS)

    Nsengiyumva, S.; Riviere, J.P.; Raji, A.T.; Comrie, C.M.; Britton, D.T.; Haerting, M.

    2011-01-01

    The 16 O(α,α) 16 O resonance scattering was applied to study the effects of ion implantation on the oxygen distribution in the near surface region of polycrystalline titanium implanted with 180 keV krypton ions at fluences, ranging between 1 x 10 14 and 5 x 10 15 Kr + /cm 2 . Two sample sets were chosen: as-received polycrystalline titanium discs rolled and annealed in half-hard condition which had a thick oxygen layer and similar samples in which this surface layer was removed by polishing. An increase of the mean oxygen concentration observed in both unpolished and polished samples at low fluence suggests a knock-on implantation of surface oxygen atoms. At high fluence, an overall decrease in the mean oxygen concentration and mean oxygen depth suggests an out-diffusion of near-surface oxygen atoms.

  7. Characterisation of Cs ion implanted GaN by DLTS

    Science.gov (United States)

    Ngoepe, P. N. M.; Meyer, W. E.; Auret, F. D.; Omotoso, E.; Hlatshwayo, T. T.; Diale, M.

    2018-04-01

    Deep level transient spectroscopy (DLTS) was used to characterise Cs implanted GaN grown by hydride vapour phase epitaxy (HVPE). This implantation was done at room temperature using energy of 360 keV to a fluence of 10-11 cm-2. A defect with activation energy of 0.19 eV below the conduction band and an apparent capture cross section of 1.1 × 10-15 cm2 was induced. This defect has previously been observed after rare earth element (Eu, Er and Pr) implantation. It has also been reported after electron, proton and He ion implantation.

  8. Damage recovery and optical activity in europium implanted wide gap oxides

    International Nuclear Information System (INIS)

    Alves, E.; Marques, C.; Franco, N.; Alves, L.C.; Peres, M.; Soares, M.J.; Monteiro, T.

    2010-01-01

    In this study we compare and discuss the defects and optical behaviour of sapphire and magnesium oxide single crystals implanted at room temperature with different fluences (1 x 10 15 -1 x 10 16 cm -2 ) of europium ions. Rutherford backscattering channelling shows that for fluences above 5 x 10 15 cm -2 the surface disorder level in the Al-sublattice reaches the random level. Implantation damage recovers fast for annealing in oxidizing atmosphere but even for the highest fluence we recover almost completely all the damage after annealing at 1300 o C, independently of the annealing environment (reducing or oxidizing). Annealing above 1000 o C promotes the formation of Eu 2 O 3 in the samples with higher concentration of Eu. The optical activation of the rare earth ions at room temperature was observed after annealing at 800 o C by photoluminescence and ionoluminescence. In Al 2 O 3 lattice the highest intensity line of the Eu 3+ ions corresponds to the forced electric dipole 5 D 0 → 7 F 2 transition that occurs ∼616 nm. For the MgO samples the Eu 3+ optical activation was also achieved after implantation with different fluences. Here, the lanthanide recombination is dominated by the magnetic dipole 5 D 0 → 7 F 1 transition near by 590 nm commonly observed for samples were Eu 3+ is placed in a high symmetry local site. The results clearly demonstrate the possibility to get Eu incorporated in optical active regular lattice sites in wide gap oxides.

  9. Structural and magnetic properties of Mn-implanted Si

    International Nuclear Information System (INIS)

    Zhou Shengqiang; Potzger, K.; Zhang Gufei; Muecklich, A.; Eichhorn, F.; Schell, N.; Groetzschel, R.; Schmidt, B.; Skorupa, W.; Helm, M.; Fassbender, J.; Geiger, D.

    2007-01-01

    Structural and magnetic properties in Mn-implanted, p-type Si were investigated. High resolution structural analysis techniques such as synchrotron x-ray diffraction revealed the formation of MnSi 1.7 nanoparticles already in the as-implanted samples. Depending on the Mn fluence, the size increases from 5 nm to 20 nm upon rapid thermal annealing. No significant evidence is found for Mn substituting Si sites either in the as-implanted or annealed samples. The observed ferromagnetism yields a saturation moment of 0.21μ B per implanted Mn at 10 K, which could be assigned to MnSi 1.7 nanoparticles as revealed by a temperature-dependent magnetization measurement

  10. The investigation of composite films containing GaAs and GaAs-Te- by roentgenodiffractometric method

    International Nuclear Information System (INIS)

    Aliyev, M.I.; Akhmedova, G.B.; Aliyeva, A.M.; Gadjiyeva, N.N.

    2015-01-01

    The initial films HDPE and composite films on the base of high-density polyethylene and semiconductor filters HDPE+GaAs and HDPE+GaAs-Te- at room temperature are investigated by the method of roentgenodiffractometric analysis. The crystallinity degree values of these samples are calculated. It is revealed that crystallinity degree value of composite films increases in 1.3 and 1.4 times correspondingly in the result of implantation of GaAs and GaAs-Te- micro-particles in polymer matrix. The obtained results are explained within framework of three-phase models and change of polymer permolecular structure at implantation of filler micro-particles playing the role of additional centers of nucleus of crystallization

  11. Mechanical and Structural Properties of Fluorine-Ion-Implanted Boron Suboxide

    Directory of Open Access Journals (Sweden)

    Ronald Machaka

    2012-01-01

    degradation of near-surface mechanical properties with increasing fluorine fluence. Implications of these observations in the creation of amorphous near-surface layers by high-dose ion implantation are discussed in this paper.

  12. Optical transmission of silica glass during swift-heavy-ion implantation

    International Nuclear Information System (INIS)

    Plaksin, Oleg; Okubo, Nariaki; Takeda, Yoshihiko; Amekura, Hiroshi; Kono, Kenichiro; Kishimoto, Naoki

    2004-01-01

    Metal nanoparticles fabricated by heavy-ion implantation of insulators are promising for non-linear optical applications. Spectra of optical transmission of silica glass in the visible region were measured during and after implantation of 3 MeV Cu 2+ ions. Three absorption bands contribute to the spectra: transient absorption (TA) at 2.34 eV, a surface plasmon resonance (SPR) peak at 2.21 eV and a tail of residual absorption (RA), which increases when the photon energy is increased from 2.2 to 2.6 eV. The TA and a change of the SPR peak strongly contribute to the total transient absorption obtained as the difference in absorption during and after irradiation. The effect of RA shows up as a decrease of absorption after switching on the ion beam. The TA provides a means for selective electronic excitation by a laser during implantation of silica glass. The precipitation of Cu atoms and the growth of Cu nanoparticles are well distinguishable stages of nanoparticle formation. The SPR peak appears at a fluence of 3.3 x 10 16 ions/cm 2 , corresponding to the onset of precipitation. At fluences higher than 3.4 x 10 16 ions/cm 2 , when the growth of nanoparticles predominates, the fluence dependence of the SPR peak is linear

  13. Design optimization of GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyanag; Jiang Lan; Chen Xuyuan

    2011-01-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm -2 63 Ni, the open circuit voltage of the optimized batteries is about ∼0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P + PN + junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm -2 , which indicates a carrier diffusion length of less than 1 μm. The overall results show that multi-layer P + PN + junctions are the preferred structures for GaAs betavoltaic battery design.

  14. Amorphization of metals by ion implantation and ion beam mixing

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Heera, V.

    1988-01-01

    Amorphous metallic systems can be formed either by high-fluence ion implantation of glassforming species or by irradiation of layered metal systems with inert gas ions. Both techniques and experimental examples are presented. Empirical rules are discussed which predict whether a given system can be transformed into an amorphous phase. Influence of temperature, implantation dose and pre-existing crystalline metal composition on amorphization is considered. Examples are given of the implantation induced amorphous structure, recrystallization and formation of quasicrystalline structures. (author)

  15. Electrical conduction in 100 keV Kr+ ion implanted poly (ethylene terephthalate)

    Science.gov (United States)

    Goyal, P. K.; Kumar, V.; Gupta, Renu; Mahendia, S.; Anita, Kumar, S.

    2012-06-01

    Polyethylene terephthalate (PET) samples have been implanted to 100 keV Kr+ ions at the fluences 1×1015-- 1×1016 cm-2. From I-V characteristics, the conduction mechanism was found to be shifted from ohmic to space charge limited conduction (SCLC) after implantation. The surface conductivity of these implanted samples was found to increase with increasing implantation dose. The structural alterations in the Raman spectra of implanted PET samples indicate that such an increase in the conductivity may be attributed to the formation of conjugated double bonded carbonaceous structure in the implanted layer of PET.

  16. Dislocation loops in spinel crystals irradiated successively with deep and shallow ion implants

    International Nuclear Information System (INIS)

    Ai, R.X.; Cooper, E.A.; Sickafus, K.E.; Nastasi, M.; Bordes, N.; Ewing, R.C.

    1993-01-01

    This study examines the influence of microstructural defects on irradiation damage accumulation in the oxide spinel. Single crystals of the compound MgAl 2 O 4 with surface normal [111] were irradiated under cryogenic temperature (100K) either with 50 keV Ne ions (fluence 5.0 x 10 12 /cm 2 ), 400 keV Ne ions (fluence 6.7 x 10 13 /cm 2 ) or successively with 400 keV Ne ions followed by 50 keV Ne ions. The projected range of 50 keV Ne ions in spinel is ∼50 mn (''shallow'') while the projected range of 400 keV Ne ions is ∼500 mn (''deep''). Transmission electron microscopy (TEM) was used to examine dislocation loops/defect clusters formed by the implantation process. Measurements of the dislocation loop size were made using weak-beam imaging technique on cross-sectional TEM ion-implanted specimens. Defect clusters were observed in both deep and shallow implanted specimens, while dislocation loops were observed in the shallow implanted sample that was previously irradiated by 400 keV Ne ions. Cluster size was seen to increase for shallow implants in crystals irradiated with a deep implant (size ∼8.5 nm) as compared to crystals treated only to a shallow implant (size ∼3.1 nm)

  17. Fluence determination by scattering measurements

    CERN Document Server

    Albergo, S; Potenza, R; Tricomi, A; Pillon, M; Angarano, M M; Creanza, D; De Palma, M

    2000-01-01

    An alternative method to determine particle fluence is proposed, which is particularly suitable for irradiations with low-energy charged-particle beams. The fluence is obtained by measuring the elastic scattering produced by a composite thin target placed upstream of the sample. The absolute calibration is performed by comparison with the measured radioactivation of vanadium and copper samples. The composite thin target, made of aluminium, carbon and gold, allows not only the fluence to be measured, but also a continuous monitoring of the beam space distribution. Experimental results with a 27 MeV proton beam are reported and compared with Monte Carlo simulations. (7 refs).

  18. Electrical and optical properties of nitrile rubber modified by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    S, Najidha [Department of Physics, B.J.M Govt: college, Chavara, Kollam, Kerala (India); Predeep, P. [Laboratory for molecular Photonics and Electronics, Department of Physics, National Institute of Technology, Calicut (India)

    2014-10-15

    Implantation of N{sup +} ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 10{sup 14} to 10{sup 16} ions/cm{sup 2}. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease with increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.

  19. Depth distribution analysis of martensitic transformations in Xe implanted austenitic stainless steel

    International Nuclear Information System (INIS)

    Johnson, E.; Johansen, A.; Sarholt-Kristensen, L.; Chechenin, N.G.; Grabaek, L.; Bohr, J.

    1988-01-01

    In this work we present results from a depth distribution analysis of the martensitic phase change occurring in Xe implanted single crystals of austenitic stainless steel. Analysis was done by 'in situ' RBS/channeling analysis, X-ray diffraction and cross-section transmission electron microscopy (XTEM) of the implanted surface. It is found that the martensitic transformation of the surface layer occurs for fluences above 1x10 20 m -2 . The thickness of the transformed layer increases with fluence to ≅ 150 nm at 1x10 21 m -2 , which far exceeds the range plus straggling of the implanted Xe as calculated by the TRIM computer simulation code. Simulations using the MARLOWE code indicate that the thickness of the transformed layer coincides with the range of the small fraction of ions channeled under random implantation conditions. Using cross sectional TEM on the Xe implanted crystals, the depth distribution of gas inclusions and defects can be directly observed. Using X-ray diffraction on implanted single crystals, the solid epitaxial nature of the Xe inclusions, induced prior to the martensitic transformation, was established. The lattice constant obtained from the broad diffraction peak indicates that the pressure in the inclusions is ≅ 5 GPa. (orig./BHO)

  20. Fluorescence lifetime studies of MeV erbium implanted silica glass

    International Nuclear Information System (INIS)

    Lidgard, A.; Polman, A.; Jacobsen, D.C.; Blonder, G.E.; Kistler, R.; Poate, J.M.; Becker, P.C.

    1991-01-01

    MeV erbium ion implantation into various SiO 2 glasses has been studied with the aim of incorporating the rare-earth dopant as an optically active ion in the silica network. The lifetime of the excited state ranges from 1.6 to 12.8 ms, depending on base material and implantation fluence. These results have positive implications for silica-based integrated optical technology. (Author)

  1. Fluorescence lifetime studies of MeV erbium implanted silica glass

    Energy Technology Data Exchange (ETDEWEB)

    Lidgard, A.; Polman, A.; Jacobsen, D.C.; Blonder, G.E.; Kistler, R.; Poate, J.M.; Becker, P.C. (AT and T Bell Labs., Murray Hill, NJ (USA))

    1991-05-23

    MeV erbium ion implantation into various SiO{sub 2} glasses has been studied with the aim of incorporating the rare-earth dopant as an optically active ion in the silica network. The lifetime of the excited state ranges from 1.6 to 12.8 ms, depending on base material and implantation fluence. These results have positive implications for silica-based integrated optical technology. (Author).

  2. Three-dimensional RAMA fluence methodology benchmarking

    International Nuclear Information System (INIS)

    Baker, S. P.; Carter, R. G.; Watkins, K. E.; Jones, D. B.

    2004-01-01

    This paper describes the benchmarking of the RAMA Fluence Methodology software, that has been performed in accordance with U. S. Nuclear Regulatory Commission Regulatory Guide 1.190. The RAMA Fluence Methodology has been developed by TransWare Enterprises Inc. through funding provided by the Electric Power Research Inst., Inc. (EPRI) and the Boiling Water Reactor Vessel and Internals Project (BWRVIP). The purpose of the software is to provide an accurate method for calculating neutron fluence in BWR pressure vessels and internal components. The Methodology incorporates a three-dimensional deterministic transport solution with flexible arbitrary geometry representation of reactor system components, previously available only with Monte Carlo solution techniques. Benchmarking was performed on measurements obtained from three standard benchmark problems which include the Pool Criticality Assembly (PCA), VENUS-3, and H. B. Robinson Unit 2 benchmarks, and on flux wire measurements obtained from two BWR nuclear plants. The calculated to measured (C/M) ratios range from 0.93 to 1.04 demonstrating the accuracy of the RAMA Fluence Methodology in predicting neutron flux, fluence, and dosimetry activation. (authors)

  3. Radiation damage in urania crystals implanted with low-energy ions

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Tien Hien, E-mail: tien-hien.nguyen@u-psud.fr [Centre de Sciences Nucléaires et de Sciences de la Matière (CSNSM – UMR 8609), CNRS-IN2P3-Université Paris-Sud, Bâtiments 104-108, 91405 Orsay Campus (France); Garrido, Frédérico; Debelle, Aurélien; Mylonas, Stamatis [Centre de Sciences Nucléaires et de Sciences de la Matière (CSNSM – UMR 8609), CNRS-IN2P3-Université Paris-Sud, Bâtiments 104-108, 91405 Orsay Campus (France); Nowicki, Lech [The Andrzej Soltan Institute for Nuclear Studies, Hoza 69, 00-681 Warsaw (Poland); Thomé, Lionel; Bourçois, Jérôme; Moeyaert, Jérémy [Centre de Sciences Nucléaires et de Sciences de la Matière (CSNSM – UMR 8609), CNRS-IN2P3-Université Paris-Sud, Bâtiments 104-108, 91405 Orsay Campus (France)

    2014-05-01

    Implantations with low-energy ions (470-keV Xe and 500-keV La with corresponding ion range Rp ∼ 85 nm and range straggling ΔRp ∼ 40 nm) have been performed to investigate both radiation and chemical effects due to the incorporation of different species in UO{sub 2} (urania) crystals. The presence of defects was monitored in situ after each implantation fluence step by the RBS/C technique. Channelling data were analysed afterwards by Monte-Carlo simulations with a model of defects involving (i) randomly displaced atoms (RDA) and (ii) distorted rows, i.e. bent channels (BC). While increasing the ion fluence, the accumulation of RDA leads to a steep increase of the defect fraction in the range from 4 to 7 dpa regardless of the nature of bombarding ions followed by a saturation plateau over a large dpa range. A clear difference of 6% in the yield of saturation plateaus between irradiation with Xe and La ions was observed. Conversely, the evolutions of the fraction of BC showed a similar regular increase with increasing ion fluence for both ions. Moreover, this increase is shifted to a larger fluence in comparison to the sharp increase step of RDA. This phenomenon indicates a continuous structural modification of UO{sub 2} crystals under irradiation unseen by the measurement of RDA.

  4. Neutron fluence measurement in nuclear facilities

    International Nuclear Information System (INIS)

    Camacho L, M.E.

    1997-01-01

    The objective of present work is to determine the fluence of neutrons in nuclear facilities using two neutron detectors designed and built at Instituto Nacional de Investigaciones Nucleares (ININ), Mexico. The two neutron detectors are of the passive type, based on solid state nuclear tracks detectors (SSNTD). One of the two neutron detectors was used to determine the fluence distribution of the ports at the nuclear research reactor TRIGA Mark III, which belongs to ININ. In these facilities is important to know the neutron fluence distribution characteristic to carried out diverse kind of research activities. The second neutron detector was employed in order to carry out environmental neutron surveillance. The detector has the property to separate the thermal, intermediate and fast components of the neutron fluence. This detector was used to measure the neutron fluence at hundred points around the primary container of the first Mexican Nuclear Power plant 'Laguna Verde'. This last detector was also used to determine the neutron fluence in some points of interest, around and inside a low scattering neutron room at the 'Centro de Metrologia de Radiaciones Ionizantes' of the ININ, to know the background neutron field produced by the neutron sources used there. The design of the two neutron detector and the results obtained for each of the surveying facilities, are described in this work. (Author)

  5. Implantation damage in heavy gas implanted 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, C. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Nicolaï, J., E-mail: julien.nicolai@univ-poitiers.fr [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Declémy, A. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Gilabert, E. [Centre d’Etude Nucléaire de Bordeaux-Gradignan, 33175 Gradignan Cedex (France); Beaufort, M.-F.; Barbot, J.-F. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France)

    2016-05-01

    Single crystals of SiC were implanted with heavy inert gases (Xe, Ar) at elevated temperatures (300–800 °C) and for a large range of fluence (1 × 10{sup 12}–1 × 10{sup 15} ions cm{sup −2}). Thermodesorption measurements suggest that gas is trapped by implantation-induced vacancy-type defects impeding any gas diffusion. The damage accumulation versus dose was studied through the tensile elastic strain determined by using X-ray diffraction. Results show that at low dose the strain is predictable via a thermally activated direct impact model. The low thermal activation energy at saturation suggests a dynamic recovery process dominated by the migration of interstitial-type defects as its relaxation during post thermal annealing. As compared with light-gas implantation the heavy-gas to defect ratio is low enhancing the formation of strongly perturbed zones rather than the formation of bubble precursors.

  6. Surface photovoltage spectroscopy of real n-type GaAs(110) surfaces

    International Nuclear Information System (INIS)

    El-Dessouki, M.S.; El-Guiziri, S.B.; Gobrial, F.Z.

    1989-10-01

    N-type GaAs single crystals cut parallel to the (110) plane and doped with phosphorus by ion beam implantation were used in the present study. Temperature dependence of the bulk electrical conductivity showed two distinct activated regions with activation energies Et 1 =0.75±0.04eV, and Et 2 =0.12±0.04eV. The first activation energy is probably that of deep phosphorous impurities, while the second was related to long range disorder in the sample near room temperature. Surface photovoltage studies at room temperature were carried out at atmospheric pressure and in vacuum for etched and unetched samples. For n-type GaAs etched surface, the experimentally observed surface states were not found to change their positions by changing the pressure. But in the case of etched samples the surface states showed some redistribution under vacuum. The time constants for the initial rise and fall of CPD by illumination and after switching it off, τ 1 and τ 2 , respectively, were found to depend on the illumination intensity and photon energy. Their values range between 4 and 15 s. (author). 31 refs, 6 figs, 1 tab

  7. Peeled film GaAs solar cell development

    International Nuclear Information System (INIS)

    Wilt, D.M.; Thomas, R.D.; Bailey, S.G.; Brinker, D.J.; DeAngelo, F.L.

    1990-01-01

    Thin film, single crystal gallium arsenide (GaAs) solar cells could exhibit a specific power approaching 700 W/Kg including coverglass. A simple process has been described whereby epitaxial GaAs layers are peeled from a reusable substrate. This process takes advantage of the extreme selectivity (>10 6 ) of the etching rate of aluminum arsenide (AlAs) over GaAs in dilute hydrofloric acid (HF). The intent of this work is to demonstrate the feasibility of using the peeled film technique to fabricate high efficiency, low mass GaAs solar cells. We have successfully produced a peeled film GaAs solar cell. The device, although fractured and missing the aluminum gallium arsenide (Al x Ga 1 - x As) window and antireflective (AR) coating, had a Voc of 874 mV and a fill factor of 68% under AMO illumination

  8. Study of the effects of focused high-energy boron ion implantation in diamond

    Science.gov (United States)

    Ynsa, M. D.; Agulló-Rueda, F.; Gordillo, N.; Maira, A.; Moreno-Cerrada, D.; Ramos, M. A.

    2017-08-01

    Boron-doped diamond is a material with a great technological and industrial interest because of its exceptional chemical, physical and structural properties. At modest boron concentrations, insulating diamond becomes a p-type semiconductor and at higher concentrations a superconducting metal at low temperature. The most conventional preparation method used so far, has been the homogeneous incorporation of boron doping during the diamond synthesis carried out either with high-pressure sintering of crystals or by chemical vapour deposition (CVD) of films. With these methods, high boron concentration can be included without distorting significantly the diamond crystalline lattice. However, it is complicated to manufacture boron-doped microstructures. A promising alternative to produce such microstructures could be the implantation of focused high-energy boron ions, although boron fluences are limited by the damage produced in diamond. In this work, the effect of focused high-energy boron ion implantation in single crystals of diamond is studied under different irradiation fluences and conditions. Micro-Raman spectra of the sample were measured before and after annealing at 1000 °C as a function of irradiation fluence, for both superficial and buried boron implantation, to assess the changes in the diamond lattice by the creation of vacancies and defects and their degree of recovery after annealing.

  9. Synthesis and characterization of ion-implanted Pt nanocrystals in SiO2

    International Nuclear Information System (INIS)

    Giulian, R.; Kluth, P.; Johannessen, B.; Araujo, L.L.; Llewellyn, D.J.; Cookson, D.J.; Ridgway, M.C.

    2007-01-01

    Pt nanocrystals (NCs) produced by ion implantation in SiO 2 films were investigated by Rutherford backscattering spectroscopy (RBS), transmission electron microscopy (TEM) and small angle X-ray scattering (SAXS). The implantations were performed at liquid nitrogen temperature using energies between 3.4 and 5.6 MeV and an ion fluence range of 2-30 x 10 16 cm -2 and were followed by annealing in forming gas (95% N 2 , 5% H 2 ) for one hour at temperatures between 500 and 1100 deg. C. TEM analysis revealed that the NCs are spherical in shape. The mean size of the NCs annealed at 1100 deg. C varied between 2.8 and 3.6 nm for the highest and lowest fluences, respectively, as determined with both TEM and SAXS. In contrast to previous studies on ion implanted metal NCs, larger Pt NCs are located far beyond the Pt peak concentration, potentially the result of a strongly defect mediated NC nucleation

  10. The influence of nitrogen implantation on the electrical properties of amorphous IGZO

    Science.gov (United States)

    Zhan, S. L.; Zhao, M.; Zhuang, D. M.; Fu, E. G.; Cao, M. J.; Guo, L.; Ouyang, L. Q.

    2017-09-01

    In this study, nitrogen (N) implantation was adopted to regulate the carrier concentration and the Hall mobility of amorphous Indium Gallium Zinc Oxide (a-IGZO) films. The Hall Effect measurement demonstrates that the increase of implantation fluence can decrease the carrier concentration of a-IGZO by three orders to 1016 cm-3, which attributes to the reduction of oxygen defects. The addition of nitrogen atoms can result in the increase of Hall mobility to 9.93 cm2/V s with the subsequent decrease to 6.49 cm2/V s, which reflects the reduction of the average potential barrier height (φ0) to be 22.0 meV with subsequent increase to 74.8 meV in the modified percolation model. The results indicate that nitrogen can serve as an effective p-type dopants and oxygen defect suppressors. N-implantation with an appropriate fluence can effectively improve the Hall mobility and reduce the carrier concentration simultaneously.

  11. A HRXRD and nano-indentation study on Ne-implanted 6H–SiC

    International Nuclear Information System (INIS)

    Xu, C.L.; Zhang, C.H.; Li, J.J.; Zhang, L.Q.; Yang, Y.T.; Song, Y.; Jia, X.J.; Li, J.Y.; Chen, K.Q.

    2012-01-01

    Specimens of 6H–SiC single crystal were irradiated at room temperature with 2.3 MeV neon ions to three successively increasing fluences of 2 × 10 14 , 1.1 × 10 15 and 3.8 × 10 15 ions/cm 2 and then annealed at room temperature, 500, 700 and 1000 °C, respectively. The strain in the specimens was investigated with a high resolution XRD spectrometer with an ω-2θ scanning. And the mechanical properties were investigated with the nano-indentation in the continuous stiffness measurement (CSM) mode with a diamond Berkovich indenter. The XRD curves of specimens after irradiation show the diffraction peaks arising at lower angles aside of the main Bragg peak Θ Bragg , indicating that a positive strain is produced in the implanted layer. In the as-implanted specimens, the strain increases with the increase of the ion fluence or energy deposition. Recovery of the strain occurs on subsequent thermal annealing treatment and two stages of defects evolution process are displayed. An interpretation of defects migration, annihilation and evolution is given to explain the strain variations of the specimens after annealing. The nano-indentation measurements show that the hardness in as-implanted specimens first increases with the increase of the ion fluence, and a degradation of hardness occurs when the ion fluence exceeds a threshold. On the subsequent annealing, the hardness variations are regarded to be a combined effect of the covalent bonding and the pinning effect of defect clusters.

  12. Tailoring broadband light trapping of GaAs and Si substrates by self-organised nanopatterning

    Energy Technology Data Exchange (ETDEWEB)

    Martella, C.; Chiappe, D.; Mennucci, C.; Buatier de Mongeot, F. [Dipartimento di Fisica, Università di Genova, via Dodecaneso 33, I-16146 Genova (Italy)

    2014-05-21

    We report on the formation of high aspect ratio anisotropic nanopatterns on crystalline GaAs (100) and Si (100) substrates exploiting defocused Ion Beam Sputtering assisted by a sacrificial self-organised Au stencil mask. The tailored optical properties of the substrates are characterised in terms of total reflectivity and haze by means of integrating sphere measurements as a function of the morphological modification at increasing ion fluence. Refractive index grading from sub-wavelength surface features induces polarisation dependent anti-reflection behaviour in the visible-near infrared (VIS-NIR) range, while light scattering at off-specular angles from larger structures leads to very high values of the haze functions in reflection. The results, obtained for an important class of technologically relevant materials, are appealing in view of photovoltaic and photonic applications aiming at photon harvesting in ultrathin crystalline solar cells.

  13. Plasma sheath physics and dose uniformity in enhanced glow discharge plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Li Liuhe; Li Jianhui; Kwok, Dixon T. K.; Chu, Paul K.; Wang Zhuo

    2009-01-01

    Based on the multiple-grid particle-in-cell code, an advanced simulation model is established to study the sheath physics and dose uniformity along the sample stage in order to provide the theoretical basis for further improvement of enhanced glow discharge plasma immersion ion implantation and deposition. At t=7.0 μs, the expansion of the sheath in the horizontal direction is hindered by the dielectric cage. The electron focusing effect is demonstrated by this model. Most of the ions at the inside wall of the cage are implanted into the edge of the sample stage and a relatively uniform ion fluence distribution with a large peak is observed at the end. Compared to the results obtained from the previous model, a higher implant fluence and larger area of uniformity are disclosed.

  14. Characterization of silver colloids formed in LiBbO3 by Ag and O implantation at room and elevated temperatures

    International Nuclear Information System (INIS)

    Williams, E.K.; Ila, D.; Darwish, A.; Poker, D.B.; Sarkisov, S.S.; Curley, M.J.; Wang, J.-C.; Svetchnikov, V.L.; Zandbergen, H.W.

    1999-01-01

    To address the issue of dispersion of Ag colloids in LiNbO 3 at heat treatment temperatures of 400-500 deg. C, Ag and O were implanted at energies of 160 and 35 keV, respectively, at room temperature (Rt) and at 500 deg. C. Fluences were 4x10 16 and 8x10 16 /cm 2 and the order of the Ag and O implants was varied. Electron paramagnetic resonance spectra indicated that only O followed by Ag implantation of 500 deg. C produced silver oxide. Optical absorption spectrometry and RBS showed significant differences in the dispersion of the colloids with implant temperature and implant order. Implantation of Ag at 1.5 MeV to a fluence of 2x10 16 /cm 2 followed by heat treatment at 500 deg. C for 1 h produced nonlinear optical waveguides with a nonlinear index of the order of 10 -10 cm 2 /W at 532 nm

  15. Spin dynamics in GaAs and (110)-GaAs heterostructures; Spindynamik in GaAs und (110)-GaAs-Heterostrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Oertel, Stefan

    2012-07-01

    This thesis investigates the spin dynamics in both bulk GaAs and (llO)GaAs heterostructures using time- and polarization-resolved photoluminescence spectroscopy. In bulk GaAs the spin relaxation t ime is measured for the first time in the high temperature regime from 280 K to 400 K and is compared to numerical calculations. The numerical calculations are based on the spin relaxation theory of the Dyakonov-Perel mechanism effected by momentum scattering with polar optical phonons and electron-electron scattering and are in good agreement with the experimental results. Measurements of the dependence on the electron density serve to determine the energy dependent proportional factor between the electron density and the effective electron-electron scattering time. Also in bulk GaAs the interaction between the electron spin system and the nuclear spin system is investigated. The measured electron Lande g-factor under the influence of the nuclear magnetic field is used as an indicator to monitor the temporal evolution of the nuclear magnetic field under sustained dynamic nuclear polarization. Measurements with polarization modulated excitation enable the determination of the relevant time scale at which dynamic nuclear polarization takes place. Furthermore, the temporal evolution of the measured electron Lande g-factor shows the complex interplay of the dynamic nuclear polarization, the nuclear spin diffusion and the nuclear spin relaxation. In symmetric (110)-GaAs quantum wells the dependence of the inplane anisotropy of the electron Lande g-factor on the quantum well thickness is determined experimentally. The measurements are in very good agreement with calculations based upon k . p-theory and reveal a maximum of the anisotropy at maximum carrier localization in the quantum well. The origin of the anisotropy that is not present in symmetric (001) quantum wells is qualitatively described by means of a simplified model based on fourth-order perturbation theory. A

  16. Surface damage versus defect microstructures in He and H ion co-implanted Si{sub 3}N{sub 4}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, F. [School of Science, Tianjin University, Tianjin 300072 (China); Liu, C.L., E-mail: liuchanglong@tju.edu.cn [School of Science, Tianjin University, Tianjin 300072 (China); Tianjin Key Laboratory of Low Dimensional Materials Physics and Preparing Technology, Institute of Advanced Materials Physics Faculty of Science, Tianjin 300072 (China); Gao, Y.J.; Wang, Z.; Wang, J. [School of Science, Tianjin University, Tianjin 300072 (China)

    2012-09-01

    Cz n-type Si (1 0 0) wafers with a top Si{sub 3}N{sub 4} layer of about 170 nm in thickness were sequentially implanted with 40 keV He ions at a fluence of 5 Multiplication-Sign 10{sup 16}/cm{sup 2} and 35 keV H ions at fluences of 1 Multiplication-Sign 10{sup 15}, 5 Multiplication-Sign 10{sup 15} and 1 Multiplication-Sign 10{sup 16}/cm{sup 2}, respectively. Creation and evolution of surface damage as well as micro-defects have been studied. Our results clearly show that production of surface damage depends strongly on both the H implant fluence and annealing temperature. Only blistering or localized exfoliation of the top Si{sub 3}N{sub 4} layer has been observed for post H implantation at fluences of 1 Multiplication-Sign 10{sup 15} and 5 Multiplication-Sign 10{sup 15}/cm{sup 2} upon 800 Degree-Sign C annealing. However, serious surface exfoliation has been found for the 1 Multiplication-Sign 10{sup 16}/cm{sup 2} H co-implanted samples after annealing at 450 Degree-Sign C and above. The exfoliation occurs at a depth of about 360 nm from the surface, which is obviously larger than the He or H ion range. Moreover, the exfoliated craters show clear two-step structures. Cross-sectional transmission electron microscopy (XTEM) observations reveal formation of micro-cracks in Si bulk and along the original interface, which is mainly responsible for the observed surface phenomena. The formation mechanism of micro-cracks has been discussed in combination of He and H implant-induced defects, impurities as well as their interactions upon annealing.

  17. High-dose MeV electron irradiation of Si-SiO2 structures implanted with high doses Si+

    Science.gov (United States)

    Kaschieva, S.; Angelov, Ch; Dmitriev, S. N.

    2018-03-01

    The influence was studied of 22-MeV electron irradiation on Si-SiO2 structures implanted with high-fluence Si+ ions. Our earlier works demonstrated that Si redistribution is observed in Si+-ion-implanted Si-SiO2 structures (after MeV electron irradiation) only in the case when ion implantation is carried out with a higher fluence (1016 cm-2). We focused our attention on the interaction of high-dose MeV electron irradiation (6.0×1016 cm-2) with n-Si-SiO2 structures implanted with Si+ ions (fluence 5.4×1016 cm-2 of the same order magnitude). The redistribution of both oxygen and silicon atoms in the implanted Si-SiO2 samples after MeV electron irradiation was studied by Rutherford back-scattering (RBS) spectroscopy in combination with a channeling technique (RBS/C). Our results demonstrated that the redistribution of oxygen and silicon atoms in the implanted samples reaches saturation after these high doses of MeV electron irradiation. The transformation of amorphous SiO2 surface into crystalline Si nanostructures (after MeV electron irradiation) was evidenced by atomic force microscopy (AFM). Silicon nanocrystals are formed on the SiO2 surface after MeV electron irradiation. The shape and number of the Si nanocrystals on the SiO2 surface depend on the MeV electron irradiation, while their size increases with the dose. The mean Si nanocrystals height is 16-20 nm after irradiation with MeV electrons at the dose of 6.0×1016 cm-2.

  18. On-Site Determination and Monitoring of Real-Time Fluence Delivery for an Operating UV Reactor Based on a True Fluence Rate Detector.

    Science.gov (United States)

    Li, Mengkai; Li, Wentao; Qiang, Zhimin; Blatchley, Ernest R

    2017-07-18

    At present, on-site fluence (distribution) determination and monitoring of an operating UV system represent a considerable challenge. The recently developed microfluorescent silica detector (MFSD) is able to measure the approximate true fluence rate (FR) at a fixed position in a UV reactor that can be compared with a FR model directly. Hence it has provided a connection between model calculation and real-time fluence determination. In this study, an on-site determination and monitoring method of fluence delivery for an operating UV reactor was developed. True FR detectors, a UV transmittance (UVT) meter, and a flow rate meter were used for fundamental measurements. The fluence distribution, as well as reduction equivalent fluence (REF), 10th percentile dose in the UV fluence distribution (F 10 ), minimum fluence (F min ), and mean fluence (F mean ) of a test reactor, was calculated in advance by the combined use of computational fluid dynamics and FR field modeling. A field test was carried out on the test reactor for disinfection of a secondary water supply. The estimated real-time REF, F 10 , F min , and F mean decreased 73.6%, 71.4%, 69.6%, and 72.9%, respectively, during a 6-month period, which was attributable to lamp output attenuation and sleeve fouling. The results were analyzed with synchronous data from a previously developed triparameter UV monitoring system and water temperature sensor. This study allowed demonstration of an accurate method for on-site, real-time fluence determination which could be used to enhance the security and public confidence of UV-based water treatment processes.

  19. Oxygen depth profiling in Kr{sup +}-implanted polycrystalline alpha titanium by means of {sup 16}O({alpha},{alpha}){sup 16}O resonance scattering

    Energy Technology Data Exchange (ETDEWEB)

    Nsengiyumva, S., E-mail: schadnse@hotmail.com [Department of Physics, University of Cape Town, Rondebosch 7701 (South Africa); Department of Physics and Electronics, Rhodes University, Grahamstown 6140 (South Africa); Department of Physics, Kigali Institute of Education, P.O. Box 5039 Kigali (Rwanda); Riviere, J.P. [Laboratoire de Physique des Materiaux UMR6630-CNRS, 86960 (France); Raji, A.T.; Comrie, C.M.; Britton, D.T.; Haerting, M. [Department of Physics, University of Cape Town, Rondebosch 7701 (South Africa)

    2011-07-15

    The {sup 16}O({alpha},{alpha}){sup 16}O resonance scattering was applied to study the effects of ion implantation on the oxygen distribution in the near surface region of polycrystalline titanium implanted with 180 keV krypton ions at fluences, ranging between 1 x 10{sup 14} and 5 x 10{sup 15} Kr{sup +}/cm{sup 2}. Two sample sets were chosen: as-received polycrystalline titanium discs rolled and annealed in half-hard condition which had a thick oxygen layer and similar samples in which this surface layer was removed by polishing. An increase of the mean oxygen concentration observed in both unpolished and polished samples at low fluence suggests a knock-on implantation of surface oxygen atoms. At high fluence, an overall decrease in the mean oxygen concentration and mean oxygen depth suggests an out-diffusion of near-surface oxygen atoms.

  20. Nitridation of porous GaAs by an ECR ammonia plasma

    International Nuclear Information System (INIS)

    Naddaf, M; Hullavarad, S S; Ganesan, V; Bhoraskar, S V

    2006-01-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy

  1. Nitridation of porous GaAs by an ECR ammonia plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Department of Physics, Atomic Energy Commission of Syria, PO Box 6091, Damascus (Syrian Arab Republic); Hullavarad, S S [Center for Superconductivity Research, Department of Physics, University of Maryland, College Park, MD 20742 (United States); Ganesan, V [Inter University Consortium, Indore (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2006-02-15

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  2. Nitridation of porous GaAs by an ECR ammonia plasma

    Science.gov (United States)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  3. Reemission and permeation of deuterium implanted into metals

    International Nuclear Information System (INIS)

    Tanabe, T.; Furuyama, Y.; Imoto, S.

    1984-01-01

    Focusing on the marked depression of deuterium permeation rate during the deuteron bombardment, implantation experiments coupled with gaseous permeation experiments are performed on pure Ni and Ni with evaporated MnO. It is concluded that the reemission of implanted deuterium is initially depressed, but it soon becomes enhanced with increase of fluence leading to a rapid decrease of permeation rate at the intermediate temperatures 600-1000 K, which is attributed to the formation of short diffusion paths from the projected range to the front surface. (orig.)

  4. Behavior of PET implanted by Ti, Ag, Si and C ion using MEVVA implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Yanwen; Zhang Huixing; Zhang Xiaoji; Zhou Gu

    2001-01-01

    Polyethylene terephthalane (PET) has been modified with Ti, Ag, Si and C ions from a metal vapor arc source (MEVVA). Ti, Ag, Si and C ions were implanted with acceleration voltage 40 kV to fluences ranging from 1x10 16 to 2x10 17 cm -2 . The surface of implanted PET darkened with increasing ion dose, when the metal ion dose was greater than 1x10 17 cm -2 the color changed to metallic bright. The surface resistance decreases by 5-6 orders of magnitude with increasing dose. The resistivity is stable after long-term storage. The depth of Ti- and Ag-implanted layer is approximately 150 and 80 nm measured by Rutherford backscattering (RBS), respectively. TEM photos revealed the presence of Ti and Ag nano-meter particles on the surface resulting from the high-dose implantation. Ti and Ag ion implantations improved conductivity and wear resistance significantly. The phase and structural changes were obtained by X-ray diffraction (XRD). It can be seen that nano-meter particles of Ti precipitation, TiO 2 and Ti-carbides have been formed in implanted layer. Nano-hardness of implanted PET has been measured by a nano-indenter. The results show that the surface hardness, modulus and wear resistance could be increased

  5. Positioning of self-assembled InAs quantum dots by focused ion beam implantation

    International Nuclear Information System (INIS)

    Mehta, M.

    2007-01-01

    Self-assembled quantum dots (QDs) are envisioned as building blocks for realization of novel nanoelectronic devices, for which the site-selective growth is highly desirable. This thesis presents a successful route toward selective positioning of self-assembled InAs QDs on patterned GaAs surface by combination of in situ focused ion beam (FIB) implantation and molecular beam epitaxy (MBE) technology. First, a buffer layer of GaAs was grown by MBE before a square array of holes with a pitch of 1-2 μm was fabricated by FIB implantation of Ga and In, ions respectively. Later, an in-situ annealing step followed by InAs deposition was performed. The InAs QDs were preferentially formed in the holes generated by FIB. The influence of ion dose, annealing parameters and InAs amount was investigated in this work. With optimized parameters, more than 50 % single dot occupancy per hole is achieved. Furthermore, the photoluminescence spectra from positioned QDs confirm their good optical quality. (orig.)

  6. Quantitative damage depth profiles in arsenic implanted HgCdTe

    Energy Technology Data Exchange (ETDEWEB)

    Lobre, C., E-mail: clement.lobre@cea.fr [CEA-Leti, MINATEC, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Jalabert, D. [CEA-INAC/UJF-Grenoble 1 UMR-E, MINATEC, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Vickridge, I.; Briand, E.; Benzeggouta, D. [Institut des NanoSciences de Paris, UMR 7588 du CNRS, Universite de Pierre et Marie Curie, Paris (France); Mollard, L. [CEA-Leti, MINATEC, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Jouneau, P.H. [CEA-INAC/UJF-Grenoble 1 UMR-E, MINATEC, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Ballet, P. [CEA-Leti, MINATEC, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France)

    2013-10-15

    Rutherford backscattering experiments under channeling conditions (RBS-c) have been carried out on Hg{sub 0.77}Cd{sub 0.23}Te (MCT) layers implanted with arsenic. Accurate damage profiles have been extracted through a simple formalism for implanted and annealed layers. Quantitative damage profiles are correlated with structural defects observed by bright-field scanning transmission electron microscopy (BF-STEM) and chemical composition measured by secondary ion mass spectrometry (SIMS). Evolution of damage for increasing ion implantation fluence has been investigated by these three complementary techniques. Evidence is found of irradiation induced annealing during implantation. A fast damage recovery has been observed for post-implantation thermal anneals. In the case of an implanted layer annealed during 1 h, the damage profile, associated with arsenic concentration measurements, indicates the presence of complexes involving arsenic.

  7. Quantitative damage depth profiles in arsenic implanted HgCdTe

    International Nuclear Information System (INIS)

    Lobre, C.; Jalabert, D.; Vickridge, I.; Briand, E.; Benzeggouta, D.; Mollard, L.; Jouneau, P.H.; Ballet, P.

    2013-01-01

    Rutherford backscattering experiments under channeling conditions (RBS-c) have been carried out on Hg 0.77 Cd 0.23 Te (MCT) layers implanted with arsenic. Accurate damage profiles have been extracted through a simple formalism for implanted and annealed layers. Quantitative damage profiles are correlated with structural defects observed by bright-field scanning transmission electron microscopy (BF-STEM) and chemical composition measured by secondary ion mass spectrometry (SIMS). Evolution of damage for increasing ion implantation fluence has been investigated by these three complementary techniques. Evidence is found of irradiation induced annealing during implantation. A fast damage recovery has been observed for post-implantation thermal anneals. In the case of an implanted layer annealed during 1 h, the damage profile, associated with arsenic concentration measurements, indicates the presence of complexes involving arsenic

  8. Performances of epitaxial GaAs p/i/n structures for X-ray imaging

    CERN Document Server

    Sun, G C; Haguet, V; Pesant, J C; Montagne, J P; Lenoir, M; Bourgoin, J C

    2002-01-01

    We have realized 150 mu mx150 mu m pixels using ion implantation followed by photolithography, metallic contact evaporation and chemical etching on about 200 mu m thick GaAs epitaxial layers. These layers were grown on n sup + and p sup + substrates by an already described Chemical Reaction technique, which is economical, non-polluting and can attain growth rates of several microns per minute. The mesa p sup + /i/n sup + pixel were characterized using current-voltage and capacitance-voltage measurements. The charge collection efficiency was evaluated by photoconductivity measurements under typical conditions of standard radiological examinations.

  9. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  10. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    Science.gov (United States)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be -oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  11. The mechanisms of surface exfoliation in H and He implanted Si crystals

    International Nuclear Information System (INIS)

    Reboh, S.; Mattos, A.A.D. de; Schaurich, F.; Fichtner, P.F.P.; Beaufort, M.F.; Barbot, J.F.

    2011-01-01

    We report on the exfoliation mechanisms in light gas implanted Si. Microstructure characterization, extensive statistical analysis and solid mechanics theory show that exfoliation is caused by microcracks growing close to equilibrium pressure for high fluences. For lower fluences, cracks evolve at under-equilibrium pressure and exfoliation relies on a coalescence mechanism assisted by cleavage. This provides long-range, collective and efficient stress relief for clusters of cracks, causing enhancement of the exfoliation. The physical processes are independent of the irradiation energy.

  12. He reemission implanted in metals

    International Nuclear Information System (INIS)

    Tanabe, T.

    2014-01-01

    Highlights: • Observation of He reemission of various metals under He + implantation at wide temperature range. • Materials examined are aluminum (Al), Nickel (Ni) and molybdenum (Mo). • He reemission is quite temperature dependent and different with materials. • Three metals show similar dependence on temperature normalized with respective melting point. • He reemission is successfully correlated with He behavior in metals. - Abstract: Helium (He) reemission of Al, Ni and Mo under energetic He implantation (10–30 keV) in wide temperature range is studied to understand behavior of implanted He in correlation with structure changes. The reemission behavior is categorized into 4 different temperature ranges with the normalized temperature (T m ) to the melting point of each metal. At elevated temperatures (well above ∼0.6 T m ), interstitial He atoms and/or He-vacancy (ies) clusters can migrate remaining no structure change and showing smooth reemission without any burst. Between ∼0.25 and 0.6 T m , He reemission always accompanies significant structure modification. For ∼04–0.6 T m , implanted He coalesce to make bubbles and the bubbles can move to the surface. Bubble migration accompanies materials flow to the surface resulting in fuzz surface or columnar structure, depending on implantation flux. Slower bubble motion at ∼0.25–0.4 prohibits the material migration. Instead the bubbles coalesce to grow large and multi-layered blistering appears as periodic reemission behavior. Below ∼0.25 T m , He migration is too slow for bubbles to grow large, but bubble density increases up to a certain fluence, where neighboring bubbles start to coalesce. Accordingly, He release is mostly caused by mechanical failure or blister rapture. With increasing fluence, all defects (bubbles and dislocation loops) tangle or inter connected with neighboring defects and accordingly He migration to the surface along the tangled or connected defects is enhanced

  13. Rapid thermal and swift heavy ion induced annealing of Co ion implanted GaN films

    International Nuclear Information System (INIS)

    Baranwal, V.; Pandey, A. C.; Gerlach, J. W.; Rauschenbach, B.; Karl, H.; Kanjilal, D.; Avasthi, D. K.

    2008-01-01

    Thin epitaxial GaN films grown on 6H-SiC(0001) substrates were implanted with 180 keV Co ions at three different fluences. As-implanted samples were characterized with secondary ion mass spectrometry and Rutherford backscattering spectrometry to obtain the Co depth profiles and the maximum Co concentrations. As-implanted samples were annealed applying two different techniques: rapid thermal annealing and annealing by swift heavy ion irradiation. Rapid thermal annealing was done at two temperatures: 1150 deg. C for 20 s and 700 deg. C for 5 min. 200 MeV Ag ions at two fluences were used for annealing by irradiation. Crystalline structure of the pristine, as-implanted, and annealed samples was investigated using x-ray diffraction, and the results were compared. Improvement of the crystalline quality was observed for rapid thermal annealed samples at the higher annealing temperature as confirmed with rocking curve measurements. The results indicate the presence of Co clusters in these annealed samples. Swift heavy ion irradiation with the parameters chosen for this study did not lead to a significant annealing

  14. Characterization of PEEK, PET and PI implanted with Mn ions and sub-sequently annealed

    Science.gov (United States)

    Mackova, A.; Malinsky, P.; Miksova, R.; Pupikova, H.; Khaibullin, R. I.; Slepicka, P.; Gombitová, A.; Kovacik, L.; Svorcik, V.; Matousek, J.

    2014-04-01

    Polyimide (PI), polyetheretherketone (PEEK) and polyethylene terephthalate (PET) foils were implanted with 80 keV Mn+ ions at room temperature at fluencies of 1.0 × 1015-1.0 × 1016 cm-2. Mn depth profiles determined by RBS were compared to SRIM 2012 and TRIDYN simulations. The processes taking place in implanted polymers under the annealing procedure were followed. The measured projected ranges RP differ slightly from the SRIM and TRIDYN simulation and the depth profiles are significantly broader (up to 2.4 times) than those simulated by SRIM, while TRIDYN simulations were in a reasonable agreement up to the fluence 0.5 × 1016 in PEEK. Oxygen and hydrogen escape from the implanted layer was examined using RBS and ERDA techniques. PET, PEEK and PI polymers exhibit oxygen depletion up to about 40% of its content in virgin polymers. The compositional changes induced by implantation to particular ion fluence are similar for all polymers examined. After annealing no significant changes of Mn depth distribution was observed even the further oxygen and hydrogen desorption from modified layers appeared. The surface morphology of implanted polymers was characterized using AFM. The most significant change in the surface roughness was observed on PEEK. Implanted Mn atoms tend to dissipate in the polymer matrix, but the Mn nanoparticles are too small to be observed on TEM micrographs. The electrical, optical and structural properties of the implanted and sub-sequently annealed polymers were investigated by sheet resistance measurement and UV-Vis spectroscopy. With increasing ion fluence, the sheet resistance decreases and UV-Vis absorbance increases simultaneously with the decline of optical band gap Eg. The most pronounced change in the resistance was found on PEEK. XPS spectroscopy shows that Mn appears as a mixture of Mn oxides. Mn metal component is not present. All results were discussed in comparison with implantation experiment using the various ion species (Ni, Co

  15. Characterization of PEEK, PET and PI implanted with Mn ions and sub-sequently annealed

    International Nuclear Information System (INIS)

    Mackova, A.; Malinsky, P.; Miksova, R.; Pupikova, H.; Khaibullin, R.I.; Slepicka, P.; Gombitová, A.; Kovacik, L.; Svorcik, V.; Matousek, J.

    2014-01-01

    Polyimide (PI), polyetheretherketone (PEEK) and polyethylene terephthalate (PET) foils were implanted with 80 keV Mn + ions at room temperature at fluencies of 1.0 × 10 15 –1.0 × 10 16 cm −2 . Mn depth profiles determined by RBS were compared to SRIM 2012 and TRIDYN simulations. The processes taking place in implanted polymers under the annealing procedure were followed. The measured projected ranges R P differ slightly from the SRIM and TRIDYN simulation and the depth profiles are significantly broader (up to 2.4 times) than those simulated by SRIM, while TRIDYN simulations were in a reasonable agreement up to the fluence 0.5 × 10 16 in PEEK. Oxygen and hydrogen escape from the implanted layer was examined using RBS and ERDA techniques. PET, PEEK and PI polymers exhibit oxygen depletion up to about 40% of its content in virgin polymers. The compositional changes induced by implantation to particular ion fluence are similar for all polymers examined. After annealing no significant changes of Mn depth distribution was observed even the further oxygen and hydrogen desorption from modified layers appeared. The surface morphology of implanted polymers was characterized using AFM. The most significant change in the surface roughness was observed on PEEK. Implanted Mn atoms tend to dissipate in the polymer matrix, but the Mn nanoparticles are too small to be observed on TEM micrographs. The electrical, optical and structural properties of the implanted and sub-sequently annealed polymers were investigated by sheet resistance measurement and UV–Vis spectroscopy. With increasing ion fluence, the sheet resistance decreases and UV–Vis absorbance increases simultaneously with the decline of optical band gap E g . The most pronounced change in the resistance was found on PEEK. XPS spectroscopy shows that Mn appears as a mixture of Mn oxides. Mn metal component is not present. All results were discussed in comparison with implantation experiment using the various ion

  16. Structure and homoepitaxial growth of GaAs(6 3 1)

    International Nuclear Information System (INIS)

    Mendez-Garcia, V.H.; Ramirez-Arenas, F.J.; Lastras-Martinez, A.; Cruz-Hernandez, E.; Pulzara-Mora, A.; Rojas-Ramirez, J.S.; Lopez-Lopez, M.

    2006-01-01

    We have studied the surface atomic structure of GaAs(6 3 1), and the GaAs growth by molecular beam epitaxy (MBE) on this plane. After the oxide desorption process at 585 deg. Creflection high-energy electron diffraction (RHEED) showed along the [-1 2 0] direction a 2x surface reconstruction for GaAs(6 3 1)A, and a 1x pattern was observed for GaAs(6 3 1)B. By annealing the substrates for 60 min, we observed that on the A surface appeared small hilly-like features, while on GaAs(6 3 1)B surface pits were formed. For GaAs(6 3 1)A, 500 nm-thick GaAs layers were grown at 585 deg. C. The atomic force microscopy (AFM) images at the end of growth showed the self-formation of nanoscale structures with a pyramidal shape enlarged along the [5-9-3] direction. Transversal views of the bulk-truncated GaAs(6 3 1) surface model showed arrays of atomic grooves along this direction, which could influence the formation of the pyramidal structures

  17. Physical property of disordered-GaAs produced by ion implantation

    International Nuclear Information System (INIS)

    Nojima, Shunji

    1979-01-01

    The properties of disordered-GaAs produced by ion implantation and its annealing behaviors are investigated for ion species of H, Be, P, and As, from the viewpoints of both the electrical property and the physical structure of the disordered layer. From the study of the electron diffraction for implanted layers and of the conductivity due to defects as a function of dose, depth, measuring temperature, and annealing temperature, the following two facts are clarified: first, the conductivity due to defects can be a good measure for the degree of disorder in GaAs produced by ion implantation, when it is less than --1 Ω -1 cm -1 . Second, the localized states originating from defects are distributed with the same density in the high dose implanted layer, in spite of the degree of disorder in the physical structure. (author)

  18. Comparison of sources of exit fluence variation for IMRT

    International Nuclear Information System (INIS)

    Gardner, Joseph K; Gordon, J James; Wang Song; Siebers, Jeffrey V; Clews, Luke; Greer, Peter B

    2009-01-01

    The fluence exiting a patient during beam delivery can be used as treatment delivery quality assurance, either by direct comparison with expected exit fluences or by backprojection to reconstruct the patient dose. Multiple possible sources of measured exit fluence deviations exist, including changes in the beam delivery and changes in the patient anatomy. The purpose of this work is to compare the deviations caused by these sources. Machine delivery-related variability is measured by acquiring multiple dosimetric portal images (DPIs) of several test fields without a patient/phantom in the field over a time period of 2 months. Patient anatomy-related sources of fluence variability are simulated by computing transmission DPIs for a prostate patient using the same incident fluence for 11 different computed tomography (CT) images of the patient anatomy. The standard deviation (SD) and maximum deviation of the exit fluence, averaged over 5 mm x 5 mm square areas, is calculated for each test set. Machine delivery fluence SDs as large as 1% are observed for a sample patient field and as large as 2.5% for a picket-fence dMLC test field. Simulations indicate that day-to-day patient anatomy variations induce exit fluence SDs as large as 3.5%. The largest observed machine delivery deviations are 4% for the sample patient field and 7% for the picket-fence field, while the largest difference for the patient anatomy-related source is 8.5%. Since daily changes in patient anatomy can result in substantial exit fluence deviations, care should be taken when applying fluence back-projection to ensure that such deviations are properly attributed to their source. (note)

  19. Probability model for worst case solar proton event fluences

    International Nuclear Information System (INIS)

    Xapsos, M.A.; Summers, G.P.; Barth, J.L.; Stassinopoulos, E.G.; Burke, E.A.

    1999-01-01

    The effects that solar proton events have on microelectronics and solar arrays are important considerations for spacecraft in geostationary orbits, polar orbits and on interplanetary missions. A predictive model of worst case solar proton event fluences is presented. It allows the expected worst case event fluence to be calculated for a given confidence level and for periods of time corresponding to space missions. The proton energy range is from >1 to >300 MeV, so that the model is useful for a variety of radiation effects applications. For each proton energy threshold, the maximum entropy principle is used to select the initial distribution of solar proton event fluences. This turns out to be a truncated power law, i.e., a power law for smaller event fluences that smoothly approaches zero at a maximum fluence. The strong agreement of the distribution with satellite data for the last three solar cycles indicates this description captures the essential features of a solar proton event fluence distribution. Extreme value theory is then applied to the initial distribution of events to obtain the model of worst case fluences

  20. Influence of 400 keV carbon ion implantation on structural, optical and electrical properties of PMMA

    Energy Technology Data Exchange (ETDEWEB)

    Arif, Shafaq, E-mail: sarif2005@gmail.com [Department of Physics, Lahore College for Women University, Lahore 54000 (Pakistan); Rafique, M. Shahid [Department of Physics, University of Engineering & Technology, Lahore 54000 (Pakistan); Saleemi, Farhat; Sagheer, Riffat [Department of Physics, Lahore College for Women University, Lahore 54000 (Pakistan); Naab, Fabian; Toader, Ovidiu [Department of Nuclear Engineering and Radiological Sciences, Michigan Ion Beam Laboratory, University of Michigan, MI 48109-2104 (United States); Mahmood, Arshad; Rashid, Rashad [National Institute of Lasers and Optronics (NILOP), P.O. Nilore, Islamabad (Pakistan); Mahmood, Mazhar [Department of Metallurgy & Materials Engineering, Pakistan Institute of Engineering & Applied Sciences (PIEAS), Islamabad (Pakistan)

    2015-09-01

    Ion implantation is a useful technique to modify surface properties of polymers without altering their bulk properties. The objective of this work is to explore the 400 keV C{sup +} ion implantation effects on PMMA at different fluences ranging from 5 × 10{sup 13} to 5 × 10{sup 15} ions/cm{sup 2}. The surface topographical examination of irradiated samples has been performed using Atomic Force Microscope (AFM). The structural and chemical modifications in implanted PMMA are examined by Raman and Fourier Infrared Spectroscopy (FTIR) respectively. The effects of carbon ion implantation on optical properties of PMMA are investigated by UV–Visible spectroscopy. The modifications in electrical conductivity have been measured using a four point probe technique. AFM images reveal a decrease in surface roughness of PMMA with an increase in ion fluence from 5 × 10{sup 14} to 5 × 10{sup 15} ions/cm{sup 2}. The existence of amorphization and sp{sup 2}-carbon clusterization has been confirmed by Raman and FTIR spectroscopic analysis. The UV–Visible data shows a prominent red shift in absorption edge as a function of ion fluence. This shift displays a continuous reduction in optical band gap (from 3.13 to 0.66 eV) due to formation of carbon clusters. Moreover, size of carbon clusters and photoconductivity are found to increase with increasing ion fluence. The ion-induced carbonaceous clusters are believed to be responsible for an increase in electrical conductivity of PMMA from (2.14 ± 0.06) × 10{sup −10} (Ω-cm){sup −1} (pristine) to (0.32 ± 0.01) × 10{sup −5} (Ω-cm){sup −1} (irradiated sample)

  1. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    Science.gov (United States)

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  2. Micro-Raman depth profile investigations of beveled Al+-ion implanted 6H-SiC samples

    International Nuclear Information System (INIS)

    Zuk, J.; Romanek, J.; Skorupa, W.

    2009-01-01

    6H-SiC single crystals were implanted with 450 keV Al + -ions to a fluence of 3.4 x 10 15 cm -2 , and in a separate experiment subjected to multiple Al + implantations with the four energies: 450, 240, 115 and 50 keV and different fluences to obtain rectangular-like depth distributions of Al in SiC. The implantations were performed along [0 0 0 1] channeling and non-channeling ('random') directions. Subsequently, the samples were annealed for 10 min at 1650 deg. C in an argon atmosphere. The depth profiles of the implanted Al atoms were obtained by secondary ion mass spectrometry (SIMS). Following implantation and annealing, the samples were beveled by mechanical polishing. Confocal micro-Raman spectroscopic investigations were performed with a 532 nm wavelength laser beam of a 1 μm focus diameter. The technique was used to determine precisely the depth profiles of TO and LO phonon lines intensity in the beveled samples to a depth of about 2000 nm. Micro-Raman spectroscopy was also found to be useful in monitoring very low levels of disorder remaining in the Al + implanted and annealed 6H-SiC samples. The micro-Raman technique combined with sample beveling also made it possible the determination of optical absorption coefficient profiles in implanted subsurface layers.

  3. Subnanosecond linear GaAs photoconductive switching, revision 1

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.; Hofer, W. W.

    Research was conducted in photoconductive switching for the purpose of generating subnanosecond pulses in the 25 to 50kV range. The very fast recombination rates of Gallium Arsenide (GaAs) was exploited to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is (approx. 10(-14) sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. Switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm was observed. The illumination source was a Nd:YAG laser operating at 1.06 microns.

  4. RBS studies of the lattice damage caused by 1 MeV Si+ implantation into Al0.3Ga0.7As/GaAs superlattices at elevated temperature

    International Nuclear Information System (INIS)

    Xu Tianbing; Zhu Peiran; Zhou Junsi; Li Daiqing; Gong Baoan; Wan Ya; Mu Shanming; Zhao Qingtai; Wang Zhonglie

    1994-01-01

    The lattice damage accumulation in GaAs and Al 0.3 Ga 0.7 As/GaAs superlattices by 1 MeV Si + irradiation at room temperature and 350 C has been studied. For irradiations at 350 C, at lower doses the samples were almost defect-free after irradiation, while a large density of accumulated defects was induced at a higher dose. The critical dose above which the damage accumulation is more efficient is estimated to be 2 x 10 15 Si/cm 2 for GaAs, and is 5 x 10 15 Si/cm 2 for Al 0.8 Ga 0.7 As/GaAs superlattice for implantation with 1.0 MeV Si ions at 350 C. The damage accumulation rate for 1 MeV Si ion implantation in Al 0.3 Ga 0.7 As/GaAs superlattice is less than that in GaAs. (orig.)

  5. Nano-structure and tribological properties of B+ and Ti+ co-implanted silicon nitride

    International Nuclear Information System (INIS)

    Nakamura, Naoki; Noda, Katsutoshi; Yamauchi, Yukihiko

    2005-01-01

    Silicon nitride ceramics have been co-implanted with boron and titanium ions at a fluence of 2 x 10 17 ions/cm 2 and an energy of 200 keV. TEM results indicated that the boron and titanium-implanted layers were amorphized separately and titanium nitride nano-crystallites were formed in the titanium-implanted layer. XPS results indicated that the implantation profile varied a little depending on the ion implantation sequence of boron and titanium ions, with the boron implantation peak shifting to a shallower position when implanted after Ti + -implantation. Wear tests of these ion-implanted materials were carried out using a block-on-ring wear tester under non-lubricated conditions against commercially available silicon nitride materials. The specific wear rate was reduced by ion implantation and showed that the specific wear rate of Ti + -implanted sample was the lowest, followed by B + , Ti + co-implanted and B + -implanted samples

  6. Electrodeposition of Metal on GaAs Nanowires

    Science.gov (United States)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  7. Diffusion of $^{52}$Mn in GaAs

    CERN Multimedia

    2002-01-01

    Following our previous diffusion studies performed with the modified radiotracer technique, we propose to determine the diffusion of Mn in GaAs under intrinsic conditions in a previously un-investigated temperature region. The aim of the presently proposed experiments is twofold. \\begin{itemize} \\item A quantitative study of Mn diffusion in GaAs at low Mn concentrations would be decisive in providing new information on the diffusion mechanism involved. \\item As Ga vacancies are expected to be involved in the Mn diffusion process it can be predicted that also the GaAs material growth technique most likely plays a role. To clarify this assumption diffusion experiments will be conducted for GaAs material grown by two different techniques. \\end{itemize} For such experiments we ask for two runs of 3 shifts (total of 6 shifts) with $^{52}$Mn$^{+}$ ion beam.

  8. Mechanical and Structural Properties of Fluorine-Ion-Implanted Boron Suboxide

    OpenAIRE

    Machaka, Ronald; Mwakikunga, Bonex W.; Manikandan, Elayaperumal; Derry, Trevor E.; Sigalas, Iakovos; Herrmann, Mathias

    2012-01-01

    Results on a systematic study on the effects of ion implantation on the near-surface mechanical and structural properties of boron suboxide (B 6O) prepared by uniaxial hot pressing are reviewed. 150keV fluorine ions at fluences of up to 5.0 × 10 16ions/cm 2 were implanted into the ultrahard ceramic material at room temperature and characterized using Raman spectroscopy, atomic force microscopy, and scanning electron microscopy with energy-dispersive X-ray spectroscopy. Evidence of ion-beam-as...

  9. Implantation temperature and thermal annealing behavior in H{sub 2}{sup +}-implanted 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Li, B.S., E-mail: b.s.li@impcas.ac.cn; Wang, Z.G.; Jin, J.F.

    2013-12-01

    The effects of hydrogen implantation temperature and annealing temperature in 6H-SiC are studied by the combination of Rutherford backscattering in channeling geometry (RBS/C), high-resolution X-ray diffraction (HRXRD) and scanning electron microscopy (SEM). 6H-SiC wafers were implanted with 100 keV H{sub 2}{sup +} ions to a fluence of 2.5 × 10{sup 16} H{sub 2}{sup +} cm{sup −2} at room temperature (RT), 573 K and 773 K. Post-implantation, the samples were annealing under argon gas flow at different temperatures from 973 K to 1373 K for isochronal annealing (15 min). The relative Si disorder at the damage peak for the sample implanted at RT decreases gradually with increasing annealing temperature. However, the reverse annealing effect is found for the samples implanted at 573 K and 773 K. As-implantation, the intensity of in-plane compressive stress is the maximum as the sample was implanted at RT, and is the minimum as the sample was implanted at 573 K. The intensity of in-plane compressive stress for the sample implanted at RT decreases gradually with increasing annealing temperature, while the intensities of in-plane compressive stress for the sample implanted at 573 K and 773 K show oscillatory changes with increasing annealing temperature. After annealing at 1373 K, blisters and craters occur on the sample surface and their average sizes increase with increasing implantation temperature.

  10. Vectorial and plane energy fluences - useful concepts in radiation physics

    International Nuclear Information System (INIS)

    Carlsson, C.A.

    1977-06-01

    The vectorial physical quantities describing the radiation field are defined in this report. The use of these quantities is rare in the radiation dosimetry literature since a knowledge of the directions of motion of the ionizing particle is often uninteresting when determining absorbed doses. However the plane energy fluence rate is a useful quantity in cases with plane irradiation geometries. The plane energy fluence rate is closely related to the vectorial energy fluence rate. The backscattering properties of a medium can be expressed in terms either of its albedo or its reflection-coefficient (backscatter-coefficient). These quantities are discussed in order to derive useful relations between the plane energy fluence and the energy fluence at points on an extended plane surface. Examples are also given of erroneous use of energy fluence instead of vectorial or plane energy fluence. The examples are taken from roentgen diagnostic examinations. To prevent further mistakes it could be valuable if the quantities of vectorial and plane fluences were introduced in text books in radiation dosimetry. Awaiting for this, this report may hopefully be useful. (E.R.)

  11. Tribological properties of ion-implanted steels

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    The tribological properties such as surface hardness, friction and wear have been studied for low carbon steels and tool steels implanted with many types of ion including metallic elements. The hardness measured by Vickers or Knoop hardness testers as a function of normal load is dependent on the implanted species, fluence and substrate. The friction coefficients measured by Bowden-Leben type of friction tests or detected during wear tests also depend on the implantation conditions. The improvement in the wear resistance, which is most important for industrial use of implanted materials, has been investigated for AISI H13 prehardened and tool steels implanted with nitrogen and boron ions. The relationship between hardness, friction and wear is discussed in comparison with the microcharacteristics such as composition and chemical bonding states measured by means of secondary ion mass spectrometry and X-ray photoelectron spectroscopy. It is concluded that the increase in hardness and/or the decrease in friction coefficient play(s) an important role in improving the wear resistance, and the relationship between relative wear volume and relative hardness is correlated for boron and nitrogen implantation. (orig.)

  12. Radiation effects in ion implanted β-Ga_2O_3

    International Nuclear Information System (INIS)

    Wendler, E.; Treiber, E.; Baldauf, J.; Wolf, S.; Ronning, C.; Kuramata, A.

    2015-01-01

    Ion implantation induced effects are studied in β-Ga_2O_3 at room temperature. The main technique applied is Rutherford backscattering spectrometry in channelling configuration (RBS) using He ions. Additionally, selected samples were investigated by optical spectroscopy and transmission electron microscopy (TEM). For the implanted P, Ar or Sn ions clear damage peaks are visible in the RBS spectra. The concentration of displaced lattice atoms in the maximum of the distribution (as deduced from the channelling spectra) increases almost continuously up to a saturation value of about 90% with increasing ion fluence. Once this level is reached in the maximum of the distribution, during further implantation a broadening of the distribution occurs with the concentration remaining at this level. RBS measurements performed with different energy of the analysing He ions reveal that the damage produced is characterized by randomly distributed lattice atoms. This indicates point defects, point defect complexes or amorphous zones. As the channelling spectra of the implanted layers do not reach the random level, complete amorphisation can be excluded. Furthermore, the applied optical techniques do not exhibit significant changes in comparison to the signal measured for the unimplanted sample even though these studies were performed for the highest ion fluences implanted. Cross sectional TEM confirms this result. The diffraction pattern shows clear spots as for the unimplanted material and extended defects are almost not visible. The β-Ga_2O_3 layers ion implanted at room temperature contain mainly point defects the strong influence of which on the dechannelling of the He ions in the RBS analysis is not yet understood. (authors)

  13. IMRT fluence map editing to control hot and cold spots

    International Nuclear Information System (INIS)

    Taylor Cook, J.; Tobler, Matt; Leavitt, Dennis D.; Watson, Gordon

    2005-01-01

    Manually editing intensity-modulated radiation therapy (IMRT) fluence maps effectively controls hot and cold spots that the IMRT optimization cannot control. Many times, re-optimizing does not reduce the hot spots or increase the cold spots. In fact, re-optimizing only places the hot and cold spots in different locations. Fluence-map editing provides manual control of dose delivery and provides the best treatment plan possible. Several IMRT treatments were planned using the Varian Eclipse planning system. We compare the effects on dose distributions between fluence-map editing and re-optimization, discuss techniques for fluence-map editing, and analyze differences between fluence editing on one beam vs. multiple beams. When editing a beam's fluence map, it is essential to choose a beam that least affects dose to the tumor and critical structures. Editing fluence maps gives an advantage in treatment planning and provides controlled delivery of IMRT dose

  14. Formation of cBN nanocrystals by He+ implantations of hBN

    OpenAIRE

    Machaka, Ronald; Erasmus, Rudolph M; Derry, Trevor E

    2010-01-01

    The structural modifications of polycrystalline hexagonal boron nitride implanted with He+ ion beams at energies between 200 keV and 1.2 MeV to fluences of 1.0 \\times 1017 ions \\cdot cm-2 were investigated using micro-Raman spectroscopy. The measured Raman spectra show evidence of implantation-induced structural transformations from the hexagonal phase to nanocrystalline cubic boron nitride, rhombohedral boron nitride and amorphous boron nitride phases. The first-order Longitudinal-Optical cB...

  15. Structural and optical properties of DC magnetron sputtered ZnO films on glass substrate and their modification by Ag ions implantation

    Science.gov (United States)

    Ahmad, R.; Afzal, Naveed; Amjad, U.; Jabbar, S.; Hussain, T.; Hussnain, A.

    2017-07-01

    This work is focused on investigating the effects of deposition time and Ag ions implantation on structural and optical properties of ZnO film. The ZnO film was prepared on glass substrate by pulsed DC magnetron sputtering of pure Zn target in reactive oxygen environment for 2 h, 3 h, 4 h and 5 h respectively. X-ray diffraction results revealed polycrystalline ZnO film whose crystallinity was improved with increase of the deposition time. The morphological features indicated agglomeration of smaller grains into larger ones by increasing the deposition time. The UV-vis spectroscopy analysis depicted a small decrease in the band gap of ZnO from 3.36 eV to 3.27 eV with increase of deposition time. The Ag ions implantation in ZnO films deposited for 5 h on glass was carried out by using Pelletron Accelerator at different ions fluences ranging from 1  ×  1011 ions cm-2 to 2  ×  1012 ions cm-2. XRD patterns of Ag ions implanted ZnO did not show significant change in crystallite size by increasing ions fluence from 1  ×  1011 ions cm-2 to 5  ×  1011 ions cm-2. However, with further increase of the ions fluence, the crystallite size was decreased. The band gap of Ag ions implanted ZnO indicated anomalous variations with increase of the ions fluence.

  16. Characterization of nitrogen-ion-implanted aluminium

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Breuer, K.; Leonhardt, G.

    1990-01-01

    Aluminium has been implanted with nitrogen ions at different temperatures. The implanted samples have been characterized by Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and electron energy-loss spectroscopy (EELS). Deconvolution procedures are needed to separate the influence of the ion sputter profiling by AES and XPS from the nitrogen-ion-beam-induced effects. The chemical state of Al, N, O and C was identified by deconvolution of the measured spectra. In general, there were double-peak structures observed for N 1s and O 1s, identified as contributions from nitrides and weakly bound nitrogen, and oxides and weakly bound oxygen, respectively. Auger analysis confirms the influence of the nitrogen ion fluence on the shape of the concentration distribution. The influence of temperature on the chemical state of implanted aluminium and on the concentration distribution is discussed. (orig.)

  17. Subnanosecond linear GaAs photoconductive switching: Revision 1

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.; Hofer, W.W.

    1989-01-01

    We are conducting research in photoconductive switching for the purpose of generating subnanosecond pulses in the 25--50kV range. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is /approximately/10/sup /minus/14/ sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. We have observed switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm. The illumination source was a Nd:YAG laser operating at 1.06 /mu/m. 4 refs., 11 figs.

  18. Studies for improvement of WWER-440 neutron fluence determination

    International Nuclear Information System (INIS)

    Ilieva, Kr.; Belousov, S.; Apostolov, T.

    2001-01-01

    For assessment of radiation embrittlement and prediction of reactor vessel lifetime with reasonable conservatism a 'best estimated' neutron fluence is necessary. New studies purposed to improve the fluence determination are presented: 1) study on the reliability of multigroup presentation of the neutron cross sections, and 2) impact of negative gradient of reactor power in the periphery assemblies on the neutron fluence evaluation. The results of these studies are base for improvement of neutron fluence determination methodology applied by the INRNE, BAS at Kozloduy NPP. (author)

  19. Noise behaviour of semiinsulating GaAs particle detectors at various temperatures before and after irradiation

    International Nuclear Information System (INIS)

    Tenbusch, F.; Braunschweig, W.; Chu, Z.; Krais, R.; Kubicki, T.; Luebelsmeyer, K.; Pandoulas, D.; Rente, C.; Syben, O.; Toporowski, M.; Wittmer, B.; Xiao, W.J.

    1998-01-01

    We investigated the noise behaviour of surface barrier detectors (double sided Schottky contact) made of semiinsulating GaAs. Two types of measurements were performed: equivalent noise charge (ENC) and noise power density spectra in a frequency range from 10 Hz to 500 kHz. The shape of the density spectra are a powerful tool to examine the physical origin of the noise, before irradiation it is dominated by generation-recombination processes caused by deep levels. Temperature dependent noise measurements reveal the deep level parameters like activation energy and cross section, which are also extracted by analyzing the time transients of the charge pulse from α-particles. After irradiation with protons, neutrons and pions the influence of the deep levels being originally responsible for the noise is found to decrease and a reduction of the noise over the entire frequency range with increasing fluence is observed. (orig.)

  20. Distribution and characterization of iron in implanted silicon carbide

    International Nuclear Information System (INIS)

    Bentley, J.; Romana, L.J.; Horton, L.L.; McHargue, C.J.

    1991-01-01

    Analytical electron microscopy (AEM) and Rutherford backscattering spectroscopy-ion channeling (RBS-C) have been used to characterize single crystal α-silicon carbide implanted at room temperature with 160 keV 57 Fe ions to fluences of 1, 3, and 6 x 10 16 ions/cm 2 . Best correlations among AEM, RBS, and TRIM calculations were obtained assuming a density of the amorphized implanted regions equal to that of crystalline SiC. No iron-rich precipitates or clusters were detected by AEM. Inspection of the electron energy loss fine structure for iron in the implanted specimens suggests that the iron is not metallically-bonded, supporting conclusions from earlier conversion electron Moessbauer spectroscopy (CEMS) studies. In-situ annealing surprisingly resulted in crystallization at 600 degrees C with some redistribution of the implanted iron

  1. Estimated solar wind-implanted helium-3 distribution on the Moon

    Science.gov (United States)

    Johnson, J. R.; Swindle, T.D.; Lucey, P.G.

    1999-01-01

    Among the solar wind-implanted volatiles present in the lunar regolith, 3 He is possibly the most valuable resource because of its potential as a fusion fuel. The abundance of 3 He in the lunar regolith at a given location depends on surface maturity, the amount of solar wind fluence, and titanium content, because ilmenite (FeTiO3) retains helium much better than other major lunar minerals. Surface maturity and TiO2 maps from Clementine multispectral data sets are combined here with a solar wind fluence model to produce a 3He abundance map of the Moon. Comparison of the predicted 3He values to landing site observations shows good correlation. The highest 3He abundances occur in the farside maria (due to greater solar wind fluence received) and in higher TiO2 nearside mare regions.

  2. Implantation and annealing effects in molecular organic films

    CERN Document Server

    Pakhomov, G L; Shashkin, V I; Tura, J M; Ribo, J M; Ottaviano, L

    2002-01-01

    Ion implantation and annealing effects on the surface of phthalocyanine thin films have been studied by means of atomic force microscopy and electron spectroscopy for chemical analysis. Both the topology and the chemical composition of the surface are affected by irradiation. The influence of the irradiation dose is shown. The chemical degradation of the layer results mainly in the decrease of atomic concentration of nitrogen and chlorine, and in the increase of atomic concentration of oxygen. At highest dose, carbonization becomes important. Furthermore, N 1s, C 1s and Cl 2p core levels testify that the formation of new chemical species occurs in implanted pthalocyanine films. All these processes are modified by subsequent heat treatment in different ways, depending on the applied implantation fluence.

  3. Effect of ion-beam gettering on the GaAs transistor structure parameters under neutron irradiation

    International Nuclear Information System (INIS)

    Obolenskij, S.V.; Skupov, V.D.

    2000-01-01

    It is established that the neutron irradiation negative effect on the parameters of the field transistors with the Schottky shut-off on the basis of the epitaxial gallium arsenide is essentially reduced when the argon ions are preliminary implanted into structure on the substrate side. The above effect is explained through remotely controlled gettering by ion irradiation of admixtures and defects in the transistor active areas related with origination of deep levels under the neutron fluence [ru

  4. H irradiation effects on the GaAs-like Raman modes in GaAs1-xNx/GaAs1-xNx:H planar heterostructures

    International Nuclear Information System (INIS)

    Giulotto, E.; Geddo, M.; Patrini, M.; Guizzetti, G.; Felici, M.; Capizzi, M.; Polimeni, A.; Martelli, F.; Rubini, S.

    2014-01-01

    The GaAs-like longitudinal optical phonon frequency in two hydrogenated GaAs 1-x N x /GaAs 1-x N x :H microwire heterostructures—with similar N concentration, but different H dose and implantation conditions—has been investigated by micro-Raman mapping. In the case of GaAs 0.991 N 0.009 wires embedded in barriers where GaAs-like properties are recovered through H irradiation, the phonon frequency in the barriers undergoes a blue shift with respect to the wires. In GaAs 0.992 N 0.008 wires embedded in less hydrogenated barriers, the phonon frequency exhibits an opposite behavior (red shift). Strain, disorder, phonon localization effects induced by H-irradiation on the GaAs-like phonon frequency are discussed and related to different types of N-H complexes formed in the hydrogenated barriers. It is shown that the red (blue) character of the frequency shift is related to the dominant N-2H (N-3H) type of complexes. Moreover, for specific experimental conditions, an all-optical determination of the uniaxial strain field is obtained. This may improve the design of recently presented devices that exploit the correlation between uniaxial stress and the degree of polarization of photoluminescence

  5. Nanocrystalline SnO2 formation by oxygen ion implantation in tin thin films

    Science.gov (United States)

    Kondkar, Vidya; Rukade, Deepti; Kanjilal, Dinakar; Bhattacharyya, Varsha

    2018-03-01

    Metallic tin thin films of thickness 100 nm are deposited on fused silica substrates by thermal evaporation technique. These films are implanted with 45 keV oxygen ions at fluences ranging from 5 × 1015 to 5 × 1016 ions cm-2. The energy of the oxygen ions is calculated using SRIM in order to form embedded phases at the film-substrate interface. Post-implantation, films are annealed using a tube furnace for nanocrystalline tin oxide formation. These films are characterized using x-ray diffraction, Raman spectroscopy, UV-vis spectroscopy and photoluminescence spectroscopy. XRD and Raman spectroscopy studies reveal the formation of single rutile phase of SnO2. The size of the nanocrystallites formed decreases with an increase in the ion fluence. The nanocrystalline SnO2 formation is also confirmed by UV-vis and photoluminescence spectroscopy.

  6. Semiconductor nanocrystals formed in SiO2 by ion implantation

    International Nuclear Information System (INIS)

    Zhu, J.G.; White, C.W.; Budai, J.D.; Withrow, S.P.; Chen, Y.

    1994-11-01

    Nanocrystals of group IV (Si, Ge and SiGe), III-V (GaAs), and II-VI (CdSe) semiconductor materials have been fabricated inside SiO 2 by ion implantation and subsequent thermal annealing. The microstructure of these nanocrystalline semiconductor materials has been studied by transmission electron microscopy (TEM). The nanocrystals form in near-spherical shape with random crystal orientations in amorphous SiO 2 . Extensive studies on the nanocrystal size distributions have been carried out for the Ge nanocrystals by changing the implantation doses and the annealing temperatures. Remarkable roughening of the nanocrystals occurs when the annealing temperature is raised over the melting temperature of the implanted semiconductor material. Strong red photoluminescence peaked around 1.67 eV has been achieved in samples with Si nanocrystals in SiO 2

  7. Annealing-induced Fe oxide nanostructures on GaAs

    OpenAIRE

    Lu, Y X; Ahmad, E; Xu, Y B; Thompson, S M

    2005-01-01

    We report the evolution of Fe oxide nanostructures on GaAs(100) upon pre- and post-growth annealing conditions. GaAs nanoscale pyramids were formed on the GaAs surface due to wet etching and thermal annealing. An 8.0-nm epitaxial Fe film was grown, oxidized, and annealed using a gradient temperature method. During the process the nanostripes were formed, and the evolution has been demonstrated using transmission and reflection high energy electron diffraction, and scanning electron microscopy...

  8. Imaging of tritium implanted into graphite

    International Nuclear Information System (INIS)

    Malinowski, M.E.; Causey, R.A.

    1988-01-01

    The extensive use of graphite in plasma-facing surfaces of tokamaks such as the Tokamak Fusion Test Reactor, which has planned tritium discharges, makes two-dimensional tritium detection techniques important in helping to determine torus tritium inventories. We have performed experiments in which highly oriented pyrolytic graphite (HOPG) samples were first tritium implanted with fluences of ∼10 16 T/cm 2 at energies approx. 0 C resulted in no discernible motion of tritium along the basal plane, but did show that significant desorption of the implanted tritium occurred. The current results indicate that tritium in quantities of 10 12 T/cm 2 in tritiated components could be readily detected by imaging at lower magnifications

  9. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  10. Carbon and metal-carbon implantations into tool steels for improved tribological performance

    Science.gov (United States)

    Hirvonen, J.-P.; Harskamp, F.; Torri, P.; Willers, H.; Fusari, A.; Gibson, N.; Haupt, J.

    1997-05-01

    The high-fluence implantation of carbon and dual implantations of metal-metalloid pairs into steels with different microstructures are briefly reviewed. A previously unexamined system, the implantation of Si and C into two kinds of tool steels, M3 and D2, have been studied in terms of microstructure and tribological performance. In both cases ion implantation transfers a surface into an amorphous layer. However, the tribological behavior of these two materials differs remarkably: in the case of ion-implanted M3 a reduction of wear in a steel pin is observed even at high pin loads, whereas in the case of ion-implanted D2 the beneficial effects of ion implantation were limited to the lowest pin load. The importance of an initial phase at the onset of sliding is emphasized and a number of peculiarities observed in ion-implanted M3 steel are discussed.

  11. Cell patterning on a glass surface by a mask-assisted ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Chan-Hee; Kim, Dong-Ki; Hwang, In-Tae; Lim, Youn-Mook; Kim, Hae-Kyoung; Nho, Young-Chang [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of); Choi, Jae-Hak [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of)], E-mail: jaehakchoi@kaeri.re.kr

    2009-04-15

    A simple patterning method of cells on a glass has been developed by using ion implantation. The glass was implanted through a pattern mask with 150 keV Ar ions in the absence or presence of oxygen. Surface properties of the ion-implanted glass were investigated by means of X-ray photoelectron spectroscopy, contact angle measurement and cell culture test. The results showed that more hydrophilic groups were formed on the glass surface implanted in the presence of oxygen. Thus, the glass surface implanted in the presence of oxygen showed lower contact angle compared with the glass surface implanted in the absence of oxygen. The cells were strongly adhered to and proliferated on the ion-implanted regions of the glass. The cell population was found to be the highest on the glass implanted at a fluence of 1 x 10{sup 16} ions/cm{sup 2} in the presence of oxygen.

  12. Neutron fluence spectrometry using disk activation

    International Nuclear Information System (INIS)

    Loevestam, Goeran; Hult, Mikael; Fessler, Andreas; Gasparro, Joel; Kockerols, Pierre; Okkinga, Klaas; Tagziria, Hamid; Vanhavere, Filip; Wieslander, J.S. Elisabeth

    2009-01-01

    A simple and robust detector for spectrometry of environmental neutrons has been developed. The technique is based on neutron activation of a series of different metal disks followed by low-level gamma-ray spectrometry of the activated disks and subsequent neutron spectrum unfolding. The technique is similar to foil activation but here the applied neutron fluence rates are much lower than usually in the case of foil activation. The detector has been tested in quasi mono-energetic neutron fields with fluence rates in the order of 1000-10000 cm -2 s -1 , where the obtained spectra showed good agreement with spectra measured using a Bonner sphere spectrometer. The detector has also been tested using an AmBe source and at a neutron fluence rate of about 40 cm -2 s -1 , again, a good agreement with the assumed spectrum was achieved

  13. Growth of InAs/InGaAs nanowires on GaAs(111)B substrates

    Energy Technology Data Exchange (ETDEWEB)

    Scholz, Sven; Schott, Ruediger; Ludwig, Arne; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany); Reuter, Dirk [Arbeitsgruppe fuer optoelektronische Materialien und Bauelemente, Universitaet Paderborn (Germany)

    2013-07-01

    To investigate the structure and behavior of individual 1D-quantum structures, so called nanowires, we have grown single localized Au seeded InAs/InGaAs nanowires on GaAs(111)B substrate by molecular beam epitaxy. The Au-seeds are implanted by focused ion beam (FIB) technology. We developed a AuGa-LMIS to avoid the beam spread induced by using a Wien-Filter, which allows us to reduce the spot size of the focused ion beam and as consequence the number of implanted ions necessary to seed a wire. At present the growth of InAs nanowires is not fully understood and we have been working on optimizing the process. We identified an optimal growth temperature and arsenic to indium ratio for nanowire growth. Further investigations also aim at analyzing the influence of the growth rates and growth directions. We studied the morphology of the nanowires by SEM imaging and the optical properties with photoluminescence spectroscopy.

  14. High energy iron ion implantation into sapphire

    International Nuclear Information System (INIS)

    Allen, W.R.; Pedraza, D.F.

    1990-01-01

    Sapphire specimens of c-axis orientation were implanted at room temperature with iron ions at energies of 1.2 and of 2 MeV to various fluences up to 8 x 10 16 cm -2 . The damage induced by the implantations was assessed by Rutherford backscattering spectroscopy in random and channeling geometries. Dechanneling in both sublattices was observed to saturate for all implantation conditions. Disorder in the aluminum sublattice was found to increase with depth at a significantly slower rate than in the oxygen sublattice. In the oxygen sublattice, a relative yield, χ, of 0.80 ± 0.11 was attained at a depth of 0.1 μm and remained constant up to the measured depth of 0.45 μm. In the aluminum sublattice, the disorder increased with depth and the dechanneling asymptotically approached χ =0.70 ± 0.04 at 0.45 μm. These results are discussed and compared with those for shallower Fe implantations obtained by other researchers

  15. Correlation between defect and magnetism of low energy Ar+9 implanted and un-implanted Zn0.95Mn0.05O thin films suitable for electronic application

    International Nuclear Information System (INIS)

    Neogi, S.K.; Midya, N.; Pramanik, P.; Banerjee, A.; Bhattacharyya, A.; Taki, G.S.; Krishna, J.B.M.; Bandyopadhyay, S.

    2016-01-01

    The structural, morphological, optical and magnetic properties of Ar +9 implanted 5 at% Mn doped ZnO films have been investigated to detect the correlation between ferromagnetism (FM) and defect. Sol–gel derived films were implanted with fluences 0 (un-implanted), 5×10 14 (low), 10 15 (intermediate) and 10 16 (high) ions/cm 2 . Rutherford back scattering (RBS), X-ray diffraction (XRD), atomic force microscope (AFM) and magnetic force microscope (MFM), UV–visible, photoluminescence and X-ray absorption spectroscopy (XAS) and superconducting quantum interference device vibrating sample magnetometer (SQUID VSM) were employed for investigation. XRD indicated single phase nature of the films. Absence of impurity phase has been confirmed from several other measurements also. Ion implantation induces a large concentration of point defects into the films as identified from optical study. All films exhibit intrinsic FM at room temperature (RT). The magnetization attains the maximum for the film implanted with fluence 10 16 ions/cm 2 with saturation magnetization (M S ) value 0.69 emu/gm at RT. Magnetic properties of the films were interpreted using bound magnetic polaron (BMP). BMP generated from the intrinsic exchange interaction of Mn 2+ ions and V Zn related defects actually controls the FM. The practical utility of these films in transparent spin electronic device has also been exhibited. - Highlights: • Synthesis of transparent 5 at% Mn doped ZnO films was done by sol-gel technique. • Defect induced intrinsic ferromagnetism was observed for Ar 9+ ion implanted films. • The maximum magnetization was attained for highest dose of Ar 9+ implantation. • Zn vacancy may favors intrinsic ferromagnetic ordering. • Intrinsic ferromagnetism was interpreted in terms of bound magnetic polaron model.

  16. Point defects in GaAs and other semiconductors

    International Nuclear Information System (INIS)

    Ehrhart, P.; Karsten, K.; Pillukat, A.

    1993-01-01

    In order to understand the properties of intrinsic point defects and their interactions at high defect concentrations GaAs wafers were irradiated at 4.5 K with 3 MeV electrons up to a dose of 4 · 10 19 e - /cm 2 . The irradiated samples were investigated by X-ray Diffraction and optical absorption spectroscopy. The defect production increases linearly with irradiation dose and characteristic differences are observed for the two sublattices. The Ga-Frenkel pairs are strongly correlated and are characterized by much larger lattice relaxations (V rel = 2--3 atomic volumes) as compared to the As-Frenkel pairs (V rel ∼1 at. vol.). The dominating annealing stage around 300 K is attributed to the mobility of the Ga interstitial atoms whereas the As-interstitial atoms can recombine with their vacancies only around 500 K. These results are compared to those for InP, ZnSe and Ge. Implications for the understanding of the damage after ion irradiation and implantation are discussed

  17. Silicon exfoliation by hydrogen implantation: Actual nature of precursor defects

    Energy Technology Data Exchange (ETDEWEB)

    Kuisseu, Pauline Sylvia Pokam, E-mail: pauline-sylvia.pokam-kuisseu@cnrs-orleans.fr [CEMHTI-CNRS, 3A, rue de la férollerie, 45071 Orléans (France); Pingault, Timothée; Ntsoenzok, Esidor [CEMHTI-CNRS, 3A, rue de la férollerie, 45071 Orléans (France); Regula, Gabrielle [IM2NP-CNRS-Université d’Aix-Marseille, Avenue Escadrille Normandie Niemen, 13397 Marseille (France); Mazen, Frédéric [CEA-Leti, MINATEC campus, 17, rue des Martyrs, 38054 Grenoble Cedex 9 (France); Sauldubois, Audrey [Université d’Orléans, rue de Chartres – Collegium ST, 45067 Orléans (France); Andreazza, Caroline [ICMN-CNRS-Université d’Orléans, 1b rue de la férollerie, 45071 Orléans (France)

    2017-06-15

    MeV energy hydrogen implantation in silicon followed by a thermal annealing is a very smart way to produce high crystalline quality silicon substrates, much thinner than what can be obtained by diamond disk or wire sawing. Using this kerf-less approach, ultra-thin substrates with thicknesses between 15 µm and 100 µm, compatible with microelectronic and photovoltaic applications are reported. But, despite the benefits of this approach, there is still a lack of fundamental studies at this implantation energy range. However, if very few papers have addressed the MeV energy range, a lot of works have been carried out in the keV implantation energy range, which is the one used in the smart-cut® technology. In order to check if the nature and the growth mechanism of extended defects reported in the widely studied keV implantation energy range could be extrapolated in the MeV range, the thermal evolution of extended defects formed after MeV hydrogen implantation in (100) Si was investigated in this study. Samples were implanted at 1 MeV with different fluences ranging from 6 × 10{sup 16} H/cm{sup 2} to 2 × 10{sup 17} H/cm{sup 2} and annealed at temperatures up to 873 K. By cross-section transmission electron microscopy, we found that the nature of extended defects in the MeV range is quite different of what is observed in the keV range. In fact, in our implantation conditions, the generated extended defects are some kinds of planar clusters of gas-filled lenses, instead of platelets as commonly reported in the keV energy range. This result underlines that hydrogen behaves differently when it is introduced in silicon at high or low implantation energy. The activation energy of the growth of these extended defects is independent of the chosen fluence and is between (0.5–0.6) eV, which is very close to the activation energy reported for atomic hydrogen diffusion in a perfect silicon crystal.

  18. Effect of He+ fluence on surface morphology and ion-irradiation induced defect evolution in 7075 aluminum alloys

    Science.gov (United States)

    Ni, Kai; Ma, Qian; Wan, Hao; Yang, Bin; Ge, Junjie; Zhang, Lingyu; Si, Naichao

    2018-02-01

    The evolution of microstructure for 7075 aluminum alloys with 50 Kev helium ions irradiation were studied by using optical microscopy (OM), scanning electron microscopy (SEM), x-ray diffraction (XRD) and transmission electron microscopy (TEM). The fluences of 1 × 1015, 1 × 1016 and 1 × 1017 ions cm-2 were selected, and irradiation experiments were conducted at room temperatures. The transmission process of He+ ions was simulated by using SRIM software, including distribution of ion ranges, energy losses and atomic displacements. Experimental results show that irradiated pits and micro-cracks were observed on irradiation sample surface, and the size of constituent particles (not including Mg2Si) decreased with the increasing dose. The x-ray diffraction results of the pair of peaks is better resolved in irradiated samples might indicate that the stressed structure consequence due to crystal defects (vacancies and interstitials) after He+ implantation. TEM observation indicated that the density of MgZn2 phase was significantly reduced after helium ion irradiation which is harmful to strength. Besides, the development of compressive stress produced a large amount of dislocation defects in the 1015 ions cm-2 sample. Moreover, higher fluence irradiation produced more dislocations in sample. At fluence of 1016 ions cm-2, dislocation wall formed by dislocation slip and aggregation in the interior of grains, leading to the refinement of these grains. As fluence increased to 1017 ions cm-2, dislocation loops were observed in pinned dislocation. Moreover, dislocation as effective defect sink, irradiation-induced vacancy defects aggregated to these sinks, and resulted in the formation of helium bubbles in dislocation.

  19. Neutron fluence spectrometry using disk activation

    Energy Technology Data Exchange (ETDEWEB)

    Loevestam, Goeran [EC-JRC-Institute for Reference Materials and Measurements (IRMM), Retieseweg 111, B-2440 Geel (Belgium)], E-mail: goeran.loevestam@ec.europa.eu; Hult, Mikael; Fessler, Andreas; Gasparro, Joel; Kockerols, Pierre; Okkinga, Klaas [EC-JRC-Institute for Reference Materials and Measurements (IRMM), Retieseweg 111, B-2440 Geel (Belgium); Tagziria, Hamid [EC-JRC-Institute for the Protection and the Security of the Citizen (IPSC), Via E. Fermi 1, I-21020 Ispra (Vatican City State, Holy See,) (Italy); Vanhavere, Filip [SCK-CEN, Boeretang, 2400 Mol (Belgium); Wieslander, J.S. Elisabeth [EC-JRC-Institute for Reference Materials and Measurements (IRMM), Retieseweg 111, B-2440 Geel (Belgium); Department of Physics, P.O. Box 35 (YFL), FIN-40014, University of Jyvaeskylae (Finland)

    2009-01-15

    A simple and robust detector for spectrometry of environmental neutrons has been developed. The technique is based on neutron activation of a series of different metal disks followed by low-level gamma-ray spectrometry of the activated disks and subsequent neutron spectrum unfolding. The technique is similar to foil activation but here the applied neutron fluence rates are much lower than usually in the case of foil activation. The detector has been tested in quasi mono-energetic neutron fields with fluence rates in the order of 1000-10000 cm{sup -2} s{sup -1}, where the obtained spectra showed good agreement with spectra measured using a Bonner sphere spectrometer. The detector has also been tested using an AmBe source and at a neutron fluence rate of about 40 cm{sup -2} s{sup -1}, again, a good agreement with the assumed spectrum was achieved.

  20. Photoluminescence in large fluence radiation irradiated space silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hisamatsu, Tadashi; Kawasaki, Osamu; Matsuda, Sumio [National Space Development Agency of Japan, Tsukuba, Ibaraki (Japan). Tsukuba Space Center; Tsukamoto, Kazuyoshi

    1997-03-01

    Photoluminescence spectroscopy measurements were carried out for silicon 50{mu}m BSFR space solar cells irradiated with 1MeV electrons with a fluence exceeding 1 x 10{sup 16} e/cm{sup 2} and 10MeV protons with a fluence exceeding 1 x 10{sup 13} p/cm{sup 2}. The results were compared with the previous result performed in a relative low fluence region, and the radiation-induced defects which cause anomalous degradation of the cell performance in such large fluence regions were discussed. As far as we know, this is the first report which presents the PL measurement results at 4.2K of the large fluence radiation irradiated silicon solar cells. (author)

  1. Recent results on implantation and permeation into fusion reactor materials

    Science.gov (United States)

    Anderl, R. A.; Holland, D. F.; Longhurst, G. R.; Struttman, D. A.

    This paper reports on implantation-driven permeation experiments that have been made for primary candidate alloy (PCA) and the ferritic steel HT-9 using deuterium ion beams from an accelerator. The results include measurements of the implantation flux and fluence dependence of the deuterium reemission and permeation for specimens heated to approximately 430(0)C. Simultaneous measurements of the ions sputtered from the specimen front surface with a secondary ion mass spectrometer provided some characterization of the surface condition throughout an experiment. For both materials, the permeation rate was lowered by the implantation process. However, the steady state permeation rate for HT-9 was found to be at least a factor of 5 greater than that for PCA.

  2. Surface morphologies of He-implanted tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Bannister, M.E., E-mail: bannisterme@ornl.gov [Physics Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831-6371 (United States); Meyer, F.W.; Hijazi, H. [Physics Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831-6371 (United States); Unocic, K.A.; Garrison, L.M.; Parish, C.M. [Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, TN (United States)

    2016-09-01

    Surface morphologies of tungsten surfaces, both polycrystalline and single-crystal [1 1 0], were investigated using SEM and FIB/SEM techniques after implantations at elevated surfaces temperatures (1200–1300 K) using well-characterized, mono-energetic He ion beams with a wide range of ion energies (218 eV–250 keV). Nanofuzz was observed on polycrystalline tungsten (PCW) following implantation of 100-keV He ions at a flux threshold of 0.9 × 10{sup 16} cm{sup −2} s{sup −1}, but not following 200-keV implantations with similar fluxes. No nanofuzz formation was observed on single-crystal [1 1 0] tungsten (SCW), despite fluxes exceeding those demonstrated previously to produce nanofuzz on polycrystalline tungsten. Pre-damaging the single-crystal tungsten with implanted C impurity interstitials did not significantly affect the surface morphologies resulting from the high-flux He ion implantations. The main factor leading to the different observed surface structures for the pristine and C-implanted single-crystal W samples appeared to be the peak He ion flux characterizing the different exposures. It was speculated that nanofuzz formation was not observed for any SCW target exposures because of increased incubation fluences required for such targets.

  3. Comparison of oxidation resistance of copper treated by beam-line ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    An Quanzhang; Li Liuhe; Hu Tao; Xin Yunchang; Fu, Ricky K.Y.; Kwok, D.T.K.; Cai Xun; Chu, Paul K.

    2009-01-01

    Copper which has many favorable properties such as low cost, high thermal and electrical conductivity, as well as easy fabrication and joining is one of the main materials in lead frames, interconnects, and foils in flexible circuits. Furthermore, copper is one of the best antibacterial materials. However, unlike aluminum oxide or chromium oxide, the surface copper oxide layer does not render sufficient protection against oxidation. In this work, in order to improve the surface oxidation resistance of Cu, Al and N were introduced into copper by plasma immersion ion implantation (PIII) and beam-line ion implantation (BII). The implantation fluences of Al and N were 2 x 10 17 ions cm -2 and 5 x 10 16 ions cm -2 , respectively. The implanted and untreated copper samples were oxidized in air at 260 deg. C for 1 h. The X-ray diffraction (XRD), scanning electron microscopy (SEM), as well as X-ray photoelectron spectroscopy (XPS) results indicate that both implantation methods can enhance the oxidation resistance of copper but to different extent. PIII is superior to BII in enhancing the oxidation resistance of copper. The effects and possible mechanisms are discussed.

  4. Endothelial cell adhesion to ion implanted polymers

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Y; Kusakabe, M [SONY Corp., Tokyo (Japan); Lee, J S; Kaibara, M; Iwaki, M; Sasabe, H [RIKEN (Inst. of Physical and Chemical Research), Saitama (Japan)

    1992-03-01

    The biocompatibility of ion implanted polymers has been studied by means of adhesion measurements of bovine aorta endothelial cells in vitro. The specimens used were polystyrene (PS) and segmented polyurethane (SPU). Na{sup +}, N{sub 2}{sup +}, O{sub 2}{sup +} and Kr{sup +} ion implantations were performed at an energy of 150 keV with fluences ranging from 1x10{sup 15} to 3x10{sup 17} ions/cm{sup 2} at room temperature. The chemical and physical structures of ion-implanted polymers have been investigated in order to analyze their tissue compatibility such as improvement of endothelial cell adhesion. The ion implanted SPU have been found to exhibit remarkably higher adhesion and spreading of endothelial cells than unimplanted specimens. By contrast, ion implanted PS demonstrated a little improvement of adhesion of cells in this assay. Results of FT-IR-ATR showed that ion implantation broke the original chemical bond to form new radicals such as OH, ....C=O, SiH and condensed rings. The results of Raman spectroscopy showed that ion implantation always produced a peak near 1500 cm{sup -1}, which indicated that these ion implanted PS and SPU had the same carbon structure. This structure is considered to bring the dramatic increase in the extent of cell adhesion and spreading to these ion implanted PS and SPU. (orig.).

  5. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  6. Electrode pattern design for GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyang; Yin Jianhua; Li Darang

    2011-01-01

    The sensitivities of betavoltaic batteries and photovoltaic batteries to series and parallel resistance are studied. Based on the study, an electrode pattern design principle of GaAs betavoltaic batteries is proposed. GaAs PIN junctions with and without the proposed electrode pattern are fabricated and measured under the illumination of 63 Ni. Results show that the proposed electrode can reduce the backscattering and shadowing for the beta particles from 63 Ni to increase the GaAs betavoltaic battery short circuit currents effectively but has little impact on the fill factors and ideal factors.

  7. Positron annihilation investigation and nuclear reaction analysis of helium and oxygen-implanted zirconia

    International Nuclear Information System (INIS)

    Grynszpan, R.I.; Saude, S.; Anwand, W.; Brauer, G.

    2005-01-01

    Since irradiation affects in-service properties of zirconia, we investigated the fluence dependence on production and thermal stability of defects induced by helium and oxygen-ion implantation in single crystals of yttria-fully-stabilized zirconia. In either case, depth profiling by slow positron implantation spectroscopy (SPIS) detects a distribution of vacancy-type defects peaking at 60% of the projected ion range R p . Owing to the saturation of positron-trapping occurring for low fluences, which depends on the ion mass, we could estimate a critical size of clusters ranging from 0.4 to 1.6 nm. The lack of SPIS-evidence of an open-volume excess at R p is explained by the presence of over-pressurized gas bubbles. This assumption is confirmed by Nuclear Reaction Analysis of 3 He concentration profiles, which shows that helium remains partly trapped at R p , even after annealing above 400 o C

  8. Optical properties of ion-implanted InP and GaAs: Selectivity-excited photoluminescence spectra

    International Nuclear Information System (INIS)

    Makita, Yunosuke; Yamada, Akimasa; Kimura, Shinji; Niki, Shigeru; Yoshinaga, Hiroshi; Matsumori, Tokue; Iida, Tsutomu; Uekusa, Ichiro

    1993-01-01

    Implantation of Mg+ ions was carried out into high purity InP grown by liquid encapsulated Czochralski method. Mg+ ion-implanted InP presented the formation of plural novel emissions with increasing Mg concentration, [Mg] in the low temperature photoluminescence spectra. Selectively-excited photoluminescence (SPL) measurements were made to examine the features of two-hole replicas pertinent to the emissions of excitons bound to neutral Mg and residual Zn acceptors. Systematic variation of the emission intensities from the two types of two-hole replicas was found to be utilized for the evaluation of ion-implanted materials. The significant discrepancy of emission spectra between PL and SPL was attributed to the difference of the depth examined by using the excitation light with high and low absorption coefficient. The results revealed that the diffusion of ion-implanted Mg is extremely enhanced when [Mg] exceeds 1x10 17 cm -3

  9. Formation of radiative centers in SiO2 by tin high-dose implantation

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Mil'chanin, O.V.; Mokhovikov, M.A.; Wendler, E.; Wesch, W.

    2013-01-01

    The structural transformations in SiO 2 layers implanted with high fluence of Sn ions have been investigated. It has been found that post-implantation annealing results in the β-Sn precipitation as well as the formation of SnO 2 -enriched regions in SiO 2 :Sn matrix. The intensive emission in the range of photon energies 1.5 – 3.5 eV is registered for the implanted and annealed samples. We attribute it to the oxygen deficiency centers created in the SiO 2 :Sn matrix and at the 'nanocluster/SiO 2 ' interfaces. (authors)

  10. Effect of Xe ion (167 MeV) irradiation on polycrystalline SiC implanted with Kr and Xe at room temperature

    International Nuclear Information System (INIS)

    Hlatshwayo, T T; Kuhudzai, R J; Njoroge, E G; Malherbe, J B; O’Connell, J H; Skuratov, V A; Msimanga, M

    2015-01-01

    The effect of swift heavy ion (Xe 167 MeV) irradiation on polycrystalline SiC individually implanted with 360 keV Kr and Xe ions at room temperature to fluences of 2  ×  10 16 cm −2 and 1  ×  10 16 cm −2 respectively, was investigated using transmission electron microscopy (TEM), Raman spectroscopy and Rutherford backscattering spectrometry (RBS). Implanted specimens were each irradiated with 167 MeV Xe +26 ions to a fluence of 8.3  ×  10 14 cm −2 at room temperature. It was observed that implantation of 360 keV Kr and Xe ions individually at room temperature amorphized the SiC from the surface up to a depth of 186 and 219 nm respectively. Swift heavy ion (SHI) irradiation reduced the amorphous layer by about 27 nm and 30 nm for the Kr and Xe samples respectively. Interestingly, the reduction in the amorphous layer was accompanied by the appearance of randomly oriented nanocrystals in the former amorphous layers after SHI irradiation in both samples. Previously, no similar nanocrystals were observed after SHI irradiations at electron stopping powers of 33 keV nm −1 and 20 keV nm −1 to fluences below 10 14 cm −2 . Therefore, our results suggest a fluence threshold for the formation of nanocrystals in the initial amorphous SiC after SHI irradiation. Raman results also indicated some annealing of radiation damage after swift heavy ion irradiation and the subsequent formation of small SiC crystals in the amorphous layers. No diffusion of implanted Kr and Xe was observed after swift heavy ion irradiation. (paper)

  11. Ferromagnetism and transport in Mn and Mg co-implanted GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Kulbachinskii, V A [Moscow State University, Low Temperature Physics Department, 119992, GSP-2, Moscow (Russian Federation); Gurin, P V [Moscow State University, Low Temperature Physics Department, 119992, GSP-2, Moscow (Russian Federation); Danilov, Yu A [Physico-Technical Research Institute, University of Nizhny Novgorod, 603950, Nizhny Novgorod (Russian Federation); Malysheva, E I [Physico-Technical Research Institute, University of Nizhny Novgorod, 603950, Nizhny Novgorod (Russian Federation); Horikoshi, Y [School of science and engineering, Waseda university, 3-4-1, Okubo, Tokyo 169-8555 (Japan); Onomitsu, K [School of science and engineering, Waseda university, 3-4-1, Okubo, Tokyo 169-8555 (Japan)

    2007-03-15

    We investigated the influence of Mn and Mg co-implantation accompanied by rapid thermal annealing on magnetic and galvanomagnetic properties of p-GaAs. We characterized the samples with SQUID magnetometry and magnetotransport measurements in the temperature interval 4.2 Kimplanted samples. Temperature dependences of resistance, magnetoresistance and Hall effect have been measured in the temperature range 4.2{<=}T{<=}300 K. The anomalous Hall effect is visible up to 195 K and shows influence of ferromagnetism of Ga{sub 1-x}Mn{sub x}As solid solution on galvanomagnetic properties of holes. Above this temperature, ferromagnetism survives due to the MnAs and Ga{sub 1-x}Mn{sub x} clusters. The magnetoresistance changes from colossal negative to enhanced positive with increasing temperature near T = 35 K.

  12. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in ``avalanche`` mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into ``avalanche`` mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  13. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  14. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1990-01-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential of GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into an avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large are (1 sq cm) and small area (<1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs., 11 figs.

  15. Subnanosecond photoconductive switching in GaAs

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in 'avalanche' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into 'avalanche' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (less than 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300-1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on, and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation.

  16. Trapping of deuterium in krypton-implanted nickel

    International Nuclear Information System (INIS)

    Frank, R.C.; McManus, S.P.; Rehn, L.E.; Baldo, P.

    1986-01-01

    Krypton ions with energy 600 keV were implanted in nickel to fluences of 2 x 10 16 cm -2 under three different conditions. Deuterium was subsequently introduced into the implanted regions by electrolysis at room temperature. After the diffusible deuterium was permitted to escape, the 2 H( 3 He, 1 H) 4 He nuclear reaction was used to analyze for the trapped deuterium during an isochronal annealing program. The region implanted at 100 0 C with no higher temperature anneal had the largest number of traps; the region implanted at 100 0 C and annealed for 100 min at 500 0 C had considerably less; the region implanted at 500 0 C had the least. Electron diffraction patterns confirmed the existence of solid crystalline krypton in all three regions. Transmission electron microscope studies revealed precipitates with an average diameter of 8 nm in the region implanted at 500 0 C. The two regions implanted at 100 0 C contained smaller precipitates. Trap binding enthalpies were obtained by math modeling. In addition to the traps with binding enthalpy of 0.55 eV reported earlier by other investigators for helium implanted in nickel, a smaller number of traps with binding enthalpies up to 0.83 eV were also found. The trapping of deuterium by various types of imperfections, including the solid krypton precipitates, is discussed

  17. Martensite transformation in antimony implanted stainless steel

    International Nuclear Information System (INIS)

    Johnson, E.; Littmark, U.; Johansen, A.; Christodoulides, C.

    1981-01-01

    The authors have used Rutherford backscattering analysis (RBS) and transmission electron microscopy (TEM) and diffraction to investigate austenitic stainless steel crystals implanted at room temperature with 80 keV Sb + ions to a fluence of 5 x 10 20 ions/m 2 , thus providing implantation with a heavy group V element. RBS channeling spectra from implanted crystals show a damage peak which approaches the height of the random level and therefore indicates a very high degree of disorder in the implanted layers. The distribution of the disorder extends to a depth 3-5 times the depth of the primary radiation damage. The Sb peaks under channeling as well as random conditions are indistinguishable, confirming that substitutionality during implantation is negligible. To establish the nature of the disorder which cannot be assessed from the RBS analysis alone, and in particular to assess whether an amorphous alloy is formed in the implanted layer as indicated from the RBS spectra, samples implanted under similar conditions were investigated in the TEM. Significant extra spots in the patterns can be ascribed to the presence of a radiation induced b.c.c. phase of martensitic origin. The result that a significant amount of martensite can be induced by antimony implantation seems to indicate that the main driving force for the transition is due to damage induced stress concentrations. (Auth.)

  18. Comprehensive fluence model for absolute portal dose image prediction

    International Nuclear Information System (INIS)

    Chytyk, K.; McCurdy, B. M. C.

    2009-01-01

    Amorphous silicon (a-Si) electronic portal imaging devices (EPIDs) continue to be investigated as treatment verification tools, with a particular focus on intensity modulated radiation therapy (IMRT). This verification could be accomplished through a comparison of measured portal images to predicted portal dose images. A general fluence determination tailored to portal dose image prediction would be a great asset in order to model the complex modulation of IMRT. A proposed physics-based parameter fluence model was commissioned by matching predicted EPID images to corresponding measured EPID images of multileaf collimator (MLC) defined fields. The two-source fluence model was composed of a focal Gaussian and an extrafocal Gaussian-like source. Specific aspects of the MLC and secondary collimators were also modeled (e.g., jaw and MLC transmission factors, MLC rounded leaf tips, tongue and groove effect, interleaf leakage, and leaf offsets). Several unique aspects of the model were developed based on the results of detailed Monte Carlo simulations of the linear accelerator including (1) use of a non-Gaussian extrafocal fluence source function, (2) separate energy spectra used for focal and extrafocal fluence, and (3) different off-axis energy spectra softening used for focal and extrafocal fluences. The predicted energy fluence was then convolved with Monte Carlo generated, EPID-specific dose kernels to convert incident fluence to dose delivered to the EPID. Measured EPID data were obtained with an a-Si EPID for various MLC-defined fields (from 1x1 to 20x20 cm 2 ) over a range of source-to-detector distances. These measured profiles were used to determine the fluence model parameters in a process analogous to the commissioning of a treatment planning system. The resulting model was tested on 20 clinical IMRT plans, including ten prostate and ten oropharyngeal cases. The model predicted the open-field profiles within 2%, 2 mm, while a mean of 96.6% of pixels over all

  19. Panel fabrication utilizing GaAs solar cells

    Science.gov (United States)

    Mardesich, N.

    1984-01-01

    The development of the GaAs solar cells for space applications is described. The activities in the fabrication of GaAs solar panels are outlined. Panels were fabricated while introducing improved quality control, soldering laydown and testing procedures. These panels include LIPS II, San Marco Satellite, and a low concentration panel for Rockwells' evaluation. The panels and their present status are discussed.

  20. Activation and thermal stability of ultra-shallow B+-implants in Ge

    DEFF Research Database (Denmark)

    Yates, B. R.; Darby, B. L.; Petersen, Dirch Hjorth

    2012-01-01

    The activation and thermal stability of ultra-shallow B+ implants in crystalline (c-Ge) and preamorphized Ge (PA-Ge) following rapid thermal annealing was investigated using micro Hall effect and ion beam analysis techniques. The residual implanted dose of ultra-shallow B+ implants in Ge...... from 5.0 × 1013 to 5.0 × 1015 cm-2 was studied using micro Hall effect measurements after annealing at 400-600 °C for 60 s. For both c-Ge and PA-Ge, a large fraction of the implanted dose is rendered inactive due to the formation of a presumable B-Ge cluster. The B lattice location in samples annealed...... was characterized using elastic recoil detection and was determined to correlate well with simulations with a dose loss of 23.2%, 21.4%, and 17.6% due to ion backscattering for 2, 4, and 6 keV implants in Ge, respectively. The electrical activation of ultra-shallow B+ implants at 2, 4, and 6 keV to fluences ranging...

  1. Preparation of GaAs photocathodes at low temperature

    International Nuclear Information System (INIS)

    Mulhollan, G.; Clendenin, J.; Tang, H.

    1996-10-01

    The preparation of an atomically clean surface is a necessary step in the formation of negative electron affinity (NEA) GaAs. Traditional methods to this end include cleaving, heat cleaning and epitaxial growth. Cleaving has the advantage of yielding a fresh surface after each cleave, but is limited to small areas and is not suitable for specialized structures. Heat cleaning is both simple and highly successful, so it is used as a preparation method in virtually all laboratories employing a NEA source on a regular basis. Due to its high cost and complexity, epitaxial growth of GaAs with subsequent in vacuo transfer is not a practical solution for most end users of GaAs as a NEA electron source. While simple, the heating cleaning process has a number of disadvantages. Here, a variety of cleaning techniques related to preparation of an atomically clean GaAs surface without heating to 600 C are discussed and evaluated

  2. Superconductivity and its pressure variation in GaAs

    International Nuclear Information System (INIS)

    Nirmala Louis, C.; Jayam, Sr. Gerardin; Amalraj, A.

    2005-01-01

    The electronic band structure, metallization, phase transition and superconducting transition of gallium arsenide under pressure are studied using TB-LMTO method. Metallization occurs via indirect closing of band gap between Γ and X points. GaAs becomes superconductor under high pressure but before that it undergoes structural phase transition from ZnS phase to NaCl phase. The ground state properties are analyzed by fitting the calculated total energies to the Birch-Murnaghan's equation of state. The superconducting transition temperatures (T c ) obtained as a function of pressure for both the ZnS and NaCl structures and GaAs comes under the class of pressure induced superconductor. When pressure is increased T c increases in both the normal and high pressure structures. The dependence of T c on electron-phonon mass enhancement factor λ shows that GaAs is an electron-phonon-mediated superconductor. Also it is found that GaAs retained in their normal structure under high pressure give appreciably high T c . (author)

  3. TEM study of the nucleation of bubbles induced by He implantation in 316L industrial austenitic stainless steel

    International Nuclear Information System (INIS)

    Jublot-Leclerc, S.; Lescoat, M.-L.; Fortuna, F.; Legras, L.; Li, X.; Gentils, A.

    2015-01-01

    10 keV He ions were implanted in-situ in a TEM into thin foils of 316L industrial austenitic stainless steel at temperatures ranging from 200 to 550 °C. As a result, overpressurized nanometric bubbles are created with density and size depending strongly on both the temperature and fluence of implantation. An investigation on their nucleation and growth is reported through a rigorous statistical analysis whose procedure, including the consideration of free surface effects, is detailed. In the parameter range considered, the results show that an increase of fluence promotes both the nucleation and growth of the bubbles whilst an increase of temperature enhances the growth of the bubbles at the expense of their nucleation. The confrontation of resulting activation energies with existing models for bubble nucleation enables the identification of the underlying mechanisms. In spite of slight differences resulting from different conditions of implantation among which the He concentration, He production rate and He/dpa ratio, it appears that the dominating mechanisms are the same as those obtained in metals in previous studies, which, in addition to corroborating literature results, shows the suitability of in-situ TEM experiments to simulate the production of helium in nuclear materials. - Highlights: • A rigorous TEM statistical analysis, including free surface effects, is reported. • Increasing He fluence promotes both the nucleation and growth of bubbles. • Increasing implantation temperature enhances the growth of bubbles. • Activation energies describing the evolution of the bubble population are obtained. • A He diffusion controlled nucleation through a replacement mechanism is suggested.

  4. Correlation between defect and magnetism of low energy Ar{sup +9} implanted and un-implanted Zn{sub 0.95}Mn{sub 0.05}O thin films suitable for electronic application

    Energy Technology Data Exchange (ETDEWEB)

    Neogi, S.K.; Midya, N. [Department of Physics, University of Calcutta, 92 APC Road, Kolkata 700009 (India); Pramanik, P. [Institute of RadioPhysics and Electronics, University of Calcutta, 92 A.P.C. Road, Kolkata 700009 (India); CRNN, University of Calcutta, JB Block, Sector III, Salt Lake, Kolkata 700098 (India); Banerjee, A. [Department of Physics, University of Calcutta, 92 APC Road, Kolkata 700009 (India); CRNN, University of Calcutta, JB Block, Sector III, Salt Lake, Kolkata 700098 (India); Bhattacharyya, A. [Institute of RadioPhysics and Electronics, University of Calcutta, 92 A.P.C. Road, Kolkata 700009 (India); Taki, G.S. [Variable Energy Cyclotron Centre, 1/AF, Salt Lake, Kolkata 700064 (India); Krishna, J.B.M. [UGC DAE CSR, Kolkata Centre, LB 8, Sector III, Salt Lake, Kolkata 700098 (India); Bandyopadhyay, S., E-mail: sbaphy@caluniv.ac.in [Department of Physics, University of Calcutta, 92 APC Road, Kolkata 700009 (India); CRNN, University of Calcutta, JB Block, Sector III, Salt Lake, Kolkata 700098 (India)

    2016-06-15

    The structural, morphological, optical and magnetic properties of Ar{sup +9} implanted 5 at% Mn doped ZnO films have been investigated to detect the correlation between ferromagnetism (FM) and defect. Sol–gel derived films were implanted with fluences 0 (un-implanted), 5×10{sup 14} (low), 10{sup 15} (intermediate) and 10{sup 16} (high) ions/cm{sup 2}. Rutherford back scattering (RBS), X-ray diffraction (XRD), atomic force microscope (AFM) and magnetic force microscope (MFM), UV–visible, photoluminescence and X-ray absorption spectroscopy (XAS) and superconducting quantum interference device vibrating sample magnetometer (SQUID VSM) were employed for investigation. XRD indicated single phase nature of the films. Absence of impurity phase has been confirmed from several other measurements also. Ion implantation induces a large concentration of point defects into the films as identified from optical study. All films exhibit intrinsic FM at room temperature (RT). The magnetization attains the maximum for the film implanted with fluence 10{sup 16} ions/cm{sup 2} with saturation magnetization (M{sub S}) value 0.69 emu/gm at RT. Magnetic properties of the films were interpreted using bound magnetic polaron (BMP). BMP generated from the intrinsic exchange interaction of Mn{sup 2+} ions and V{sub Zn} related defects actually controls the FM. The practical utility of these films in transparent spin electronic device has also been exhibited. - Highlights: • Synthesis of transparent 5 at% Mn doped ZnO films was done by sol-gel technique. • Defect induced intrinsic ferromagnetism was observed for Ar{sup 9+} ion implanted films. • The maximum magnetization was attained for highest dose of Ar{sup 9+} implantation. • Zn vacancy may favors intrinsic ferromagnetic ordering. • Intrinsic ferromagnetism was interpreted in terms of bound magnetic polaron model.

  5. Structural and optical properties of vapor-etched porous GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Smida, A.; Laatar, F. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Hassen, M., E-mail: mhdhassen@yahoo.fr [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Applied Science and Technology of Sousse, City Taffala (Ibn Khaldun), 4003 Sousse (Tunisia); Ezzaouia, H. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2016-08-15

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO{sub 3} as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  6. Structural and optical properties of vapor-etched porous GaAs

    International Nuclear Information System (INIS)

    Smida, A.; Laatar, F.; Hassen, M.; Ezzaouia, H.

    2016-01-01

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO 3 as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  7. Co+ -ion implantation induced doping of nanocrystalline CdS thin films: structural, optical, and vibrational properties

    International Nuclear Information System (INIS)

    Chandramohan, S.; Sarangi, S.N.; Majumder, S.; Som, T.; Kanjilal, A.; Sathyamoorthy, R.

    2009-01-01

    Full text: Transition metal (Mn, Fe, Co and Ni) doped CdS nanostructures and nanocrystalline thin films have attracted much attention due to their anticipated applications in magneto-optical, non-volatile memory and future spintronics devices. Introduction of impurities in substitutional positions is highly desirable for such applications. Ion implantation is known to provide many advantages over conventional methods for efficient doping and possibility of its seamless integration with device processing steps. It is not governed by equilibrium thermodynamics and offers the advantages of high spatial selectivity and to overcome the solubility limits. In this communication, we report on modifications of structural morphological, optical, and vibrational properties of 90 keV Co + -ion implanted CdS thin films grown by thermal evaporation. Co + -ion implantation was performed in the fluence range of 0.1-3.6x10 16 ions cm -2 These fluences correspond to Co concentration in the range of 0.34-10.8 at % at the peak position of profile. Implantation was done at an elevated temperature of 573 K in order to avoid amorphization and to enhance the solubility of Co ions in the CdS lattice. Films were characterized by glancing angle X-ray diffraction (GAXRD), atomic force microscopy (AFM), optical absorption, and micro-Raman spectroscopy. Implantation does not lead to any secondary phase formation either in the form of impurity or the metallic clusters. However, implantation improves the crystalline quality of the samples and leads to supersaturation of Co ions in the CdS lattice. Thus, nanocrystalline CdS thin films can be considered as a good radiation- resistant material, which can be employed for prolonged use in solar cells for space applications. The optical band gap is found to decrease systematically with increasing ion fluence from 2.39 to 2.28 eV. Implantation leads to agglomeration of grains and a systematic increase in the surface roughness. Both GAXRD and micro

  8. Damage and in-situ annealing during ion implantation

    International Nuclear Information System (INIS)

    Sadana, D.K.; Washburn, J.; Byrne, P.F.; Cheung, N.W.

    1982-11-01

    Formation of amorphous (α) layers in Si during ion implantation in the energy range 100 keV-11 MeV and temperature range liquid nitrogen (LN)-100 0 C has been investigated. Cross-sectional transmission electron microscopy (XTEM) shows that buried amorphous layers can be created for both room temperature (RT) and LN temperature implants, with a wider 100 percent amorphous region for the LN cooled case. The relative narrowing of the α layer during RT implantation is attributed to in-situ annealing. Implantation to the same fluence at temperatures above 100 0 C does not produce α layers. To further investigate in situ annealing effects, specimens already containing buried α layers were further irradiated with ion beams in the temperature range RT-400 0 C. It was found that isolated small α zones (less than or equal to 50 diameter) embedded in the crystalline matrix near the two α/c interfaces dissolved into the crystal but the thickness of the 100 percent α layer was not appreciably affected by further implantation at 200 0 C. A model for in situ annealing during implantation is presented

  9. Surface modification of the titanium implant using TEA CO2 laser pulses in controllable gas atmospheres - Comparative study

    International Nuclear Information System (INIS)

    Ciganovic, J.; Stasic, J.; Gakovic, B.; Momcilovic, M.; Milovanovic, D.; Bokorov, M.; Trtica, M.

    2012-01-01

    Interaction of a TEA CO 2 laser, operating at 10.6 μm wavelength and pulse duration of 100 ns (FWHM), with a titanium implant in various gas atmospheres was studied. The Ti implant surface modification was typically studied at the moderate laser beam energy density/fluence of 28 J/cm 2 in the surrounding of air, N 2 , O 2 or He. The energy absorbed from the TEA CO 2 laser beam is partially converted to thermal energy, which generates a series of effects, such as melting, vaporization of the molten material, shock waves, etc. The following titanium implant surface changes and phenomena were observed, depending on the gas used: (i) creation of cone-like surface structures in the atmospheres of air, N 2 and O 2 , and dominant micro-holes/pores in He ambient; (ii) hydrodynamic features, most prominent in air; (iii) formation of titanium nitride and titanium oxide layers, and (iv) occurrence of plasma in front of the implant. It can be concluded from this study that the reported laser fluence and gas ambiences can effectively be applied for enhancing the titanium implant roughness and creation of titanium oxides and nitrides on the strictly localized surface area. The appearance of plasma in front of the implants indicates relatively high temperatures created above the surface. This offers a sterilizing effect, facilitating contaminant-free conditions.

  10. Comparisons of single event vulnerability of GaAs SRAMS

    Science.gov (United States)

    Weatherford, T. R.; Hauser, J. R.; Diehl, S. E.

    1986-12-01

    A GaAs MESFET/JFET model incorporated into SPICE has been used to accurately describe C-EJFET, E/D MESFET and D MESFET/resistor GaAs memory technologies. These cells have been evaluated for critical charges due to gate-to-drain and drain-to-source charge collection. Low gate-to-drain critical charges limit conventional GaAs SRAM soft error rates to approximately 1E-6 errors/bit-day. SEU hardening approaches including decoupling resistors, diodes, and FETs have been investigated. Results predict GaAs RAM cell critical charges can be increased to over 0.1 pC. Soft error rates in such hardened memories may approach 1E-7 errors/bit-day without significantly reducing memory speed. Tradeoffs between hardening level, performance and fabrication complexity are discussed.

  11. Fluence complexity for IMRT field and simplification of IMRT verification

    International Nuclear Information System (INIS)

    Hanushova, Tereza; Vondarchek, Vladimir

    2013-01-01

    Intensity Modulated Radiation Therapy (IMRT) requires dosimetric verification of each patient’s plan, which is time consuming. This work deals with the idea of minimizing the number of fields for control, or even replacing plan verification by machine quality assurance (QA). We propose methods for estimation of fluence complexity in an IMRT field based on dose gradients and investigate the relation between results of gamma analysis and this quantity. If there is a relation, it might be possible to only verify the most complex field of a plan. We determine the average fluence complexity in clinical fields and design a test fluence corresponding to this amount of complexity which might be used in daily QA and potentially replace patient-related verification. Its applicability is assessed in clinical practice. The relation between fluence complexity and results of gamma analysis has been confirmed for plans but not for single fields. There is an agreement between the suggested test fluence and clinical fields in the average gamma parameter. A critical value of average gamma has been specified for the test fluence as a criterion for distinguishing between poorly and well deliverable plans. It will not be possible to only verify the most complex field of a plan but verification of individual plans could be replaced by a morning check of the suggested test fluence, together with a well-established set of QA tests. (Author)

  12. Emission of circularly polarized recombination radiation from p-doped GaAs and GaAs0.62P0.38 under the impact of polarized electrons

    International Nuclear Information System (INIS)

    Fromme, B.; Baum, G.; Goeckel, D.; Raith, W.

    1989-01-01

    Circularly polarized light is emitted in radiative transitions of polarized electrons from the conduction to the valence band in GaAs or GaAs 1-x P x crystals. The degree of light polarization is directly related to the polarization of the conduction-band electrons at the instant of recombination and allows conclusions about the depolarization of electrons in the conduction band. The depolarization is caused by spin-relaxation processes. The efficiency of these processes depends on crystal type, crystal temperature, degree of doping, and kinetic energy of the electrons. Highly p-doped GaAs and GaAs 0.62 P 0.38 crystals (N A >1x10 19 atoms/cm 3 ) were bombarded with polarized electrons (initial polarization 38%), and the spectral distribution and the circular polarization of the emitted recombination radiation were measured. The initial kinetic energy of the electrons in the conduction band was varied between 5 and 1000 eV. The measurements of the spectral distribution show that the electrons are thermalized before recombination occurs, independent of their initial energy. An important thermalization process in this energy range is the excitation of crystal electrons by electron-hole pair creation. The circular polarization of the recombination radiation lies below 1% in the whole energy range. It decreases with increasing electron energy but is still of measurable magnitude at 100 eV in the case of GaAs 0.62 P 0.38 . The circular polarization is smaller for GaAs than for GaAs 0.62 P 0.38 , which we attribute to more efficient spin relaxation in GaAs

  13. Structural Changes in Polymer Films by Fast Ion Implantation

    Science.gov (United States)

    Parada, M. A.; Minamisawa, R. A.; Muntele, C.; Muntele, I.; De Almeida, A.; Ila, D.

    2006-11-01

    In applications from food wrapping to solar sails, polymers films can be subjected to intense charged panicle bombardment and implantation. ETFE (ethylenetetrafluoroethylene) with high impact resistance is used for pumps, valves, tie wraps, and electrical components. PFA (tetrafluoroethylene-per-fluoromethoxyethylene) and FEP (tetrafluoroethylene-hexa-fluoropropylene) are sufficiently biocompatible to be used as transcutaneous implants since they resist damage from the ionizing space radiation, they can be used in aerospace engineering applications. PVDC (polyvinyllidene-chloride) is used for food packaging, and combined with others plastics, improves the oxygen barrier responsible for the food preservation. Fluoropolymers are also known for their radiation dosimetry applications, dependent on the type and energy of the radiation, as well as of the beam intensity. In this work ETFE, PFA, FEP and PVDC were irradiated with ions of keV and MeV energies at several fluences and were analyzed through techniques as RGA, OAP, FTIR, ATR and Raman spectrophotometry. CF3 is the main specie emitted from PFA and FEP when irradiated with MeV protons. H and HF are released from ETFE due to the broken C-F and C-H bonds when the polymer is irradiated with keV Nitrogen ions and protons. At high fluence, especially for keV Si and N, damage due to carbonization is observed with the formation of hydroperoxide and polymer dehydroflorination. The main broken bonds in PVDC are C-O and C-Cl, with the release of Cl and the formation of double carbon bonds. The ion fluence that causes damage, which could compromise fluoropolymer film applications, has been determined.

  14. Heavy doping of CdTe single crystals by Cr ion implantation

    Science.gov (United States)

    Popovych, Volodymyr D.; Böttger, Roman; Heller, Rene; Zhou, Shengqiang; Bester, Mariusz; Cieniek, Bogumil; Mroczka, Robert; Lopucki, Rafal; Sagan, Piotr; Kuzma, Marian

    2018-03-01

    Implantation of bulk CdTe single crystals with high fluences of 500 keV Cr+ ions was performed to achieve Cr concentration above the equilibrium solubility limit of this element in CdTe lattice. The structure and composition of the implanted samples were studied using secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) analysis, X-ray diffraction (XRD) and Rutherford backscattering spectrometry (RBS) to characterize the incorporation of chromium into the host lattice and to investigate irradiation-induced damage build-up. It was found that out-diffusion of Cr atoms and sputtering of the targets alter the depth distribution and limit concentration of the projectile ions in the as-implanted samples. Appearance of crystallographically oriented, metallic α-Cr nanoparticles inside CdTe matrix was found after implantation, as well as a strong disorder at the depth far beyond the projected range of the implanted ions.

  15. Ion implantation effects in single crystal Si investigated by Raman spectroscopy

    International Nuclear Information System (INIS)

    Harriman, T.A.; Lucca, D.A.; Lee, J.-K.; Klopfstein, M.J.; Herrmann, K.; Nastasi, M.

    2009-01-01

    A study of the effects of Ar ion implantation on the structural transformation of single crystal Si investigated by confocal Raman spectroscopy is presented. Implantation was performed at 77 K using 150 keV Ar ++ with fluences ranging from 2 x 10 13 to 1 x 10 15 ions/cm 2 . The Raman spectra showed a progression from crystalline to highly disordered structure with increasing fluence. The 520 cm -1 c-Si peak was seen to decrease in intensity, broaden and exhibit spectral shifts indicating an increase in lattice disorder and changes in the residual stress state. In addition, an amorphous Si band first appeared as a shoulder on the 520 cm -1 peak and then shifted to lower wavenumbers as a single broadband peak with a spectral center of 465 cm -1 . Additionally, the emergence of the a-Si TA phonon band and the decrease of the c-Si 2TA and 2TO phonon bands also indicated the same structural transition from crystalline to highly disordered. The Raman results were compared to those obtained by channeling RBS.

  16. Femtosecond pulsed laser ablation of GaAs

    International Nuclear Information System (INIS)

    Trelenberg, T.W.; Dinh, L.N.; Saw, C.K.; Stuart, B.C.; Balooch, M.

    2004-01-01

    The properties of femtosecond-pulsed laser deposited GaAs nanoclusters were investigated. Nanoclusters of GaAs were produced by laser ablating a single crystal GaAs target in vacuum or in a buffer gas using a Ti-sapphire laser with a 150 fs minimum pulse length. For in-vacuum deposition, X-ray diffraction (XRD), scanning electron microscopy (SEM), and atomic force microscopy (AFM) revealed that the average cluster size was approximately 7 nm for laser pulse lengths between 150 fs and 25 ps. The average cluster size dropped to approximately 1.5 nm at a pulse length of 500 ps. It was also observed that film thickness decreased with increasing laser pulse length. A reflective coating, which accumulated on the laser admission window during ablation, reduced the amount of laser energy reaching the target for subsequent laser shots and developed more rapidly at longer pulse lengths. This observation indicates that non-stoichiometric (metallic) ablatants were produced more readily at longer pulse lengths. The angular distribution of ejected material about the target normal was well fitted to a bi-cosine distribution of cos 47 θ+ cos 4 θ for ablation in vacuum using 150 fs pulses. XPS and AES revealed that the vacuum-deposited films contained excess amorphous Ga or As in addition to the stoichiometric GaAs nanocrystals seen with XRD. However, films containing only the GaAs nanocrystals were produced when ablation was carried out in the presence of a buffer gas with a pressure in excess of 6.67 Pa. At buffer gas pressure on the order of 1 Torr, it was found that the stoichiometry of the ablated target was also preserved. These experiments indicate that both laser pulse length and buffer gas pressure play important roles in the formation of multi-element nanocrystals by laser ablation. The effects of gas pressure on the target's morphology and the size of the GaAs nanocrystals formed will also be discussed

  17. Subcutaneous Photovoltaic Infrared Energy Harvesting for Bio-Implantable Devices.

    Science.gov (United States)

    Moon, Eunseong; Blaauw, David; Phillips, Jamie D

    2017-05-01

    Wireless biomedical implantable devices on the mm-scale enable a wide range of applications for human health, safety, and identification, though energy harvesting and power generation are still looming challenges that impede their widespread application. Energy scavenging approaches to power biomedical implants have included thermal [1-3], kinetic [4-6], radio-frequency [7-11] and radiative sources [12-14]. However, the achievement of efficient energy scavenging for biomedical implants at the mm-scale has been elusive. Here we show that photovoltaic cells at the mm-scale can achieve a power conversion efficiency of more than 17 % for silicon and 31 % for GaAs under 1.06 μW/mm 2 infrared irradiation at 850 nm. Finally, these photovoltaic cells demonstrate highly efficient energy harvesting through biological tissue from ambient sunlight, or irradiation from infrared sources such as used in present-day surveillance systems, by utilizing the near infrared (NIR) transparency window between the 650 nm and 950 nm wavelength range [15-17].

  18. Investigation of microstructure and properties of ultrathin graded ZrNx self-assembled diffusion barrier in deep nano-vias prepared by plasma ion immersion implantation

    Science.gov (United States)

    Zou, Jianxiong; Liu, Bo; Lin, Liwei; Lu, Yuanfu; Dong, Yuming; Jiao, Guohua; Ma, Fei; Li, Qiran

    2018-01-01

    Ultrathin graded ZrNx self-assembled diffusion barriers with controllable stoichiometry was prepared in Cu/p-SiOC:H interfaces by plasma immersion ion implantation (PIII) with dynamic regulation of implantation fluence. The fundamental relationship between the implantation fluence of N+ and the stoichiometry and thereby the electrical properties of the ZrNx barrier was established. The optimized fluence of a graded ZrN thin film with gradually decreased Zr valence was obtained with the best electrical performance as well. The Cu/p-SiOC:H integration is thermally stable up to 500 °C due to the synergistic effect of Cu3Ge and ZrNx layers. Accordingly, the PIII process was verified in a 100-nm-thick Cu dual-damascene interconnect, in which the ZrNx diffusion barrier of 1 nm thick was successfully self-assembled on the sidewall without barrier layer on the via bottom. In this case, the via resistance was reduced by approximately 50% in comparison with Ta/TaN barrier. Considering the results in this study, ultrathin ZrNx conformal diffusion barrier can be adopted in the sub-14 nm technology node.

  19. Characterisation of hole traps in GaAs Fets by DLTS, low frequency noise and g sub M dispersion methods

    International Nuclear Information System (INIS)

    Iqbal, M.A.; Kaya, L.; Jones, B.K.

    1997-01-01

    Deep level effects in GaAs MOSFET have been characterised in the ohmic channel using DLTS, low frequency excess noise and dispersion technique. An isothermal multi exponential curve fitting method has been devised and implanted into the DLTS system. Multi exponential curve fitting method used to decompose a multi exponential transient into its constituents so that the peak signature can be better characterised for the case whereas several peaks are closely spaced. Low frequency excess noise and dispersion techniques also confirm the trap in signature of the same traps observed in the DLTS measurements. (author)

  20. Development of GaAs Detectors for Physics at the LHC

    CERN Multimedia

    Chu, Zhonghua; Krais, R; Rente, C; Syben, O; Tenbusch, F; Toporowsky, M; Xiao, Wenjiang; Cavallini, A; Fiori, F; Edwards, M; Geppert, R; Goppert, R; Haberla, C; Hornung, M F; Irsigler, R; Rogalla, M; Beaumont, S; Raine, C; Skillicorn, I; Margelevicius, J; Meshkinis, S; Smetana, S; Jones, B; Santana, J; Sloan, T; Zdansky, K; Alexiev, D; Donnelly, I J; Canali, C; Chiossi, C; Nava, F; Pavan, P; Kubasta, J; Tomiak, Z; Tchmil, V; Tchountonov, A; Tsioupa, I; Dogru, M; Gray, R; Hou, Yuqian; Manolopoulos, S; Walsh, S; Aizenshtadt, G; Budnitsky, D L; Gossen, A; Khludkov, S; Koretskaya, O B; Okaevitch, L; Potapov, A; Stepanov, V E; Tolbanov, O; Tyagev, A; Matulionis, A; Pozela, J; Kavaliauskiene, G; Kazukauskas, V; Kiliulis, R; Rinkevicius, V; Slenys, S; Storasta, J V

    2002-01-01

    % RD-8 Development of GaAs Detectors for Physics at the LHC \\\\ \\\\The aims of the collaboration are to investigate the available material options, performance and limitations of simple pad, pixel and microstrip GaAs detectors for minimum ionising particles with radiation hardness and speed which are competitive with silicon detectors. This new technology was originally developed within our university laboratories but now benefits from increasing industrial interest and collaboration in detector fabrication. Initial steps have also been taken towards the fabrication of GaAs preamplifiers to match the detectors in radiation hardness. The programme of work aims to construct a demonstration detector module for an LHC forward tracker based on GaAs.

  1. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  2. Blistering in alloy Ti–6Al–4V from H + ion implantation

    Indian Academy of Sciences (India)

    6Al–4V, was studied, following H+ ion implantation of 150 keV and 250 keV energy to fluence of 2.6 × 1018 cm-2 and 2.5 × 1019 cm-2, respectively at ambient temperature. No detectable change was observed in surface features of either of the ...

  3. Spectral fluence of neutrons generated by radiotherapeutic Linacs

    International Nuclear Information System (INIS)

    Kralik, Miloslav; Solc, Jaroslav; Smoldasova, Jana; Vondracek, Vladimir; Farkasova, Estera; Ticha, Ivana

    2015-01-01

    Spectral fluences of neutrons generated in the heads of the radiotherapeutic linacs Varian Clinac 2100 C/D and Siemens ARTISTE were measured by means of the Bonner spheres spectrometer whose active detector of thermal neutrons was replaced by an activation detector, i.e. a tablet made of pure manganese. Measurements with different collimator settings reveal an interesting dependence of neutron fluence on the area defined by the collimator jaws. The determined neutron spectral fluences were used to derive ambient dose equivalent rate along the treatment coach. To clarify at which components of the linac neutrons are mainly created, the measurements were complemented with MCNPX calculations based on a realistic model of the Varian Clinac. (authors)

  4. Determination of migration of ion-implanted helium in silica by proton backscattering spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Szakacs, G. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)], E-mail: szilagyi@rmki.kfki.hu; Paszti, F.; Kotai, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)

    2008-04-15

    Understanding the processes caused by ion implantation of light ions in dielectric materials such as silica is important for developing the diagnostic systems used in fusion and fission environments. Recently, it has been shown that ion-implanted helium is able to escape from SiO{sub 2} films. To study this process in details, helium was implanted into the central part of a buried SiO{sub 2} island up to a fluence of 4 x 10{sup 17} He/cm{sup 2}. The implanted helium could be detected in the SiO{sub 2} island, if the oxide was insulated properly from the vacuum. The shape of the helium depth distributions was far from SRIM simulation because helium distributed in the whole 1 {mu}m thick oxide layer. After the ion implantation, helium was observed only on the implanted spot. After nine months the implanted helium filled out the whole oxide island as it was expected from the high diffusivity.

  5. Determination of migration of ion-implanted helium in silica by proton backscattering spectrometry

    International Nuclear Information System (INIS)

    Szakacs, G.; Szilagyi, E.; Paszti, F.; Kotai, E.

    2008-01-01

    Understanding the processes caused by ion implantation of light ions in dielectric materials such as silica is important for developing the diagnostic systems used in fusion and fission environments. Recently, it has been shown that ion-implanted helium is able to escape from SiO 2 films. To study this process in details, helium was implanted into the central part of a buried SiO 2 island up to a fluence of 4 x 10 17 He/cm 2 . The implanted helium could be detected in the SiO 2 island, if the oxide was insulated properly from the vacuum. The shape of the helium depth distributions was far from SRIM simulation because helium distributed in the whole 1 μm thick oxide layer. After the ion implantation, helium was observed only on the implanted spot. After nine months the implanted helium filled out the whole oxide island as it was expected from the high diffusivity

  6. Depth concentrations of deuterium ions implanted into some pure metals and alloys

    International Nuclear Information System (INIS)

    Didyk, A.Yu.; Wisniewski, R.; Kitowski, K.; Wilczynska, T.; Hofman, A.; Kulikauskas, V.; Shiryaev, A.A.; Zubavichyus, Ya.V.

    2011-01-01

    Pure metals (Cu, Ti, Zr, V, Pd) and diluted Pd alloys (Pd-Ag, Pd-Pt, Pd-Ru, Pd-Rh) were implanted by 25-keV deuterium ions at fluences in the range (1.2-2.3) x 10 22 D + /m 2 . The post-treatment depth distributions of deuterium ions were measured 10 days and three months after the implantation by using Elastic Recoil Detection Analysis (ERDA) and Rutherford Backscattering (RBS). Comparison of the obtained results allowed us to make conclusions about relative stability of deuterium and hydrogen gases in pure metals and diluted Pd alloys. Very high diffusion rates of implanted deuterium ions from V and Pd pure metals and Pd alloys were observed. Small-angle X-ray scattering revealed formation of nanosized defects in implanted corundum and titanium

  7. Ion implantation induced martensite nucleation in SUS301 steel

    International Nuclear Information System (INIS)

    Kinoshita, Hiroshi; Takahashi, Heishichiro; Gustiono, Dwi; Sakaguchi, Norihito; Shibayama, Tamaki; Watanabe, Seiichi

    2007-01-01

    Phase transformation behaviors of the austenitic 301 stainless steel was studied under Fe + , Ti + and Ar + ions implantation at room temperature with 100, 200 and 300 keV up to fluence of 1x10 21 ions/m 2 and the microstructures were observed by means of transmission electron microscopy (TEM). The plane and cross-sectional observations of the implanted specimen showed that the induced-phases due to implantation from the γ matrix phase were identified as α' martensite phases with the orientation relationship of (11-bar0) α parallel (111-bar) γ and [111] α parallel [011] γ close to the Kurdjumov-Sachs (K-S). The ion implantation induced phases nucleated near the surface region and the depth position of the nucleation changed depending on the ion accelerating energy and ion species. It was also found that the induced marten sites phases nucleate under the influence of the stress distribution, which is introduced due to the concentration of implanted ions, especially due to the stress gradient caused by the corresponding concentration gradient. (author)

  8. Biphasic Fluence-Response Curves for Phytochrome-Mediated Kalanchoë Seed Germination 1

    Science.gov (United States)

    Rethy, Roger; Dedonder, Andrée; De Petter, Edwin; Van Wiemeersch, Luc; Fredericq, Henri; De Greef, Jan; Steyaert, Herman; Stevens, Hilde

    1987-01-01

    The fluence-response curves for the effect of two red pulses separated by 24 hours on the germination of Kalanchoe blossfeldiana Poelln. cv Vesuv seeds, incubated on gibberellic acid (GA3) are biphasic for suboptimal concentrations. The response in the low fluence range corresponds with a classical red/far-red reversible phytochrome mediated reaction. GA3 induces an additional response in the very low fluence range, which is also phytochrome mediated. The sensitivity to phytochrome-far-red absorbing form (Pfr), however, is increased about 20,000-fold, so that even far-red fluences become saturating. Both in the very low and low fluence response range, the maximal responses induced by saturating fluences are modulated by the GA3 concentration. GA3 having no direct influence on the phytochrome phototransformations, alters the Pfr requirement and determines the responding seed population fraction in the very low and low fluence range. The effet of GA3 appears to be on the transduction chain of the phytochrome signal. PMID:16665187

  9. Trapping of deuterium in argon-implanted nickel

    International Nuclear Information System (INIS)

    Frank, R.C.; Rehn, L.E.; Baldo, P.

    1985-01-01

    Argon ions with energy 250 keV were implanted at fluences of 2 x 10 16 cm -2 at temperatures of 500, 250, and 21 0 C, in the specimen of relatively pure polycrystalline nickel. Deuterium was introduced into the surface and implanted regions by making the specimen the negative electrode of an electrolytic cell containing 1-N pure deuterated sulfuric acid. Deuterium trapped in the vacancy complexes of the implanted regions was analyzed as a function of temperature using the vacancy complexes of the implanted regions was analyzed as a function of temperature using the 2 H( 3 He, 1 H) 4 He nuclear reaction during an isochronal annealing process. The results indicate that the types of traps and trap densities found in the regions implanted at 21 and 250 0 C were essentially identical while the trap density found in the region implanted at 500 0 C was approximately 40% of that found in the other regions. Math model comparison with the experimental results suggests the existence of at least two types of traps in each region. Trap binding enthalpies used in the math model to fit the experimental data were slightly higher for the region implanted with argon at 500 0 C than for the regions implanted at the lower temperatures. TEM studies revealed the presence of small voids in the region implanted at 500 0 as well as dislocation loops similar to those found in the regions implanted at the lower temperatures. 20 references, 2 figures

  10. Production and characterization of thin 7Li targets fabricated by ion implantation

    International Nuclear Information System (INIS)

    Cruz, J.; Fonseca, M.; Luis, H.; Mateus, R.; Marques, H.; Jesus, A.P.; Ribeiro, J.P.; Teodoro, O.M.N.D.; Rolfs, C.

    2009-01-01

    Very high fluence implantation of 7 Li + ions was used to promote the formation of a thin and high density 7 Li target in the surface region of Al samples. The implanted volume was characterized by particle induced gamma-ray emission, Rutherford backscattering spectrometry, X-ray photoelectron spectroscopy and nuclear reaction analysis, revealing that the implanted surface is a combination of Li 2 CO 3 , metallic lithium, LiOH and C, with almost no Al present. Radiation damage effects by proton beams were studied by observing the evolution of the 7 Li(p, α) 4 He nuclear reaction yield with the accumulated charge, at different proton energies, revealing high stability of the produced Li target.

  11. 35-kV GaAs subnanosecond photoconductive switches

    Science.gov (United States)

    Pocha, Michael D.; Druce, Robert L.

    1990-12-01

    High-voltage, fast-pulse generation using GaAs photoconductive switches is investigated. It is possible to to generate 35-kV pulses with risetimes as short as 135 ps using 5-mm gap switches, and electric field hold-off of greater than 100 kV/cm is achieved. An approximately 500-ps FWHM on/off electrical pulse is generated with an amplitude of approximately 3 kV using neutron-irradiated GaAs having short carrier lifetimes. Experimental results are described, and fabrication of switches and the diagnostics used to measure these fast signals are discussed. Experience with the nonlinear lock-on and avalanche modes of operation observed in GaAs is also described.

  12. Status of fully integrated GaAs particle detectors

    International Nuclear Information System (INIS)

    Braunschweig, W.; Breibach, J.; Kubicki, Th.; Luebelsmeyer, K.; Maesing, Th.; Rente, C.; Roeper, Ch.; Siemes, A.

    1999-01-01

    GaAs strip detectors are of interest because of their radiation hardness at room temperature and the high absorption coefficient of GaAs for x-rays. The detectors currently under development will be used in the VLQ-experiment at the H1 experiment at the HERA collider. This will be the first high energy physics experiment where GaAs detectors will be used. The detectors have a sensitive area of 5 x 4 cm with a pitch of 62 μ m. Due to the high density of channels the biasing resistors and coupling capacitors are integrated. For the resistors a resistive layer made of Cermet is used. The properties of the first fully integrated strip detector are presented

  13. Extraordinary Hall effect in Co implanted GaAs hybrid magnetic semiconductors

    International Nuclear Information System (INIS)

    Honda, S.; Tateishi, K.; Nawate, M.; Sakamoto, I.

    2004-01-01

    Hybrid Co/GaAs ferromagnetic semiconductors have been prepared by implantation method. In these samples, sheet resistance shows weak temperature dependence, and the extraordinary Hall effect with positive coefficient is observed. In small Co content samples, Hall resistance increases with decreasing temperature and maximum value of 3.6x10 -2 Ω is obtained at 150 K

  14. Atomic hydrogen cleaning of GaAs photocathodes

    International Nuclear Information System (INIS)

    Poelker, M.; Price, J.; Sinclair, C.

    1997-01-01

    It is well known that surface contaminants on semiconductors can be removed when samples are exposed to atomic hydrogen. Atomic H reacts with oxides and carbides on the surface, forming compounds that are liberated and subsequently pumped away. Experiments at Jefferson lab with bulk GaAs in a low-voltage ultra-high vacuum H cleaning chamber have resulted in the production of photocathodes with high photoelectron yield (i.e., quantum efficiency) and long lifetime. A small, portable H cleaning apparatus also has been constructed to successfully clean GaAs samples that are later removed from the vacuum apparatus, transported through air and installed in a high-voltage laser-driven spin-polarized electron source. These results indicate that this method is a versatile and robust alternative to conventional wet chemical etching procedures usually employed to clean bulk GaAs

  15. Permeation of deuterium implanted into vanadium alloys

    International Nuclear Information System (INIS)

    Anderl, R.A.; Longhurst, G.R.; Struttmann, D.A.

    1986-05-01

    Permeation of deuterium through the vanadium alloy, V-15Cr-5Ti, was investigated using 3-keV, D 3 + ion beams from a small accelerator. The experiments consisted of measurements of the deuterium reemission and permeation rates as a function of implantation fluence for 0.5-mm thick specimens heated to tempertures from 623 to 823 0 K. Implantation-side surface characterization was made by simultaneous measurements of sputtered ions with a secondary ion mass spectrometer (SIMS). Analyses of these measurements indicate that for the experimental conditions used, the steady-state deuterium permeation flux in V-15Cr-5Ti is approximately 18% of the implantation flux. This corresponds to approximately 1000 times that seen in the ferritic steel, HT-9, under comparable conditions. Measurement of deuterium diffusivity in V-15Cr-5Ti using permeation break-through times indicates D = 1.4 x 10 -8 exp(-.11 eV/kT) (m 2 /s)

  16. Optical pumping of hot phonons in GaAs

    International Nuclear Information System (INIS)

    Collins, C.L.; Yu, P.Y.

    1982-01-01

    Optical pumping of hot LO phonons in GaAs has been studied as a function of the excitation photon frequency. The experimental results are in good agreement with a model calculation which includes both inter- and intra-valley electron-phonon scatterings. The GAMMA-L and GAMMA-X intervalley electron-phonon interactions in GaAs have been estimated

  17. Strain profiles in ion implanted ceramic polycrystals: An approach based on reciprocal-space crystal selection

    Energy Technology Data Exchange (ETDEWEB)

    Palancher, H., E-mail: herve.palancher@cea.fr; Martin, G.; Fouet, J. [CEA, DEN, DEC, F-13108 Saint Paul lez Durance (France); Goudeau, P. [Institut Pprime, CNRS-Université de Poitiers–ENSMA, SP2MI, F-86360 Chasseneuil (France); Boulle, A. [Science des Procédés Céramiques et Traitements de Surface (SPCTS), CNRS UMR 7315, Centre Européen de la Céramique, 12 rue Atlantis, 87068 Limoges (France); Rieutord, F. [CEA, DSM, INAC, F-38054 Grenoble Cedex 9 (France); Favre-Nicolin, V. [Université Grenoble-Alpes, F-38041 Grenoble, France, Institut Universitaire de France, F-75005 Paris (France); Blanc, N. [Institut NEEL, CNRS-Univ Grenoble Alpes, F-38042 Grenoble (France); Onofri, C. [CEA, DEN, DEC, F-13108 Saint Paul lez Durance (France); CEMES, CNRS UPR 8011, 29 rue Jeanne Marvig, BP 94347, 31055 Toulouse Cedex 4 (France)

    2016-01-18

    The determination of the state of strain in implanted materials is a key issue in the study of their mechanical stability. Whereas this question is nowadays relatively easily solved in the case of single crystals, it remains a challenging task in the case of polycrystalline materials. In this paper, we take benefit of the intense and parallel beams provided by third generation synchrotron sources combined with a two-dimensional detection system to analyze individual grains in polycrystals, hence obtaining “single crystal-like” data. The feasibility of the approach is demonstrated with implanted UO{sub 2} polycrystals where the in-depth strain profile is extracted for individual grains using numerical simulations of the diffracted signal. The influence of the implantation dose is precisely analyzed for several diffracting planes and grains. This work suggests that, at low fluences, the development of strain is mainly due to ballistic effects with little effect from He ions, independently from the crystallographic orientation. At higher fluences, the evolution of the strain profiles suggests a partial and anisotropic plastic relaxation. With the present approach, robust and reliable structural information can be obtained, even from complex polycrystalline ceramic materials.

  18. Ion Implantation in III-V Compound Semiconductors

    Science.gov (United States)

    1984-09-01

    340 keV H + -0 Ga P  O UES-723-292 !:• (H o>ray *P-K X - rayO Ga-K X -ray iii! RBS * ..I -iO.. 0 10I to1. 01 • .0 -. I0 1 LI =i, O I 0 01 0.J 10...Identity by blo ," pume) Ion Implantation, GaAs, Hall effect, electrical resistivity, Rutherford Backscattering (RBS), channeling, Proton induced x -ray...Mebility (jH) upon Aiinealing Temperature (TA) for 1 X 101 /cm• Dose Samples of GaAs:Mg with Three Different Capping Methods 33 p 14 Dependence of Surface

  19. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  20. Deuterium accumulation in tungsten at high fluences

    Energy Technology Data Exchange (ETDEWEB)

    Zibrov, Mikhail [Max-Planck-Institut fuer Plasmaphysik, Boltzmannstrasse 2, D-85748 Garching (Germany); FOM Institute DIFFER, De Zaale 20, 5612 AJ Eindhoven (Netherlands); Balden, Martin; Matej, Matej [Max-Planck-Institut fuer Plasmaphysik, Boltzmannstrasse 2, D-85748 Garching (Germany); Bystrov, Kirill; Morgan, Thomas [FOM Institute DIFFER, De Zaale 20, 5612 AJ Eindhoven (Netherlands)

    2016-07-01

    The data on the deuterium (D) retention in tungsten (W) at high fluences (≥ 10{sup 27} D/m{sup 2}) are scarce and the existing results are contradictory. Since retention in W is known to be flux-dependent, the laboratory experiments addressing this issue should be carried out in reactor-relevant conditions (high fluxes of low-energy ions). In this work the samples made of polycrystalline W were exposed to D plasmas in the linear plasma generator Pilot-PSI at temperatures ranging from 360 K to 1140 K to fluences in the range of 0.3-8.7 x 10{sup 27} D/m{sup 2}. It was observed that at exposure temperatures of 360 K and 580 K the D retention was only slightly dependent on the ion fluence. In addition, the presence of blister-like structures was found after the exposures, and their density and size distributions were also only weakly dependent on the fluence. In the case of exposure at 1140 K no surface modifications of the samples after plasma exposure were detected and the concentrations of retained D were very small. At all temperatures used the total amounts of retained D were smaller compared to those obtained by other researchers at lower ion flux densities, which indicates that the incident ion flux may play an important role in the total D retention in W.

  1. Neutron fluence determination for light water reactor pressure vessels

    International Nuclear Information System (INIS)

    Gold, R.

    1994-01-01

    A general description of limitations that exist in pressure vessel neutron fluence determinations for commercial light water reactors is presented. Complexity factors that arise in light water reactor pressure vessel neutron fluence calculations are identified and used to analyze calculational limitations. Two broad categories of calculational limitations are introduced, namely benchmark field limitations and deep penetration limitations. Explicit examples of limitations that can arise in each of these two broad categories are presented. These limitations are used to show that the recent draft regulatory guide for the determination of pressure vessel neutron fluence, developed by the Nuclear Regulatory Commission, is based upon procedures and assumptions that are not valid. To eliminate the complexity and limitations of calculational methods, it is recommended that the determination of light water reactor pressure vessel neutron fluence be based upon experiment. Recommendations for improved methods of pressure vessel surveillance neutron dosimetry are advanced

  2. Effect of the order of He{sup +} and H{sup +} ion co-implantation on damage generation and thermal evolution of complexes, platelets, and blisters in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Daghbouj, N. [CEMES-CNRS and Université de Toulouse, 29 rue J. Marvig, 31055 Toulouse (France); Faculté des Sciences de Monastir, Université de Monastir, Monastir (Tunisia); Cherkashin, N., E-mail: nikolay.cherkashin@cemes.fr; Darras, F.-X.; Paillard, V.; Claverie, A. [CEMES-CNRS and Université de Toulouse, 29 rue J. Marvig, 31055 Toulouse (France); Fnaiech, M. [Faculté des Sciences de Monastir, Université de Monastir, Monastir (Tunisia)

    2016-04-07

    Hydrogen and helium co-implantation is nowadays used to efficiently transfer thin Si layers and fabricate silicon on insulator wafers for the microelectronic industry. The synergy between the two implants which is reflected through the dramatic reduction of the total fluence needed to fracture silicon has been reported to be strongly influenced by the implantation order. Contradictory conclusions on the mechanisms involved in the formation and thermal evolution of defects and complexes have been drawn. In this work, we have experimentally studied in detail the characteristics of Si samples co-implanted with He and H, comparing the defects which are formed following each implantation and after annealing. We show that the second implant always ballistically destroys the stable defects and complexes formed after the first implant and that the redistribution of these point defects among new complexes drives the final difference observed in the samples after annealing. When H is implanted first, He precipitates in the form of nano-bubbles and agglomerates within H-related platelets and nano-cracks. When He is implanted first, the whole He fluence is ultimately used to pressurize H-related platelets which quickly evolve into micro-cracks and surface blisters. We provide detailed scenarios describing the atomic mechanisms involved during and after co-implantation and annealing which well-explain our results and the reasons for the apparent contradictions reported at the state of the art.

  3. The structural and optical properties of metal ion-implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Macková, A.; Malinský, P. [Nuclear Physics Institute of the Academy of Sciences of the Czech Republic, v.v.i., 250 68 Řež (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, České Mládeže 8, 400 96 Ústí nad Labem (Czech Republic); Sofer, Z.; Šimek, P.; Sedmidubský, D. [Department of Inorganic Chemistry, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Veselý, M. [Dept. of Organic Technology, University of Chemistry and Technology Prague, Technická 5, 166 28 Prague 6 (Czech Republic); Böttger, R. [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden – Rossendorf, 01328 Dresden (Germany)

    2016-03-15

    The practical development of novel optoelectronic materials with appropriate optical properties is strongly connected to the structural properties of the prepared doped structures. We present GaN layers oriented along the (0 0 0 1) crystallographic direction that have been grown by low-pressure metal–organic vapour-phase epitaxy (MOVPE) on sapphire substrates implanted with 200 keV Co{sup +}, Fe{sup +} and Ni{sup +} ions. The structural properties of the ion-implanted layers have been characterised by RBS-channelling and Raman spectroscopy to obtain a comprehensive insight into the structural modification of implanted GaN layers and to study the subsequent influence of annealing on crystalline-matrix recovery. Photoluminescence was measured to control the desired optical properties. The post-implantation annealing induced the structural recovery of the modified GaN layer depending on the introduced disorder level, e.g. depending on the ion implantation fluence, which was followed by structural characterisation and by the study of the surface morphology by AFM.

  4. Periodic nanostructures fabricated on GaAs surface by UV pulsed laser interference

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Wei; Huo, Dayun; Guo, Xiaoxiang; Rong, Chen; Shi, Zhenwu, E-mail: zwshi@suda.edu.cn; Peng, Changsi, E-mail: changsipeng@suda.edu.cn

    2016-01-01

    Graphical abstract: - Highlights: • Periodic nanostructures were fabricated on GaAs wafers by four-beam laser interference patterning which have potential applications in many fields. • Significant different results were obtained on epi-ready and homo-epitaxial GaAs substrate surfaces. • Two-pulse patterning was carried out on homo-epitaxial GaAs substrate, a noticeable morphology transformation induced by the second pulse was observed. • Temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations. The calculation agrees well with the experiment results. - Abstract: In this paper, periodic nanostructures were fabricated on GaAs wafers by four-beam UV pulsed laser interference patterning. Significant different results were observed on epi-ready and homo-epitaxial GaAs substrate surfaces, which suggests GaAs oxide layer has an important effect on pulsed laser irradiation process. In the case of two-pulse patterning, a noticeable morphology transformation induced by the second pulse was observed on homo-epitaxial GaAs substrate. Based on photo-thermal mode, temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations.

  5. Trapping behaviour of deuterium ions implanted into tungsten simultaneously with carbon ions

    International Nuclear Information System (INIS)

    Kobayashi, Makoto; Suzuki, Sachiko; Wang, Wanjing; Kurata, Rie; Kida, Katsuya; Oya, Yasuhisa; Okuno, Kenji; Ashikawa, Naoko; Sagara, Akio; Yoshida, Naoaki

    2009-01-01

    The trapping behaviour of deuterium ions implanted into tungsten simultaneously with carbon ions was investigated by thermal desorption spectroscopy (TDS) and x-ray photoelectron spectroscopy (XPS). The D 2 TDS spectrum consisted of three desorption stages, namely desorption of deuterium trapped by intrinsic defects, ion-induced defects and carbon with the formation of the C-D bond. Although the deuterium retention trapped by intrinsic defects was almost constant, that by ion-induced defects increased as the ion fluence increased. The retention of deuterium with the formation of the C-D bond was saturated at an ion fluence of 0.5x10 22 D + m -2 , where the major process was changed from the sputtering of tungsten with the formation of a W-C mixture to the formation of a C-C layer, and deuterium retention as the C-D bond decreased. It was concluded that the C-C layer would enhance the chemical sputtering of carbon with deuterium with the formation of CD x and the chemical state of carbon would control the deuterium retention in tungsten under C + -D 2 + implantation.

  6. Lattice damage in ion-implanted silicon-germanium alloys

    International Nuclear Information System (INIS)

    Haynes, T.E.; Holland, O.W.

    1992-08-01

    The damage produced in Si 1-x Ge x alloys (0≤x≤1) by implantation of 70--100 keV 30 Si + has been measured as a function of temperature and fluence by ion channeling. For all compositions, the damage efficiency decreased sharply as the implant temperature was increased between room temperature and 150 degrees C. Furthermore, the damage efficiency in alloys of intermediate compositions (0.34≤x≤0.5) exceeds that in Ge, especially at elevated temperatures, despite the larger cascade energy density in Ge. It is shown that this behavior can be described based on a model in which the point-defect mobility is the dominant factor controlling damage retention, rather than the cascade energy density. This approach provides a framework for understanding other temperature-dependent phenomena related to damage growth in Si-Ge alloys including dose-rate effects and damage saturation in MeV implantation

  7. Elastic properties of sub-stoichiometric nitrogen ion implanted silicon

    Energy Technology Data Exchange (ETDEWEB)

    Sarmanova, M.F., E-mail: marina.sarmanova@iom-leipzig.de [Leibniz Institute of Surface Modification, D-04318 Leipzig (Germany); Karl, H. [University Augsburg, Institute of Physics, D-86135 Augsburg (Germany); Mändl, S.; Hirsch, D. [Leibniz Institute of Surface Modification, D-04318 Leipzig (Germany); Mayr, S.G.; Rauschenbach, B. [Leibniz Institute of Surface Modification, D-04318 Leipzig (Germany); University Leipzig, Institute for Experimental Physics II, D-04103 Leipzig (Germany)

    2015-04-15

    Elastic properties of sub-stoichiometric nitrogen implanted silicon were measured with nanometer-resolution using contact resonance atomic force microscopy (CR-AFM) as function of ion fluence and post-annealing conditions. The determined range of indentation moduli was between 100 and 180 GPa depending on the annealing duration and nitrogen content. The high indentation moduli can be explained by formation of Si–N bonds, as verified by X-ray photoelectron spectroscopy.

  8. Fluence scan: an unexplored property of a laser beam

    International Nuclear Information System (INIS)

    Chalupsky, Jaromir; Hajkova, Vera; Burian, Tomas; Juha, Libor; Polcar, Tomas; Gaudin, Jerome; Nagasono, Mitsuru; Yabashi, Makina; Sobierajski, Ryszard; Krzywinski, Jacek

    2013-01-01

    We present an extended theoretical background of so-called fluence scan (f-scan or F-scan) method, which is frequently being used for offline characterization of focused short-wavelength (EUV, soft X-ray, and hard X-ray) laser beams [J. Chalupsky et al., Opt. Express 18, 27836 (2010)]. The method exploits ablative imprints in various solids to visualize iso-fluence beam contours at different fluence and/or clip levels. An f-scan curve (clip level as a function of the corresponding iso-fluence contour area) can be generated for a general non-Gaussian beam. As shown in this paper, fluence scan encompasses important information about energy distribution within the beam profile, which may play an essential role in laser-matter interaction research employing intense non-ideal beams. Here we for the first time discuss fundamental properties of the f-scan function and its inverse counterpart (if-scan). Furthermore, we extensively elucidate how it is related to the effective beam area, energy distribution, and to the so called Liu's dependence [J.M. Liu, Opt. Lett. 7, 196 (1982)]. A new method of the effective area evaluation based on weighted inverse f-scan fit is introduced and applied to real data obtained at the SCSS (SPring-8 Compact SASE Source) facility. (authors)

  9. Structural–mechanical and antibacterial properties of a soft elastic polyurethane surface after plasma immersion N{sub 2}{sup +} implantation

    Energy Technology Data Exchange (ETDEWEB)

    Morozov, Ilya A., E-mail: ilya.morozov@gmail.com [Institute of Continuous Media Mechanics UB RAS, Academika Koroleva st. 1, 614013 Perm (Russian Federation); Perm State University, Bukireva st. 15, 614990 Perm (Russian Federation); Mamaev, Alexander S. [Institute of Electrophysics UD RAS, Amundsen st. 106, 620016 Ekaterinburg (Russian Federation); Osorgina, Irina V. [Perm State University, Bukireva st. 15, 614990 Perm (Russian Federation); Lemkina, Larisa M. [Institute of Ecology and Genetics of Microorganisms UB RAS, Golev st. 13, 614081 Perm (Russian Federation); Korobov, Vladimir P. [Institute of Ecology and Genetics of Microorganisms UB RAS, Golev st. 13, 614081 Perm (Russian Federation); Perm National Research Polytechnic University, Komsomolsky av. 29, 614990 Perm (Russian Federation); Belyaev, Anton Yu [Institute of Continuous Media Mechanics UB RAS, Academika Koroleva st. 1, 614013 Perm (Russian Federation); Porozova, Svetlana E. [Perm National Research Polytechnic University, Komsomolsky av. 29, 614990 Perm (Russian Federation); Sherban, Marina G. [Perm State University, Bukireva st. 15, 614990 Perm (Russian Federation)

    2016-05-01

    The surface of elastic polyurethane treated by plasma immersion N{sub 2}{sup +} ion implantation at different fluences has been investigated. A folded surface structure is observed in all cases. Analysis has been performed to study the structural (roughness, steepness and fraction of folds, fractal characteristics), mechanical (stiffness, adhesion force between the AFM probe and the material) and wetting properties of surfaces. Under uniaxial stretching the cracks orthogonal to the axis of deformation and longitudinal folds are formed on the examined surfaces. After unloading the initial structure of the surface of deformed materials exposed to low fluences becomes smoother and does not recover, i.e. it has plastic properties. By contrast, the structure of the surfaces of materials subjected to high-fluence treatment recovers without visible changes and the cracks are fully closed. The study of Staphylococcus colonies grown on these materials has demonstrated significant reduction (from 3 to 5 times) in the vitality of bacteria on treated surfaces. This result was repeated on samples after 11 months of storage. Such antibacterial properties are primarily related to the structural changes of the surfaces accompanied by the increased hydrophilicity. - Highlights: • Surface of soft polyurethane after plasma immersion ion implantation was studied. • Treated surfaces have fluence dependent plicated fractal structure. • Surface properties were investigated both in undeformed and stretched states. • Vitality of bacteria on treated surfaces demonstrated significant reduction.

  10. Magnetoresistance and Curie temperature of GaAs semiconductor doped with Mn ions

    International Nuclear Information System (INIS)

    Yalishev, V.Sh.

    2006-02-01

    Key words: diluted magnetic semiconductors, magnetoresistance, ferromagnetism, ionic implantation, molecular-beam epitaxy, magnetic clusters, Curie temperature. Subjects of the inquiry: Diluted magnetic semiconductor GaAs:Mn. Aim of the inquiry: determination of the possibility of the increase of Curie temperature in diluted magnetic semiconductors based on GaAs doped with Mn magnetic impurity. Method of inquiry: superconducting quantum interference device (SQUID), Hall effect, magnetoresistance, atomic and magnetic force microscopes. The results achieved and their novelty: 1. The effect of the additional doping of Ga 0,965 Mn 0,035 As magnetic epitaxial layers by nonmagnetic impurity of Be on on the Curie temperature was revealed. 2. The exchange interaction energy in the investigated Ga 0,965 Mn 0,035 As materials was determined by the means of the magnetic impurity dispersion model from the temperature dependence of the resistivity measurements. 3. The effect of magnetic clusters dimensions and illumination on the magnetoresistance of GaAs materials containing nano-dimensional magnetic clusters was studied for the first time. Practical value: Calculated energy of the exchange interaction between local electrons of magnetic ions and free holes in Ga 1-x Mn x As magnetic semiconductors permitted to evaluate the theoretical meaning of Curie temperature depending on concentration of free holes and to compare it with experimental data. Sphere of usage: micro- and nano-electronics, solid state physics, physics of semiconductors, magnetic materials physics, spin-polarized current sources. (author)

  11. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Klimov, E. A. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Vasiliev, A. L.; Imamov, R. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics,” (Russian Federation); Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Trunkin, I. N. [National Research Centre “Kurchatov Institute” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation)

    2017-01-15

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers of arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.

  12. Development and characterization of multi-sensory fluence rate probes

    International Nuclear Information System (INIS)

    Pomerleau-Dalcourt, Natalie; Lilge, Lothar

    2006-01-01

    Multi-sensory fluence rate probes (MSPs) yield several simultaneous measurements of photodynamic therapy (PDT) treatment light fluence from a single interstitial probe. Fluorescent sensors are embedded at desired positions along the axis of the optical fibre. A single fluorescence emission spectrum is obtained and decomposed using a partial least squares (PLS)-based analysis to yield the fluence at each sensor's location. The responsivity, linearity and possible photodegradation of each fluorophore chosen for the MSPs were evaluated using single-sensor probes. The performance of two- and three-sensor MSPs was evaluated experimentally. Individual fluorescence spectra collected from each sensor on the MSP were used to construct the training set necessary for the PLS-based analysis. The MSPs' responsivity, spatial resolution and accuracy were evaluated relative to a single scattering-tip detector. Three-fluorophore MSPs permitted three simultaneous measurements of the fluence rate gradient in a tissue-like phantom, with an average accuracy of 6.7%. No appreciable photodegradation or cross-talk was observed

  13. Growth and characteristics of p-type doped GaAs nanowire

    Science.gov (United States)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  14. Fluence-dependent sputtering yield of micro-architectured materials

    Energy Technology Data Exchange (ETDEWEB)

    Matthes, Christopher S.R.; Ghoniem, Nasr M., E-mail: ghoniem@ucla.edu; Li, Gary Z.; Matlock, Taylor S.; Goebel, Dan M.; Dodson, Chris A.; Wirz, Richard E.

    2017-06-15

    Highlights: • Sputtering yield is shown to be transient and heavily dependent on surface architecture. • Fabricated nano- and Microstructures cause geometric re-trapping of sputtered material, which leads to a self-healing mechanism. • Initially, the sputtering yield of micro-architectured Mo is approximately 1/2 the value as that of a planar surface. • The study demonstrates that the sputtering yield is a dynamic property, dependent on the surface structure of a material. • A developed phenomenological model mathematically describes the transient behavior of the sputtering yield as a function of plasma fluence. - Abstract: We present an experimental examination of the relationship between the surface morphology of Mo and its instantaneous sputtering rate as function of low-energy plasma ion fluence. We quantify the dynamic evolution of nano/micro features of surfaces with built-in architecture, and the corresponding variation in the sputtering yield. Ballistic deposition of sputtered atoms as a result of geometric re-trapping is observed, and re-growth of surface layers is confirmed. This provides a self-healing mechanism of micro-architectured surfaces during plasma exposure. A variety of material characterization techniques are used to show that the sputtering yield is not a fundamental property, but that it is quantitatively related to the initial surface architecture and to its subsequent evolution. The sputtering yield of textured molybdenum samples exposed to 300 eV Ar plasma is roughly 1/2 of the corresponding value for flat samples, and increases with ion fluence. Mo samples exhibited a sputtering yield initially as low as 0.22 ± 5%, converging to 0.4 ± 5% at high fluence. The sputtering yield exhibits a transient behavior as function of the integrated ion fluence, reaching a steady-state value that is independent of initial surface conditions. A phenomenological model is proposed to explain the observed transient sputtering phenomenon, and to

  15. Photon energy-fluence correction factor in low energy brachytherapy

    Energy Technology Data Exchange (ETDEWEB)

    Antunes, Paula C.G.; Yoriyaz, Hélio [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil); Vijande, Javier; Giménez-Alventosa, Vicent; Ballester, Facundo, E-mail: pacrisguian@gmail.com [Department of Atomic, Molecular, and Nuclear Physics and Instituto de Física Corpuscular (UV-CSIC), University of Valencia (Spain)

    2017-07-01

    The AAPM TG-43 brachytherapy dosimetry formalism has become a standard for brachytherapy dosimetry worldwide; it implicitly assumes that charged-particle equilibrium (CPE) exists for the determination of absorbed dose to water at different locations. At the time of relating dose to tissue and dose to water, or vice versa, it is usually assumed that the photon fluence in water and in tissues are practically identical, so that the absorbed dose in the two media can be related by their ratio of mass energy-absorption coefficients. The purpose of this work is to study the influence of photon energy-fluence in different media and to evaluate a proposal for energy-fluence correction factors for the conversion between dose-to-tissue (D{sub tis}) and dose-to-water (D{sub w}). State-of-the art Monte Carlo (MC) calculations are used to score photon fluence differential in energy in water and in various human tissues (muscle, adipose and bone) in two different codes, MCNP and PENELOPE, which in all cases include a realistic modeling of the {sup 125}I low-energy brachytherapy seed in order to benchmark the formalism proposed. A correction is introduced that is based on the ratio of the water-to-tissue photon energy-fluences using the large-cavity theory. In this work, an efficient way to correlate absorbed dose to water and absorbed dose to tissue in brachytherapy calculations at clinically relevant distances for low-energy photon emitting seed is proposed. The energy-fluence based corrections given in this work are able to correlate absorbed dose to tissue and absorbed dose to water with an accuracy better than 0.5% in the most critical cases. (author)

  16. Photon energy-fluence correction factor in low energy brachytherapy

    International Nuclear Information System (INIS)

    Antunes, Paula C.G.; Yoriyaz, Hélio; Vijande, Javier; Giménez-Alventosa, Vicent; Ballester, Facundo

    2017-01-01

    The AAPM TG-43 brachytherapy dosimetry formalism has become a standard for brachytherapy dosimetry worldwide; it implicitly assumes that charged-particle equilibrium (CPE) exists for the determination of absorbed dose to water at different locations. At the time of relating dose to tissue and dose to water, or vice versa, it is usually assumed that the photon fluence in water and in tissues are practically identical, so that the absorbed dose in the two media can be related by their ratio of mass energy-absorption coefficients. The purpose of this work is to study the influence of photon energy-fluence in different media and to evaluate a proposal for energy-fluence correction factors for the conversion between dose-to-tissue (D tis ) and dose-to-water (D w ). State-of-the art Monte Carlo (MC) calculations are used to score photon fluence differential in energy in water and in various human tissues (muscle, adipose and bone) in two different codes, MCNP and PENELOPE, which in all cases include a realistic modeling of the 125 I low-energy brachytherapy seed in order to benchmark the formalism proposed. A correction is introduced that is based on the ratio of the water-to-tissue photon energy-fluences using the large-cavity theory. In this work, an efficient way to correlate absorbed dose to water and absorbed dose to tissue in brachytherapy calculations at clinically relevant distances for low-energy photon emitting seed is proposed. The energy-fluence based corrections given in this work are able to correlate absorbed dose to tissue and absorbed dose to water with an accuracy better than 0.5% in the most critical cases. (author)

  17. Fast fluence measurement for JOYO irradiation field using niobium dosimeter

    International Nuclear Information System (INIS)

    Ito, Chikara

    2004-03-01

    Neutron fluence and spectrum are key parameters in various irradiation tests and material surveillance tests so they need to be evaluated accurately. The reactor dosimetry test has been conducted by the multiple foil activation method, and a niobium dosimeter has been developed for measurement of fast neutron fluence in the experimental fast reactor JOYO. The inelastic scattering reaction of 93 Nb has a low threshold energy, about 30 keV, and the energy distribution of reaction cross section is similar to the displacement cross section for iron. Therefore, a niobium dosimeter is suitable for evaluation of the fast neutron fluence and the displacement per atom for iron. Moreover, a niobium dosimeter is suited to measure neutron fluence in long-term irradiation test because 93 Nb, which is produced by the reaction, has a long half-life (16.4 years). This study established a high precision measurement technique using the niobium reaction rate. The effect of self-absorption was decreased by the solution and evaporation to dryness of niobium dosimeter. The dosimeter weight was precisely measured using the inductively coupled plasma mass spectrometer. This technique was applied to JOYO dosimetry. The fast neutron fluences (E > 0.1 MeV) found by measuring the reaction rate in the niobium dosimeter were compared with the values evaluated using the multiple foil activation method. The ratio of measured fast neutron fluences by means of niobium dosimeter and multiple foil activation method range from 0.97 to 1.03 and agree within the experimental uncertainty. The measurement errors of fast neutron fluence by niobium dosimeter range from 4.5% (fuel region) to 10.1% (in-vessel storage rack). As a result of this study, the high precision measurement of fast neutron fluence by niobium dosimeters was confirmed. The accuracy of fast reactor dosimetry will be improved by application of niobium dosimeters to the irradiation tests in the JOYO MK-III core. (author)

  18. Terahertz emission from semi-insulating GaAs with octadecanthiol-passivated surface

    International Nuclear Information System (INIS)

    Wu, Xiaojun; Xu, Xinlong; Lu, Xinchao; Wang, Li

    2013-01-01

    Terahertz (THz) emission from octadecanthiol (ODT) passivated (1 0 0) surface of the semi-insulating GaAs was measured, and compared with those from the native oxidized and the fresh surfaces. It was shown that the self-assembled ODT monolayer can stabilize the GaAs (1 0 0) surface, and maintain a THz surface emission 1.4 times as efficient as the native oxidized surface under equal conditions. Surface passivation can reduce the built-in electric field in the depletion region of the GaAs (1 0 0), resulting in the suppression of the THz radiation to a different extent. Oxidation of GaAs surface reduces the THz amplitude mainly in the low-frequency region. These results indicate that GaAs can be made a more effective THz source by choosing molecular passivation technique. Conversely, the THz emission features such as polarity, amplitude, and phase from molecule-passivated surfaces may be used to characterize the attached molecules.

  19. Depth dependent modification of optical constants arising from H+ implantation in n-type 4H-SiC measured using coherent acoustic phonons

    Directory of Open Access Journals (Sweden)

    Andrey Baydin

    2016-06-01

    Full Text Available Silicon carbide (SiC is a promising material for new generation electronics including high power/high temperature devices and advanced optical applications such as room temperature spintronics and quantum computing. Both types of applications require the control of defects particularly those created by ion bombardment. In this work, modification of optical constants of 4H-SiC due to hydrogen implantation at 180 keV and at fluences ranging from 1014 to 1016 cm−2 is reported. The depth dependence of the modified optical constants was extracted from coherent acoustic phonon spectra. Implanted spectra show a strong dependence of the 4H-SiC complex refractive index depth profile on H+ fluence. These studies provide basic insight into the dependence of optical properties of 4H silicon carbide on defect densities created by ion implantation, which is of relevance to the fabrication of SiC-based photonic and optoelectronic devices.

  20. Effects of In-situ UV Irradiation on the Uniformity and Optical Properties of GaAsBi Epi-layers Grown by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Beaton, Daniel A [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Steger, Mark [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Christian, Theresa [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Mascarenhas, Angelo J [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-12-14

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs1-xGaAs1-xBixBix epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  1. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    Science.gov (United States)

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  2. Two-Photon Pumped Synchronously Mode-Locked Bulk GaAs Laser

    Science.gov (United States)

    Cao, W. L.; Vaucher, A. M.; Ling, J. D.; Lee, C. H.

    1982-04-01

    Pulses 7 picoseconds or less in duration have been generated from a bulk GaAs crystal by a synchronous mode-locking technique. The GaAs crystal was optically pumped by two-photon absorption of the emission from a mode-locked Nd:glass laser. Two-photon absorption as the means of excitation increases the volume of the gain medium by increasing the pene-tration depth of the pump intensity, enabling generation of intra-cavity pulses with peak power in the megawatt range. Tuning of the wavelength of the GaAs emission is achieved by varying the temperature. A tuning range covering 840 nm to 885 nm has been observed over a temperature range from 97°K to 260°K. The intensity of the GaAs emission has also been observed to decrease as the temperature of the crystal is increased.

  3. Surface modification of the titanium implant using TEA CO{sub 2} laser pulses in controllable gas atmospheres - Comparative study

    Energy Technology Data Exchange (ETDEWEB)

    Ciganovic, J.; Stasic, J.; Gakovic, B.; Momcilovic, M.; Milovanovic, D. [VINCA Institute of Nuclear Sciences, University of Belgrade, P.O. BOX 522, 11001 Belgrade (Serbia); Bokorov, M. [Faculty of Sciences, Department of Biology and Ecology, University of Novi Sad, Trg Dositeja Obradovica 3, 21000 Novi Sad (Serbia); Trtica, M., E-mail: etrtica@vinca.rs [VINCA Institute of Nuclear Sciences, University of Belgrade, P.O. BOX 522, 11001 Belgrade (Serbia)

    2012-01-15

    Interaction of a TEA CO{sub 2} laser, operating at 10.6 {mu}m wavelength and pulse duration of 100 ns (FWHM), with a titanium implant in various gas atmospheres was studied. The Ti implant surface modification was typically studied at the moderate laser beam energy density/fluence of 28 J/cm{sup 2} in the surrounding of air, N{sub 2}, O{sub 2} or He. The energy absorbed from the TEA CO{sub 2} laser beam is partially converted to thermal energy, which generates a series of effects, such as melting, vaporization of the molten material, shock waves, etc. The following titanium implant surface changes and phenomena were observed, depending on the gas used: (i) creation of cone-like surface structures in the atmospheres of air, N{sub 2} and O{sub 2}, and dominant micro-holes/pores in He ambient; (ii) hydrodynamic features, most prominent in air; (iii) formation of titanium nitride and titanium oxide layers, and (iv) occurrence of plasma in front of the implant. It can be concluded from this study that the reported laser fluence and gas ambiences can effectively be applied for enhancing the titanium implant roughness and creation of titanium oxides and nitrides on the strictly localized surface area. The appearance of plasma in front of the implants indicates relatively high temperatures created above the surface. This offers a sterilizing effect, facilitating contaminant-free conditions.

  4. Microwave GaAs Integrated Circuits On Quartz Substrates

    Science.gov (United States)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  5. Microstructure and nanomechanical properties of Fe+ implanted silicon

    International Nuclear Information System (INIS)

    Nunes, B.; Magalhães, S.; Franco, N.; Alves, E.; Colaço, R.

    2013-01-01

    Silicon wafers were implanted with iron ions at different fluences (from 5 × 10 15 up to 2 × 10 17 cm −2 ), followed by annealing treatments at temperatures from 550 °C to 1000 °C, aiming at evaluating the nanomechanical response of the samples and its relation with the microstructural features and characteristics of the modified layer. After implantation, a homogeneous amorphous layer with a thickness between 200 nm and 270 nm is formed, without damaging the surface smoothness neither introducing surface defects. After annealing, recrystallization and formation of nanometric precipitates of iron silicides is observed, with the corresponding changes in the hardness and stiffness of the modified layer. These results indicate that ion implantation of silicon followed by annealing at proper temperatures, can be an alternative route to be deeper explored in what concerns the precise control of the microstructure and, thus, the improvement of nanomechanical properties of silicon.

  6. Neutron fluence measurements

    International Nuclear Information System (INIS)

    1970-01-01

    For research reactor work dealing with such subjects as radiation effects on solids and such disciplines as radiochemistry and radiobiology, the radiation dose or neutron fluence is an essential parameter in evaluating results. Unfortunately it is very difficult to determine. Even when the measurements have been accurate, it is difficult to compare results obtained in different experiments because present methods do not always reflect the dependence of spectra or of different types of radiation on the induced processes. After considering the recommendations of three IAEA Panels, on 'In-pile dosimetry' held in July 1964, on 'Neutron fluence measurements' in October 1965, and on 'In-pile dosimetry' in November 1966, the Agency established a Working Group on Reactor Radiation Measurements. This group consisted of eleven experts from ten different Member States and two staff members of the Agency. In the measurement of energy absorbed by materials from neutrons and gamma rays, there are various reports and reviews scattered throughout the literature. The group, however, considered that the time was ripe for all relevant information to be evaluated and gathered together in the form of a practical guide, with the aim of promoting consistency in the measurement and reporting of reactor radiation. The group arranged for the material to be divided into two manuals, which are expected to be useful both for experienced workers and for beginners

  7. Temperature dependent magnetic properties of the GaAs substrate of spin-LEDs

    International Nuclear Information System (INIS)

    Ney, A; Harris, J S Jr; Parkin, S S P

    2006-01-01

    The temperature dependence of the magnetization of a light emitting diode having a ferromagnetic contact (spin-LED) is measured from 2 to 300 K in magnetic fields from 30 to 70 kOe and it is found that it originates from the GaAs substrate. The magnetization of GaAs comprises a van Vleck-type paramagnetic contribution to the susceptibility which scales inversely with the band gap of the semiconductor. Thus, the temperature dependence of the band gap of GaAs accounts for the non-linear temperature dependent magnetic susceptibility of GaAs and thus, at large magnetic fields, for the spin-LED

  8. H irradiation effects on the GaAs-like Raman modes in GaAs1-xNx/GaAs1-xNx:H planar heterostructures

    Science.gov (United States)

    Giulotto, E.; Geddo, M.; Patrini, M.; Guizzetti, G.; Felici, M.; Capizzi, M.; Polimeni, A.; Martelli, F.; Rubini, S.

    2014-12-01

    The GaAs-like longitudinal optical phonon frequency in two hydrogenated GaAs1-xNx/GaAs1-xNx:H microwire heterostructures—with similar N concentration, but different H dose and implantation conditions—has been investigated by micro-Raman mapping. In the case of GaAs0.991N0.009 wires embedded in barriers where GaAs-like properties are recovered through H irradiation, the phonon frequency in the barriers undergoes a blue shift with respect to the wires. In GaAs0.992N0.008 wires embedded in less hydrogenated barriers, the phonon frequency exhibits an opposite behavior (red shift). Strain, disorder, phonon localization effects induced by H-irradiation on the GaAs-like phonon frequency are discussed and related to different types of N-H complexes formed in the hydrogenated barriers. It is shown that the red (blue) character of the frequency shift is related to the dominant N-2H (N-3H) type of complexes. Moreover, for specific experimental conditions, an all-optical determination of the uniaxial strain field is obtained. This may improve the design of recently presented devices that exploit the correlation between uniaxial stress and the degree of polarization of photoluminescence.

  9. Effect of 3.0 MeV helium implantation on electrical characteristics of 4H-SiC BJTs

    International Nuclear Information System (INIS)

    Usman, Muhammad; Hallen, Anders; Ghandi, Reza; Domeij, Martin

    2010-01-01

    Degradation of 4H-SiC power bipolar junction transistors (BJTs) under the influence of a high-energy helium ion beam was studied. Epitaxially grown npn BJTs were implanted with 3.0 MeV helium in the fluence range of 10 10 -10 11 cm -2 . The devices were characterized by their current-voltage (I-V) behaviour before and after the implantation, and the results showed a clear degradation of the output characteristics of the devices. Annealing these implanted devices increased the interface traps between passivation oxide and the semiconductor, resulting in an increase of base current in the low-voltage operation range.

  10. Sci-Thur AM: Planning - 04: Evaluation of the fluence complexity, solution quality, and run efficiency produced by five fluence parameterizations implemented in PARETO multiobjective radiotherapy treatment planning software.

    Science.gov (United States)

    Champion, H; Fiege, J; McCurdy, B; Potrebko, P; Cull, A

    2012-07-01

    PARETO (Pareto-Aware Radiotherapy Evolutionary Treatment Optimization) is a novel multiobjective treatment planning system that performs beam orientation and fluence optimization simultaneously using an advanced evolutionary algorithm. In order to reduce the number of parameters involved in this enormous search space, we present several methods for modeling the beam fluence. The parameterizations are compared using innovative tools that evaluate fluence complexity, solution quality, and run efficiency. A PARETO run is performed using the basic weight (BW), linear gradient (LG), cosine transform (CT), beam group (BG), and isodose-projection (IP) methods for applying fluence modulation over the projection of the Planning Target Volume in the beam's-eye-view plane. The solutions of each run are non-dominated with respect to other trial solutions encountered during the run. However, to compare the solution quality of independent runs, each run competes against every other run in a round robin fashion. Score is assigned based on the fraction of solutions that survive when a tournament selection operator is applied to the solutions of the two competitors. To compare fluence complexity, a modulation index, fractal dimension, and image gradient entropy are calculated for the fluence maps of each optimal plan. We have found that the LG method results in superior solution quality for a spine phantom, lung patient, and cauda equina patient. The BG method produces solutions with the highest degree of fluence complexity. Most methods result in comparable run times. The LG method produces superior solution quality using a moderate degree of fluence modulation. © 2012 American Association of Physicists in Medicine.

  11. Femtosecond laser fluence based nanostructuring of W and Mo in ethanol

    Science.gov (United States)

    Bashir, Shazia; Rafique, Muhammad Shahid; Nathala, Chandra Sekher; Ajami, Ali Asghar; Husinsky, Wolfgang

    2017-05-01

    The effect of femtosecond laser fluence on nanostructuring of Tungsten (W) and Molybdenum (Mo) has been investigated after ablation in ethanol environment. A Ti: Sapphire laser (800 nm, 30 fs) at fluences ranging from 0.6 to 5.7 J cm-2 was employed to ablate targets. The growth of structures on the surface of irradiated targets is investigated by Field Emission Scanning Electron Microscope (FESEM) analysis. The SEM was performed for both central as well as the peripheral ablated regions. It is observed that both the development and shape of nanoscale features is dependent upon deposited energies to the target surface as well as nature of material. Nanostructures grown on Mo are more distinct and well defined as compared to W. At central ablated areas of W, unorganized Laser Induced Periodic Surface Structures (LIPSS) are grown at low fluences, whereas, nonuniform melting along with cracking is observed at higher fluences. In case of Mo, well-defined and organized LIPSS are observed for low fluences. With increasing fluence, LIPSS become unorganized and broken with an appearance of cracks and are completely vanished with the formation of nanoscale cavities and conical structures. In case of peripheral ablated areas broken and bifurcated LIPSS are grown for all fluences for both materials. The, ablated diameter, ablation depth, ablation rate and the dependence of periodicity of LIPSS on the laser fluence are also estimated for both W and Mo. Parametric instabilities of laser-induced plasma along with generation and scattering of surface plasmons is considered as a possible cause for the formation of LIPSS. For ethanol assisted ablation, the role of bubble cavitation, precipitation, confinement and the convective flow is considered to be responsible for inducing increased hydrodynamic instabilities at the liquid-solid interface.

  12. Femtosecond laser fluence based nanostructuring of W and Mo in ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Bashir, Shazia, E-mail: shaziabashir@gcu.edu.pk [Institute of Applied Physics, Vienna University of Technology, Vienna (Austria); Centre for Advanced Studies in Physics, Government College University Lahore (Pakistan); Rafique, Muhammad Shahid [Institute of Applied Physics, Vienna University of Technology, Vienna (Austria); Department of Physics, University of Engineering and Technology Lahore (Pakistan); Nathala, Chandra Sekher [Institute of Applied Physics, Vienna University of Technology, Vienna (Austria); Ajami, Ali Asghar [Institute of Applied Physics, Vienna University of Technology, Vienna (Austria); Faculty of Physics, Semnan University, Semnan (Iran, Islamic Republic of); Husinsky, Wolfgang [Institute of Applied Physics, Vienna University of Technology, Vienna (Austria)

    2017-05-15

    The effect of femtosecond laser fluence on nanostructuring of Tungsten (W) and Molybdenum (Mo) has been investigated after ablation in ethanol environment. A Ti: Sapphire laser (800 nm, 30 fs) at fluences ranging from 0.6 to 5.7 J cm{sup −2} was employed to ablate targets. The growth of structures on the surface of irradiated targets is investigated by Field Emission Scanning Electron Microscope (FESEM) analysis. The SEM was performed for both central as well as the peripheral ablated regions. It is observed that both the development and shape of nanoscale features is dependent upon deposited energies to the target surface as well as nature of material. Nanostructures grown on Mo are more distinct and well defined as compared to W. At central ablated areas of W, unorganized Laser Induced Periodic Surface Structures (LIPSS) are grown at low fluences, whereas, nonuniform melting along with cracking is observed at higher fluences. In case of Mo, well-defined and organized LIPSS are observed for low fluences. With increasing fluence, LIPSS become unorganized and broken with an appearance of cracks and are completely vanished with the formation of nanoscale cavities and conical structures. In case of peripheral ablated areas broken and bifurcated LIPSS are grown for all fluences for both materials. The, ablated diameter, ablation depth, ablation rate and the dependence of periodicity of LIPSS on the laser fluence are also estimated for both W and Mo. Parametric instabilities of laser-induced plasma along with generation and scattering of surface plasmons is considered as a possible cause for the formation of LIPSS. For ethanol assisted ablation, the role of bubble cavitation, precipitation, confinement and the convective flow is considered to be responsible for inducing increased hydrodynamic instabilities at the liquid-solid interface.

  13. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  14. Ga+ focused-ion-beam implantation-induced masking for H2 etching of ZnO films

    International Nuclear Information System (INIS)

    Fang, Hsin-Chiao; Huang, Jun-Han; Chu, Wen-Huei; Liu, Chuan-Pu

    2010-01-01

    Gallium implantation of ZnO by a focused-ion beam is used to create a mask for ZnO dry etching with hydrogen. Effects of Ga + fluence on the etch stop properties and the associated mechanisms are investigated. The fluence of 2.8 x 10 16 cm -2 is determined to be optimum to render the best mask quality. While lower fluences would cause less etching selectivity, higher fluences would cause erosion of the surface and particles to be precipitated on the surface after H 2 treatment at high temperature. In contrast to the commonly adopted gallium oxide formation on Si, transmission electron microscopy analysis reveals that, for the fluences ≤ 2.8 x 10 16 cm -2 , Ga + ions are incorporated as dopants into ZnO without any second phases or precipitates, indicating the Ga-doped ZnO layer behaves as a mask for H 2 etching due to the higher electronegativity of Ga + towards oxygen. However, for the fluences ≥ 4.6 x 10 16 cm -2 , the surface particles are responsible for the etch stop and are identified as ZnGa 2 O 4 . We finally demonstrate a complicated pattern of 'NCKU' on ZnO by using this technique. The study not only helps clarify the related mechanisms, but also suggests a feasible extension of the etch stop process that can be applied to more functional material.

  15. Photon counting microstrip X-ray detectors with GaAs sensors

    Science.gov (United States)

    Ruat, M.; Andrä, M.; Bergamaschi, A.; Barten, R.; Brückner, M.; Dinapoli, R.; Fröjdh, E.; Greiffenberg, D.; Lopez-Cuenca, C.; Lozinskaya, A. D.; Mezza, D.; Mozzanica, A.; Novikov, V. A.; Ramilli, M.; Redford, S.; Ruder, C.; Schmitt, B.; Shi, X.; Thattil, D.; Tinti, G.; Tolbanov, O. P.; Tyazhev, A.; Vetter, S.; Zarubin, A. N.; Zhang, J.

    2018-01-01

    High-Z sensors are increasingly used to overcome the poor efficiency of Si sensors above 15 keV, and further extend the energy range of synchrotron and FEL experiments. Detector-grade GaAs sensors of 500 μm thickness offer 98% absorption efficiency at 30 keV and 50% at 50 keV . In this work we assess the usability of GaAs sensors in combination with the MYTHEN photon-counting microstrip readout chip developed at PSI. Different strip length and pitch are compared, and the detector performance is evaluated in regard of the sensor material properties. Despite increased leakage current and noise, photon-counting strips mounted with GaAs sensors can be used with photons of energy as low as 5 keV, and exhibit excellent linearity with energy. The charge sharing is doubled as compared to silicon strips, due to the high diffusion coefficient of electrons in GaAs.

  16. Fractal characterization of the silicon surfaces produced by ion beam irradiation of varying fluences

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, R.P. [Department of Physics, University of Allahabad, Allahabad, UP 211002 (India); Kumar, T. [Department of Physics, Central University of Haryana, Jant-Pali, Mahendergarh, Haryana 123029 (India); Mittal, A.K. [Department of Physics, University of Allahabad, Allahabad, UP 211002 (India); K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Dwivedi, S., E-mail: suneetdwivedi@gmail.com [K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Kanjilal, D. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, PO Box 10502, New Delhi 110 067 (India)

    2015-08-30

    Highlights: • Fractal analysis of Si(1 0 0) surface morphology at varying ion fluences. • Autocorrelation function and height–height correlation function as fractal measures. • Surface roughness and lateral correlation length increases with ion fluence. • Ripple pattern of the surfaces is found at higher ion fluences. • Wavelength of the ripple surfaces is computed for each fluence. - Abstract: Si (1 0 0) is bombarded with 200 keV Ar{sup +} ion beam at oblique incidence with fluences ranging from 3 × 10{sup 17} ions/cm{sup 2} to 3 × 10{sup 18} ions/cm{sup 2}. The surface morphology of the irradiated surfaces is captured by the atomic force microscopy (AFM) for each ion fluence. The fractal analysis is performed on the AFM images. The autocorrelation function and height–height correlation function are used as fractal measures. It is found that the average roughness, interface width, lateral correlation length as well as roughness exponent increase with ions fluence. The analysis reveals the ripple pattern of the surfaces at higher fluences. The wavelength of the ripple surfaces is computed for each ion fluence.

  17. Performance of a GaAs electron source

    International Nuclear Information System (INIS)

    Calabrese, R.; Ciullo, G.; Della Mea, G.; Egeni, G.P.; Guidi, V.; Lamanna, G.; Lenisa, P.; Maciga, B.; Rigato, V.; Rudello, V.; Tecchio, L.; Yang, B.; Zandolin, S.

    1994-01-01

    We discuss the performance improvement of a GaAs electron source. High quantum yield (14%) and constant current extraction (1 mA for more than four weeks) are achieved after a little initial decay. These parameters meet the requirements for application of the GaAs photocathode as a source for electron cooling devices. We also present the preliminary results of a surface analysis experiment, carried out by means of the RBS technique to check the hypothesis of cesium evaporation from the surface when the photocathode is in operation. (orig.)

  18. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Accuracy of helium accumulation fluence monitor for fast reactor dosimetry

    Energy Technology Data Exchange (ETDEWEB)

    Ito, Chikara; Aoyama, Takafumi [Power Reactor and Nuclear Fuel Development Corp., Oarai, Ibaraki (Japan). Oarai Engineering Center

    1998-03-01

    A helium (He) accumulation fluence monitor (HAFM) has been developed for fast reactor dosimetry. In order to evaluate the measurement accuracy of neutron fluence by the HAFM method, the HAFMs of enriched boron (B) and beryllium (Be) were irradiated in the Fast Neutron Source Reactor `YAYOI`. The number of He atoms produced in the HAFMs were measured and compared with the calculated values. As a result of this study, it was confirmed that the neutron fluence could be measured within 5 % by the HAFM method, and that met the required accuracy for fast reactor dosimetry. (author)

  20. High-temperature oxidation of ion-implanted tantalum

    International Nuclear Information System (INIS)

    Kaufmann, E.N.; Musket, R.G.; Truhan, J.J.; Grabowski, K.S.; Singer, I.L.; Gossett, C.R.

    1982-01-01

    The oxidation of ion-implanted Ta in two different high temperature regimes has been studied. Oxidations were carried out at 500 0 C in Ar/O 2 mixtures, where oxide growth is known to follow a parabolic rate law in initial stages, and at 1000 0 C in pure O 2 , where a linear-rate behavior obtains. Implanted species include Al, Ce, Cr, Li, Si and Zr at fluences of the order of 10 17 /cm 2 . Oxidized samples were studied using Rutherford backscattering, nuclear reaction analysis, Auger spectroscopy, secondary-ion mass spectroscopy, x-ray diffraction and optical microscopy. Significant differences among the specimens were noted after the milder 500 0 C treatment, specifically, in the amount of oxide formed, the degree of oxygen dissolution in the metal beneath the oxide, and in the redistribution behavior of the implanted solutes. Under the severe 1000 0 C treatment, indications of different solute distributions and of different optical features were found, whereas overall oxidation rate appeared to be unaffected by the presence of the solute. 7 figures

  1. Manipulating the optical properties of dual implanted Au and Zn nanoparticles in sapphire

    Science.gov (United States)

    Epie, E. N.; Scott, D.; Chu, W. K.

    2017-11-01

    We have synthesized and manipulated the optical properties of metallic nanoparticles (NPs) by using a combination of low-energy high-fluence dual implantation and thermal annealing. We demonstrated that by implanting Zn before Au, the resulting absorption peak is enormously blue-shifted by 120 nm with respect to that of Au-only implanted samples. This magnitude of optical shift is not characteristic of unalloyed Au and to the best of our knowledge cannot be attributed to NP size change alone. On the other hand, the absorption peak for samples implanted with Au followed by Zn is blue-shifted about 20 nm. Additionally, by carefully annealing all implanted samples, both NP size distribution and corresponding optical properties can be further modified in a controlled manner. We attribute these behaviours to nanoalloy formation. This work provides a direct method for synthesizing and manipulating both the plasmonic and structural properties of metallic alloy NP in various transparent dielectrics for diverse applications.

  2. Fluorescence spectra of Rhodamine 6G for high fluence excitation laser radiation

    CERN Document Server

    Hung, J; Olaizola, A M

    2003-01-01

    Fluorescence spectral changes of Rhodamine 6G in ethanol and glycerol solutions and deposited as a film on a silica surface have been studied using a wide range of pumping field fluence at 532 nm at room temperature. Blue shift of the fluorescence spectra and fluorescence quenching of the dye molecule in solution are observed at high excitation fluence values. Such effects are not reported for the film sample. The effects are interpreted as the result of population redistribution in the solute-solvent molecular system induced by the high fluence field and the fluence dependence of the radiationless decay mechanism.

  3. Depth distribution of martensite in xenon implanted stainless steels

    International Nuclear Information System (INIS)

    Johansen, A.; Johnson, E.; Sarholt-Kristensen, L.; Steenstrup, S.; Hayashi, N.; Sakamoto, I.

    1989-01-01

    The amount of stress-induced martensite and its distribution in depth in xenon implanted austenitic stainless steel poly- and single crystals have been measured by Rutherford backscattering and channeling analysis, depth selective conversion electron Moessbauer spectroscopy, cross-sectional transmission electron microscopy and x-ray diffraction analysis. In low nickel 17/7, 304 and 316 commercial stainless steels and in 17:13 single crystals the martensitic transformation starts at the surface and develops towards greater depth with increasing xenon fluence. The implanted layer is nearly completely transformed, and the interface between martensite and austenite is rather sharp and well defined. In high nickel 310 commercial stainless steel and 15:19 and 20:19 single crystals, on the other hand, only insignificant amounts of martensite are observed. (orig.)

  4. The influence of silver-ion doping using ion implantation on the luminescence properties of Er–Yb silicate glasses

    Energy Technology Data Exchange (ETDEWEB)

    Stanek, S., E-mail: stanislav.stanek@vscht.cz [Department of Inorganic Chemistry, Faculty of Chemical Technology, University of Chemistry and Technology, Technicka 5, 16628 Prague (Czech Republic); Nekvindova, P.; Svecova, B.; Vytykacova, S.; Mika, M. [Department of Inorganic Chemistry, Faculty of Chemical Technology, University of Chemistry and Technology, Technicka 5, 16628 Prague (Czech Republic); Oswald, J. [Institute of Physics, Academy of Science of the Czech Republic, Cukrovarnicka 10/112, 162 00 Prague (Czech Republic); Mackova, A.; Malinsky, P. [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, 25068 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 40096 Usti nad Labem (Czech Republic); Spirkova, J. [Department of Inorganic Chemistry, Faculty of Chemical Technology, University of Chemistry and Technology, Technicka 5, 16628 Prague (Czech Republic)

    2016-03-15

    A set of zinc-silicate glasses with different ratios of erbium and ytterbium was fabricated. To achieve Ag-rich thin films in a sub-surface layer, ion-implantation technique at an energy of 1.2 MeV and 1.7 MeV with a fluence of 1 × 10{sup 16} cm{sup −2} was used. Post-implantation annealing was also applied. Changes in the spectroscopic and lasing properties of erbium ions as a function of implantation fluence of silver were studied with the aim to assess the positive effect of silver as a sensitiser of erbium luminescence. Therefore, absorption spectra in the visible range as well as luminescence spectra in the near-infrared range were measured and partially also the {sup 4}I{sub 11/2}–{sup 4}I{sub 15/2} transition of the erbium ion was studied. The results showed that silver positively influenced luminescence intensity at 1530 nm by increasing it almost three times. The biggest increase was achieved in glass with the highest concentration of erbium. Luminescence lifetime was not significantly influenced by the presence of silver and still remained around 10 ms.

  5. Lightweight, Light-Trapped, Thin GaAs Solar Cells for Spacecraft Applications.

    Science.gov (United States)

    1995-10-05

    improve the efficiency of this type of cell. 2 The high efficiency and light weight of the cover glass supported GaAs solar cell can have a significant...is a 3-mil cover glass and 1-mil silicone adhesive on the front surface of the GaAs solar cell. Power Output 3000 400 -{ 2400 { N 300 S18200 W/m2...the ultra-thin, light-trapped GaAs solar ceill 3. Incorporate light trapping. 0 external quantum efficiency at 850 nm increased by 5.2% 4. Develop

  6. Stability studies of Hg implanted YBa$_{2}$Cu$_{3}$O$_{6+x}$

    CERN Document Server

    Araújo, J P; Wahl, U; Marques, J G; Alves, E; Amaral, V S; Lourenço, A A; Galindo, V; Von Papen, T; Senateur, J P; Weiss, F; Vantomme, A; Langouche, G; Melo, A A; Da Silva, M F A; Soares, J C; Sousa, J B

    1999-01-01

    High quality YBa$_{2}$Cu$_{3}$O$_{6+x}$ (YBCO) superconducting thin films were implanted with the radioactive $^{197m}$Hg (T$_{1/2}$ = 24 h) isotope to low fluences of 10$^{13}$ atoms/cm$^{2}$ and 60 keV energy. The lattice location and stability of the implanted Hg were studied combining the Perturbed Angular Correlation (PAC) and Emission Channeling (EC) techniques. We show that Hg can be introduced into the YBCO lattice by ion implantation into unique regular sites. The EC data show that Hg is located on a highly symmetric site on the YBCO lattice, while the PAC data suggests that Hg occupies the Cu(1) site. Annealing studies were performed under vacuum and O$_{2}$ atmosphere and show that Hg starts to diffuse only above 653 K.

  7. The GaAs electron source: simulations and experiments

    International Nuclear Information System (INIS)

    Aleksandrov, A.V.; Ciullo, G.; Guidi, V.; Kudelainen, V.I.; Lamanna, G.; Lenisa, P.; Logachov, P.V.; Maciga, B.; Novokhatsky, A.; Tecchio, L.; Yang, B.

    1994-01-01

    In this paper we calculate electron emission from GaAs photocathodes using the Monte Carlo technique. Typical data of energy spread of the electron beam are presented. For photoenergy ranging from 1.6 to 2.1 eV, the calculated longitudinal and transverse energy spreads are 14.4-78 and 4-14.7 meV respectively. Temporal measurement of GaAs photocathodes has been performed. The preliminary results show that the temporal response is faster than 200 ps. (orig.)

  8. 35-kV GaAs subnanosecond photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Pocha, M.D.; Druce, R.L. (Lawrence Livermore National Lab., CA (United States))

    1990-12-01

    Photoconductive switches are one of the few devices that allow the generation of high-voltage electrical pulses with subnanosecond rise time. The authors are exploring high-voltage, fast-pulse generation using GaAs photoconductive switches. They have been able to generate 35-kV pulses with rise times as short as 135 ps using 5-mm gap switches and have achieved electric field hold-off of greater than 100 kV/cm. They have also been able to generate an approximately 500-ps FWHM on/off electrical pulse with an amplitude of approximately 3 kV using neutron-irradiated GaAs having short carrier life times. This paper describes the experimental results and discusses fabrication of switches and the diagnostics used to measure these fast signals. They also describe the experience with the nonlinear lock-on and avalanche modes of operation observed in GaAs.

  9. Peculiarities of the electrontransport properties of polyimide films implanted with copper and cobalt ions

    International Nuclear Information System (INIS)

    Nazhim, F.A.; Odzhaev, V.B.; Lukashevich, M.G.; Nuzhdin, V.I.; Khajbullin, R.I.

    2010-01-01

    Thin polyimide foils were implanted with 40 keV Co + and Cu + ions at fluencies of 2,5·1016-1,251017 cm 2 and at ion current densities of 4, 8 and 12 mA cm 2 . Surface dc electric resistance of the implanted polymer samples have been measured in the temperature range 40-300 K. Metal implantation results in decreasing polymer resistance with the dose and current density increasing for the both kinds of metal ions. The decrease of dc electric resistance is caused by radiation-induced carbonization and metal nanoparticle formation in the implanted region of polymer. The transition from the insulating to metallic regime of conductivity is observed in cobalt implanted samples for critical doses above Dc = 1,25?1017 cm 2 at an ion current density of 8 mA cm 2 . In the contrary, high-fluence implantation in the polymer with Cu + ions for the same regimes does not result in the transition. The dominating mechanisms of charge carrier transport and the origin of insulator-to-metal transition in the metal implanted polymer are discussed. (authors)

  10. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    Science.gov (United States)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-07-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 1017 ions/cm2. The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, CrxCy phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties.

  11. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    International Nuclear Information System (INIS)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-01-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 10 17 ions/cm 2 . The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, Cr x C y phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties

  12. Structural Evolution During Formation and Filling of Self-patterned Nanoholes on GaAs (100 Surfaces

    Directory of Open Access Journals (Sweden)

    Zhou Lin

    2008-01-01

    Full Text Available Abstract Nanohole formation on an AlAs/GaAs superlattice gives insight to both the “drilling” effect of Ga droplets on AlAs as compared to GaAs and the hole-filling process. The shape and depth of the nanoholes formed on GaAs (100 substrates has been studied by the cross-section transmission electron microscopy. The Ga droplets “drill” through the AlAs layer at a much slower rate than through GaAs due to differences in activation energy. Refill of the nanohole results in elongated GaAs mounds along the [01−1] direction. As a result of capillarity-induced diffusion, GaAs favors growth inside the nanoholes, which provides the possibility to fabricate GaAs and AlAs nanostructures.

  13. Empirical assessment of the detection efficiency of CR-39 at high proton fluence and a compact, proton detector for high-fluence applications

    Energy Technology Data Exchange (ETDEWEB)

    Rosenberg, M. J., E-mail: mrosenbe@mit.edu; Séguin, F. H.; Waugh, C. J.; Rinderknecht, H. G.; Orozco, D.; Frenje, J. A.; Johnson, M. Gatu; Sio, H.; Zylstra, A. B.; Sinenian, N.; Li, C. K.; Petrasso, R. D. [Plasma Science and Fusion Center, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States); Glebov, V. Yu.; Stoeckl, C.; Hohenberger, M.; Sangster, T. C. [Laboratory for Laser Energetics, University of Rochester, Rochester, New York 14623 (United States); LePape, S.; Mackinnon, A. J.; Bionta, R. M.; Landen, O. L. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States); and others

    2014-04-15

    CR-39 solid-state nuclear track detectors are widely used in physics and in many inertial confinement fusion (ICF) experiments, and under ideal conditions these detectors have 100% detection efficiency for ∼0.5–8 MeV protons. When the fluence of incident particles becomes too high, overlap of particle tracks leads to under-counting at typical processing conditions (5 h etch in 6N NaOH at 80 °C). Short etch times required to avoid overlap can cause under-counting as well, as tracks are not fully developed. Experiments have determined the minimum etch times for 100% detection of 1.7–4.3-MeV protons and established that for 2.4-MeV protons, relevant for detection of DD protons, the maximum fluence that can be detected using normal processing techniques is ≲3 × 10{sup 6} cm{sup −2}. A CR-39-based proton detector has been developed to mitigate issues related to high particle fluences on ICF facilities. Using a pinhole and scattering foil several mm in front of the CR-39, proton fluences at the CR-39 are reduced by more than a factor of ∼50, increasing the operating yield upper limit by a comparable amount.

  14. Electrical and optical properties of Cr and Fe implanted n-GaN

    International Nuclear Information System (INIS)

    Polyakov, A.Y.; Smirnov, N.B.; Govorkov, A.V.; Pashkova, N.V.; Shlensky, A.A.; Pearton, S.J.; Overberg, M.E.; Abernathy, C.R.; Zavada, J.M.; Wilson, R.G.

    2003-01-01

    Deep levels introduced into n-GaN films by Fe and Cr implantation have been studied by means of optical absorption and microcathodoluminescence spectroscopy measurements and by deep level transient spectroscopy, admittance spectroscopy, and capacitance-voltage profiling. The results are compared with previous measurements on Mn and Co implanted GaN. It is shown that the acceptor levels of substitutional Mn, Co, Fe, and Cr in n-GaN are located, respectively, near E v +1.6 eV, E v +1.7 eV, E v +1.8 eV, and E v +2 eV, the trend being similar to that observed in GaAs, GaP, and InP. The Fermi level in the implanted region is pinned near deep electron traps at E c -0.5 eV that are tentatively attributed to complexes between substitutional transition metal ions and native defects such as nitrogen vacancies. It is shown that for all implanted species after 700 deg. C annealing a damaged region with relatively high resistivity is formed down to the depth of about 1 μm much, exceeding the projected range of implanted ions. This region is enriched with radiation-damage-related defects and is most likely formed by outdiffusion of these defects from the implanted region during annealing. The thickness of this damaged region is shown to be the lowest for Cr implantation

  15. Electrical performance of conducting polymer (SPAN) grown on GaAs with different substrate orientations

    Science.gov (United States)

    Jameel, D. A.; Aziz, M.; Felix, J. F.; Al Saqri, N.; Taylor, D.; Albalawi, H.; Alghamdi, H.; Al Mashary, F.; Henini, M.

    2016-11-01

    This article reports the effect of n-type GaAs substrate orientation, namely (100), (311)A and (311)B, on the electrical properties of sulfonated polyaniline (SPAN)/GaAs heterojunction devices. In addition, the inhomogeneity of the interface between various GaAs substrates and SPAN is investigated in terms of barrier height and ideality factor by performing I-V measurements at different temperatures (20-420 K). The I-V results indicate that the value of the rectification ratio (IF/IR) at 0.5 V is higher for SPAN/(311)B GaAs samples than for SPAN/(100) GaAs and SPAN/(311)A GaAs samples. Moreover, the barrier height decreases and the ideality factor increases with decreasing temperature for all three heterostructure devices. The high value of mean barrier Φbarb of SPAN/(311)B (calculated from the plots of Φb 0 as a function of 1/2kT) confirms that the GaAs substrate orientation results in an increase of barrier homogeneities. Furthermore, the C-V characteristics were obtained at room temperature. The C-V measurements showed that the carrier distributions at the interface and away from the interface in high index (311) GaAs orientations are more uniform and have better barrier homogeneity than those grown on the conventional (100) GaAs substrates.

  16. RAMA Methodology for the Calculation of Neutron Fluence

    International Nuclear Information System (INIS)

    Villescas, G.; Corchon, F.

    2013-01-01

    he neutron fluence plays an important role in the study of the structural integrity of the reactor vessel after a certain time of neutron irradiation. The NRC defined in the Regulatory Guide 1.190, the way must be estimated neutron fluence, including uncertainty analysis of the validation process (creep uncertainty is ? 20%). TRANSWARE Enterprises Inc. developed a methodology for calculating the neutron flux, 1,190 based guide, known as RAMA. Uncertainty values obtained with this methodology, for about 18 vessels, are less than 10%.

  17. Mn fraction substitutional site and defects induced magnetism in Mn-implanted 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Bouziane, K., E-mail: Khalid.bouziane@uir.ac.ma [Pôle Energies Renouvelables et Etudes Pétrolières, Université Internationale de Rabat, 11000 – Salé el Jadida, Technopolis (Morocco); Al Azri, M.; Elzain, M. [Department of Physics, College of Science, Sultan Qaboos University, P.O. Box 36, Al-Khodh 123 (Oman); Chérif, S.M. [LSPM (CNRS-UPR 3407), Université Paris, 13-Nord, 99, Avenue Jean Baptiste Clément, 93430 Villetaneuse (France); Mamor, M. [Equipe MSISM, Faculté Poly-Disciplinaire, B.P. 4162 Safi, Université Cadi Ayyad, Marrakech (Morocco); Declémy, A. [Institut P’, CNRS – Université de Poitiers – ENSMA, UPR 3346, SP2MI – Téléport 2, 11 boulevard Marie et Pierre Curie, BP 30179, F-86962 Futuroscope Chasseneuil Cedex (France); Thomé, L. [CSNSM-Orsay, Bât. 108, Université d’Orsay, F-91405 Orsay (France)

    2015-05-25

    Highlights: • Shallow Mn-implanted 6H-SiC crystal. • Correlation between Mn-substitutional site concentration and magnetism. • Correlation between defects nature surrounding Mn site and magnetism. • Correlation of magnetism in Mn-doped SiC to Mn at Si sites and vacancy-related defect. - Abstract: n-type 6H-SiC (0 0 0 1) single crystal substrates were implanted with three fluences of manganese (Mn{sup +}) ions: 5 × 10{sup 15}, 1 × 10{sup 16} and 5 × 10{sup 16} cm{sup −2} with implantation energy of 80 keV at 365 °C to stimulate dynamic annealing. The samples were characterized using Rutherford backscattering channeling spectroscopy (RBS/C), high-resolution X-ray diffraction technique (HRXRD), and Superconducting Quantum Interference Device (SQUID) techniques. Two main defect regions have been identified using RBS/C spectra fitted with the McChasy code combined to SRIM simulations. Intermediate defects depth region is associated with vacancies (D{sub V}) and deeper defect (D{sub N}) essentially related to the Si and C interstitial defects. The defect concentration and the maximum perpendicular strain exhibit similar increasing trend with the Mn{sup +} fluence. Furthermore, the amount of Mn atoms at Si substitutional sites and the corresponding magnetic moment per Mn atom were found to increase with increasing Mn fluence from 0.7 μ{sub B} to 1.7 μ{sub B} and then collapsing to 0.2 μ{sub B}. Moreover, a strong correlation has been found between the magnetic moment and the combination of both large D{sub V}/D{sub N} ratio and high Mn at Si sites. These results are corroborated by our ab initio calculations considering the most stable configurations showing that besides the amount of Mn substituting Si sites, local vacancy-rich environment is playing a crucial role in enhancing the magnetism.

  18. Effect of 3.0 MeV helium implantation on electrical characteristics of 4H-SiC BJTs

    Energy Technology Data Exchange (ETDEWEB)

    Usman, Muhammad; Hallen, Anders; Ghandi, Reza; Domeij, Martin, E-mail: musman@kth.s [Microelectronics and Applied Physics, School of Communication and Information Technology, Royal Institute of Technology (KTH), Electrum 229, 16440 Kista (Sweden)

    2010-11-01

    Degradation of 4H-SiC power bipolar junction transistors (BJTs) under the influence of a high-energy helium ion beam was studied. Epitaxially grown npn BJTs were implanted with 3.0 MeV helium in the fluence range of 10{sup 10}-10{sup 11} cm{sup -2}. The devices were characterized by their current-voltage (I-V) behaviour before and after the implantation, and the results showed a clear degradation of the output characteristics of the devices. Annealing these implanted devices increased the interface traps between passivation oxide and the semiconductor, resulting in an increase of base current in the low-voltage operation range.

  19. Near-infrared optical properties of Yb3+-doped silicate glass waveguides prepared by double-energy proton implantation

    Science.gov (United States)

    Shen, Xiao-Liang; Zhu, Qi-Feng; Zheng, Rui-Lin; Lv, Peng; Guo, Hai-Tao; Liu, Chun-Xiao

    2018-03-01

    We report on the preparation and properties of an optical planar waveguide structure operating at 1539 nm in the Yb3+-doped silicate glass. The waveguide was formed by using (470 + 500) keV proton implantation at fluences of (1.0 + 2.0) × 1016 ions/cm2. The waveguiding characteristics including the guided-mode spectrum and the near-field image were investigated by the m-line technique and the finite-difference beam propagation method. The energy distribution for implanted protons and the refractive index profile for the proton-implanted waveguide were simulated by the stopping and range of ions in matter and the reflectivity calculation method. The proton-implanted Yb3+-doped silicate glass waveguide is a candidate for optoelectronic elements in the near-infrared region.

  20. GaAs strip detectors: the Australian production program

    International Nuclear Information System (INIS)

    Butcher, K.S.A.; Alexiev, D.

    1995-01-01

    The Australian High Energy Physics consortium (composed of the University of Melbourne, the University of Sydney and ANSTO) has been investigating the possibility of producing a large area wheel of SI GaAs detectors for the ATLAS detector array. To help assess the extent of Australia's role in this venture a few SI GaAs microstrip detectors are to be manufactured under contract by the CSIRO division of Radiophysics GaAs IC Prototyping Facility. The planned production of the devices is discussed. First, the reasons for producing the detectors here in Australia are examined, then some basic characteristics of the material are considered, and finally details are provided of the design used for the manufacture of the devices. Two sets of detectors will be produced using the standard Glasgow production recipe; SIGaAs and GaN. The Glasgow mask set is being used as a benchmark against which to compare the Australian devices

  1. Si+ and N+ ion implantation for improving blood compatibility of medical poly(methyl methacrylate)

    International Nuclear Information System (INIS)

    Li, D.J.; Cui, F.Z; Cui, F.Z.

    1998-01-01

    Si + and N + ion implantation into medical poly(methyl methacrylate) (PMMA) were performed at an energy of 80 keV with fluences ranging from 5x10 12 to 5x10 15 ions/cm 2 at room temperature to improve blood compatibility. The results of the blood contacting measurements in vitro showed that the anticoagulability and anticalcific behaviour on the surface morphology were enhanced after ion implantation. No appreciable change in the surface morphology was detected by scanning electron microscopy (SEM). X-ray photoelectron spectroscopy (XPS) analysis indicated that ion implantation broke some original chemical bonds on the surface to form some new Si- and N-containing groups. These results were considered responsible for the enhancement in the blood compatibility of PMMA. (author)

  2. Radiation damage in He implanted silicon at high temperature using multi-energies

    CERN Document Server

    David, M L; Oliviero, E; Denanot, M F; Beaufort, M F; Declemy, A; Blanchard, C; Gerasimenko, N N; Barbot, J F

    2002-01-01

    He sup + ions were implanted at 800 deg. C into (1 0 0) silicon with multiple energies and selected fluences to get a number of displacement per atom constant in a large plateau. The ion-related defects have been mainly studied by transmission electron microscopy. Both the amount and the microstructure of defects have been found to be strongly dependent on the order of implants. Faceted cavities are only observed where damage overlapping occurs. The first implant provides thus nucleation sites for cavities. The generation of these sites is less efficient when using increasing energies because of damage recovery; fewer cavities are observed. Concurrently interstitial-type defects, left brace 1 1 3 right brace agglomerates, are formed. The observed state of growth of these left brace 1 1 3 right brace defects (rod-like and ribbon-like defects) is dependent on the implantation energy order but in any cases, no dislocation loops are observed even in the deepest damage region.

  3. Molecular-beam epitaxy on shallow mesa gratings patterned on GaAs(311)A and (100) substrates

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Schönherr, H.-P.; Ploog, K.H.

    2002-01-01

    We report on the morphology and properties of the surface formed by molecular-beam epitaxy on shallow mesa gratings on patterned GaAs(311)A and GaAs(100). On GaAs(311)A substrates, the corrugated surface formed after GaAs growth on shallow mesa gratings along [011] is composed of monolayer high

  4. Structural and compositional characterization of LiNbO{sub 3} crystals implanted with high energy iron ions

    Energy Technology Data Exchange (ETDEWEB)

    Sada, C., E-mail: cinzia.sada@unipd.i [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Argiolas, N.; Bazzan, M.; Ciampolillo, M.V.; Zaltron, A.M.; Mazzoldi, P. [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Agarwal, D.C.; Avastshi, D.K. [Inter-University Accelerator Centre, Post Box-10502, New Delhi 110067 (India)

    2010-10-01

    Iron ions were implanted with a total fluence of 6 x 10{sup 17} ions/m{sup 2} into lithium niobate crystals by way of a sequential implantation at different energies of 95, 100 and 105 MeV respectively through an energy retarder Fe foil to get a uniform Fe doping of about few microns from the surface. The implanted crystals were then annealed in air in the range 200-400 {sup o}C for different durations to promote the crystalline quality that was damaged by implantation. In order to understand the basic phenomena underlying the implantation process, compositional in-depth profiles obtained by the secondary ion mass spectrometry were correlated to the structural properties of the implanted region measured by the high resolution X-ray diffraction depending on the process parameters. The optimised preparation conditions are outlined in order to recover the crystalline quality, essential for integrated photorefractive applications.

  5. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    International Nuclear Information System (INIS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-01-01

    Molecular-Beam Epitaxy growth of multiple In 0.4 Ga 0.6 As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4 Ga 0.6 As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4 Ga 0.6 As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  6. Electronic structure of GaAs with InAs (001) monolayer

    International Nuclear Information System (INIS)

    Tit, N.; Peressi, M.

    1995-04-01

    The effect on the electronic structure of an InAs monomolecular plane inserted in bulk GaAs is investigated theoretically. The (InAs) 1 (GaAs) n (001) strained superlattice is studied via ab-initio self-consistent pseudopotential calculations. Both electrons and holes are localized nearby the inserted InAs monolayer, which therefore acts as a quantum well for all the charge carriers. The small thickness of the inserted InAs slab is responsible of high confinement energies for the charge carriers, and therefore the interband electron-heavy-hole transition energy is close to the energy gap of the bulk GaAs, in agreement with recent experimental data. (author). 18 refs, 4 figs

  7. Evolution of embedded lithium nanoclusters in lithium implanted alumina

    International Nuclear Information System (INIS)

    Gaikwad, P.V.; Sharma, S.K.; Mukherjee, S.; Sudarshan, K.; Kshirsagar, A.; Pujari, P.K.

    2016-01-01

    High dose of ion implantation followed by annealing is considered a feasible way to generate thermally stable nanoclusters inside a transparent host matrix. Low energy (50 keV) Li ions have been implanted into single crystals of alumina with different fluence (1 × 10"1"5–1 × 10"1"7 ions/cm"2). The samples have been annealed at temperatures ranging from 500 to 1100 °C in air in step of 100 °C. Depth dependent Doppler broadening measurements have been carried out using high purity germanium detector coupled to a variable energy slow positron beam. Fractional area in the central and wing regions of Doppler broadened annihilation radiation spectrum, namely, S- and W- parameters, were evaluated from each spectrum. Any variation in positron annihilation probability with valence and core electrons which occurs on trapping of positrons at a defect site is reflected in these parameters. The effect of ion fluence and annealing temperature on evolution of defects and formation of embedded Li nanoclusters have been studied by indexing the variation in line shape S- (W-) parameter as a function of positron implantation depth. These studies supplemented by theoretical calculations confirm that with annealing up to 700 °C, vacancy clusters are created due to the aggregation of vacancies wherein Li nanoclusters are formed. On annealing at higher temperature, there is evidence for the breakdown of these Li clusters leaving behind vacancy clusters in the samples. - Highlights: • Embedded Li nanoclusters are efficiently created by annealing Li implanted Al_2O_3 crystal. • Depth dependent DBAR is a suitable method to characterize embedded nanoclusters. • The formation of Li nanoclusters is assisted by vacancy migration to form clusters. • At very high annealing temperature (>1000 °C), Li nanoclusters undergo breakdown. • e"+ annihilation at V_A_l site shows a unique observation i.e. a reduction in S-parameter.

  8. Shift in room-temperature photoluminescence of low-fluence Si+-implanted SiO2 films subjected to rapid thermal annealing

    International Nuclear Information System (INIS)

    Fu Mingyue; Tsai, J.-H.; Yang, C.-F.; Liao, C.-H.

    2008-01-01

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO 2 films implanted by different doses of Si + ions. Room-temperature PL from 400-nm-thick SiO 2 films implanted to a dose of 3x10 16 cm -2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO 2 films followed by RTA may be effective for tuning Si-based photonic devices.

  9. Safety factors for neutron fluences in NPP safety assessment

    International Nuclear Information System (INIS)

    Demekhin, V.L.; Bukanov, V.N.; Il'kovich, V.V.; Pugach, A.M.

    2016-01-01

    In accordance with global practice and a number of existing regulations, the use of conservative approach is required for the calculations related to nuclear safety assessment of NPP. It implies the need to consider the determination of neutron fluence errors that is rather complicated. It is proposed to carry out the consideration by the way of multiplying the neutron fluences obtained with transport calculations by safety factors. The safety factor values are calculated by the developed technique based on the theory of errors, features of the neutron transport calculation code and the results obtained with the code. It is shown that the safety factor value is equal 1.18 with the confidence level of not less than 0.95 for the majority of VVER-1000 reactor places where neutron fluences are determined by MCPV code, and its maximum value is 1.25

  10. The irradiation creep characteristics of graphite to high fluences

    International Nuclear Information System (INIS)

    Kennedy, C.R.; Cundy, M.; Kleist, G.

    1988-01-01

    High-temperature gas-cooled reactors (HTGR) have massive blocks of graphite with thermal and neutron-flux gradients causing high internal stresses. Thermal stresses are transient; however, stresses generated by differential growth due to neutron damage continue to increase with time. Fortunately, graphite also experiences creep under irradiation allowing relaxation of stresses to nominally safe levels. Because of complexity of irradiation creep experiments, data demonstrating this phenomenon are generally limited to fairly low fluences compared to the overall fluences expected in most reactors. Notable exceptions have been experiments at 300/degree/C and 500/degree/C run at Petten under tension and compression creep stresses to fluences greater than 4 /times/ 10 26 (E > 50 keV) neutrons/m 2 . This study complements the previous results by extending the irradiation temperature to 900/degree/C. 2 refs., 3 figs

  11. SU-F-T-261: Reconstruction of Initial Photon Fluence Based On EPID Images

    Energy Technology Data Exchange (ETDEWEB)

    Seliger, T; Engenhart-Cabillic, R [Philipp University of Marburg, Marburg (Germany); Czarnecki, D; Maeder, U; Zink, K [Technische Hochschule Mittelhessen - University of Applied Sciences, Giessen (Germany); Kussaether, R [MedCom GmbH, Darmstadt (Germany); Poppe, B [University Hospital for Medical Radiation Physics, Pius-Hospital, Medical Campus, Carl von Ossietzky University of Oldenburg (Germany)

    2016-06-15

    Purpose: Verifying an algorithm to reconstruct relative initial photon fluence for clinical use. Clinical EPID and CT images were acquired to reconstruct an external photon radiation treatment field. The reconstructed initial photon fluence could be used to verify the treatment or calculate the applied dose to the patient. Methods: The acquired EPID images were corrected for scatter caused by the patient and the EPID with an iterative reconstruction algorithm. The transmitted photon fluence behind the patient was calculated subsequently. Based on the transmitted fluence the initial photon fluence was calculated using a back-projection algorithm which takes the patient geometry and its energy dependent linear attenuation into account. This attenuation was gained from the acquired cone-beam CT or the planning CT by calculating a water-equivalent radiological thickness for each irradiation direction. To verify the algorithm an inhomogeneous phantom consisting of three inhomogeneities was irradiated by a static 6 MV photon field and compared to a reference flood field image. Results: The mean deviation between the reconstructed relative photon fluence for the inhomogeneous phantom and the flood field EPID image was 3% rising up to 7% for off-axis fluence. This was probably caused by the used clinical EPID calibration, which flattens the inhomogeneous fluence profile of the beam. Conclusion: In this clinical experiment the algorithm achieved good results in the center of the field while it showed high deviation of the lateral fluence. This could be reduced by optimizing the EPID calibration, considering the off-axis differential energy response. In further progress this and other aspects of the EPID, eg. field size dependency, CT and dose calibration have to be studied to realize a clinical acceptable accuracy of 2%.

  12. Paths to light trapping in thin film GaAs solar cells.

    Science.gov (United States)

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  13. Effect of thermal annealing on the optical and structural properties of silicon implanted with a high hydrogen fluence

    International Nuclear Information System (INIS)

    Kling, A.; Soares, J.C.; Rodriguez, A.; Rodriguez, T.; Avella, M.; Jimenez, J.

    2006-01-01

    Silicon capped by thermal oxide has been implanted with 1 x 10 17 H/cm 2 and the implant profile peaking at the interface. Samples were subjected to thermal annealing and characterized by ERD, FTIR, RBS/channeling, UV/VIS reflectance and cathodoluminescence regarding H-content, crystalline quality and light emission. The results show that the luminescent properties are independent of the hydrogen content but are strongly related with the present damage

  14. Damage, trapping and desorption at the implantation of helium and deuterium in graphite, diamond and silicon carbide

    International Nuclear Information System (INIS)

    Lopez, G.A.R.

    1995-07-01

    The production, thermal stability and structure of ion induced defects have been studied by Rutherford backscattering in channeling geometry for the implantation of helium and deuterium in graphite, diamond and silicon carbide with energies of 8 and 20 keV. At the implantation of deuterium and helium ions more defects were measured in graphite than in diamond or silicon carbide at equal experimental conditions. This is due to increased backscattering in graphite, which is caused by the splitting and tilting of crystallites and a local reordering of lattice atoms around defects. At 300 K, Helium produces more defects in all three materials than deuterium with equal depth distribution of defects. The ratio of the defects produced by helium and deuterium agrees very well with the corresponding ratio of the energy deposited in nuclear collisions. In graphite, only small concentrations of deuterium induced defects anneal below 800 K, while in diamond small concentrations of deuterium as well as of helium induced defects anneal mostly below 800 K. This annealing behavior is considered to be due to recombination of point defects. The buildup of helium and deuterium in graphite is different. The trapping of deuterium proceeds until saturation is reached, while in the case of helium trapping is interrupted by flaking. In diamond, deuterium as well as helium are trapped almost completely until at higher fluences reemission starts and saturation is reached. Two desorption mechanisms were identified for the thermal desorption of helium from base-oriented graphite. Helium implanted at low fluences desorbs diffusing to the surface, while for the implantation of high fluences the release of helium due to blistering dominates. The desorption of deuterium from graphite and diamond shows differences. While in graphite the desorption starts already at 800 K, in diamond up to 1140 K only little desorption can be observed. These differences can be explained by the different transport

  15. Interior point algorithms: guaranteed optimality for fluence map optimization in IMRT

    Energy Technology Data Exchange (ETDEWEB)

    Aleman, Dionne M [Department of Mechanical and Industrial Engineering, University of Toronto, 5 King' s College Road, Toronto, ON M5S 3G8 (Canada); Glaser, Daniel [Division of Optimization and Systems Theory, Department of Mathematics, Royal Institute of Technology, Stockholm (Sweden); Romeijn, H Edwin [Department of Industrial and Operations Engineering, University of Michigan, Ann Arbor, MI 48109-2117 (United States); Dempsey, James F, E-mail: aleman@mie.utoronto.c, E-mail: romeijn@umich.ed, E-mail: jfdempsey@viewray.co [ViewRay, Inc. 2 Thermo Fisher Way, Village of Oakwood, OH 44146 (United States)

    2010-09-21

    One of the most widely studied problems of the intensity-modulated radiation therapy (IMRT) treatment planning problem is the fluence map optimization (FMO) problem, the problem of determining the amount of radiation intensity, or fluence, of each beamlet in each beam. For a given set of beams, the fluences of the beamlets can drastically affect the quality of the treatment plan, and thus it is critical to obtain good fluence maps for radiation delivery. Although several approaches have been shown to yield good solutions to the FMO problem, these solutions are not guaranteed to be optimal. This shortcoming can be attributed to either optimization model complexity or properties of the algorithms used to solve the optimization model. We present a convex FMO formulation and an interior point algorithm that yields an optimal treatment plan in seconds, making it a viable option for clinical applications.

  16. Interior point algorithms: guaranteed optimality for fluence map optimization in IMRT

    International Nuclear Information System (INIS)

    Aleman, Dionne M; Glaser, Daniel; Romeijn, H Edwin; Dempsey, James F

    2010-01-01

    One of the most widely studied problems of the intensity-modulated radiation therapy (IMRT) treatment planning problem is the fluence map optimization (FMO) problem, the problem of determining the amount of radiation intensity, or fluence, of each beamlet in each beam. For a given set of beams, the fluences of the beamlets can drastically affect the quality of the treatment plan, and thus it is critical to obtain good fluence maps for radiation delivery. Although several approaches have been shown to yield good solutions to the FMO problem, these solutions are not guaranteed to be optimal. This shortcoming can be attributed to either optimization model complexity or properties of the algorithms used to solve the optimization model. We present a convex FMO formulation and an interior point algorithm that yields an optimal treatment plan in seconds, making it a viable option for clinical applications.

  17. Long-range effect in nitrogen ion-implanted AISI 316L stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Budzynski, P., E-mail: p.budzynski@pollub.pl

    2015-01-01

    The effect of nitrogen ion implantation on AISI 316L stainless steel was investigated. The microstructure and composition of an N implanted layer were studied by RBS, GIXRD, SEM, and EDX measurements. Friction and wear tests were also performed. The discrepancy between the measured and calculated stopped ion maximum range does not exceed 0.03 μm. After nitrogen implantation with a fluence of 5 × 10{sup 17} ion/cm{sup 2}, additional phases of expanded austenite were detected. At a 5-fold larger depth than the maximum ion range, improvement in the coefficient of friction and wear was detected. We have shown, for the first time, the long-range effect in tribological investigations. The long-range effect is caused by movement of not only defects along the depth of the sample, as assumed so far, but also nitrogen atoms.

  18. Long-range effect in nitrogen ion-implanted AISI 316L stainless steel

    Science.gov (United States)

    Budzynski, P.

    2015-01-01

    The effect of nitrogen ion implantation on AISI 316L stainless steel was investigated. The microstructure and composition of an N implanted layer were studied by RBS, GIXRD, SEM, and EDX measurements. Friction and wear tests were also performed. The discrepancy between the measured and calculated stopped ion maximum range does not exceed 0.03 μm. After nitrogen implantation with a fluence of 5 × 1017 ion/cm2, additional phases of expanded austenite were detected. At a 5-fold larger depth than the maximum ion range, improvement in the coefficient of friction and wear was detected. We have shown, for the first time, the long-range effect in tribological investigations. The long-range effect is caused by movement of not only defects along the depth of the sample, as assumed so far, but also nitrogen atoms.

  19. Thermal characterization of Ag and Ag + N ion implanted ultra-high molecular weight polyethylene (UHMWPE)

    Science.gov (United States)

    Sokullu Urkac, E.; Oztarhan, A.; Tihminlioglu, F.; Kaya, N.; Ila, D.; Muntele, C.; Budak, S.; Oks, E.; Nikolaev, A.; Ezdesir, A.; Tek, Z.

    2007-08-01

    Most of total hip joints are composed of ultra-high molecular weight polyethylene (UHMWPE). However, as ultra-high molecular weight polyethylene is too stable in a body, wear debris may accumulate and cause biological response such as bone absorption and loosening of prosthesis. In this study, ultra-high molecular weight polyethylene samples were Ag and Ag + N hybrid ion implanted by using MEVVA ion implantation technique to improve its surface properties. Samples were implanted with a fluence of 1017 ion/cm2 and extraction voltage of 30 kV. Implanted and unimplanted samples were investigated by thermo-gravimetry analysis (TGA), differential scanning calorimetry (DSC), X-ray diffraction (XRD) analysis, scanning electron microscopy (SEM), optical microscopy (OM) and contact Angle measurement. Thermal characterization results showed that the ion bombardment induced an increase in the % crystallinity, onset and termination degradation temperatures of UHMWPE.

  20. Heavy Ion Irradiation Fluence Dependence for Single-Event Upsets of NAND Flash Memory

    Science.gov (United States)

    Chen, Dakai; Wilcox, Edward; Ladbury, Raymond; Kim, Hak; Phan, Anthony; Seidleck, Christina; LaBel, Kenneth

    2016-01-01

    We investigated the single-event effect (SEE) susceptibility of the Micron 16 nm NAND flash, and found the single-event upset (SEU) cross section varied inversely with fluence. The SEU cross section decreased with increasing fluence. We attribute the effect to the variable upset sensitivities of the memory cells. The current test standards and procedures assume that SEU follow a Poisson process and do not take into account the variability in the error rate with fluence. Therefore, heavy ion irradiation of devices with variable upset sensitivity distribution using typical fluence levels may underestimate the cross section and on-orbit event rate.

  1. Temperature conditions for GaAs nanowire formation by Au-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Tchernycheva, M; Harmand, J C; Patriarche, G; Travers, L; Cirlin, G E

    2006-01-01

    Molecular beam epitaxial growth of GaAs nanowires using Au particles as a catalyst was investigated. Prior to the growth during annealing, Au alloyed with Ga coming from the GaAs substrate, and melted. Phase transitions of the resulting particles were observed in situ by reflection high-energy electron diffraction (RHEED). The temperature domain in which GaAs nanowire growth is possible was determined. The lower limit of this domain (320 deg. C) is close to the observed catalyst solidification temperature. Below this temperature, the catalyst is buried by GaAs growth. Above the higher limit (620 deg. C), the catalyst segregates on the surface with no significant nanowire formation. Inside this domain, the influence of growth temperature on the nanowire morphology and crystalline structure was investigated in detail by scanning electron microscopy and transmission electron microscopy. The correlation of the nanowire morphology with the RHEED patterns observed during the growth was established. Wurtzite GaAs was found to be the dominant crystal structure of the wires

  2. Doping of GaN by ion implantation: Does It Work?

    International Nuclear Information System (INIS)

    Suvkhanov, A.; Wu, W.; Price, K.; Parikh, N.; Irene, E.; Hunn, J.; Thomson, D.; Davis, R.F.; Krasnobaev, L.

    1998-04-01

    Epitaxially grown GaN by metal organic chemical vapor deposition (MOCVD) on SiC were implanted with 100 keV Si + (for n-type) and 80 keV Mg + (for p-type) with various fluences from 1 x 10 12 to 7 x 10 15 ions/cm 2 at liquid nitrogen temperature (LT), room temperature (RT), and 700 C (HT). High temperature (1,200 C and 1,500 C) annealing was carried out after capping the GaN with epitaxial AlN by MOCVD to study damage recovery. Samples were capped by a layer of AlN in order to protect the GaN surface during annealing. Effects of implant temperature, damage and dopant activation are critically studied to evaluate a role of ion implantation in doping of GaN. The damage was studied by Rutherford Backscattering/Channeling, spectroscopic ellipsometry and photoluminescence. Results show dependence of radiation damage level on temperature of the substrate during implantation: implantations at elevated temperatures up to 550 C decrease the lattice disorder; hot implants above 550 C can not be useful in doping of GaN due to nitrogen loss from the surface. SE measurements have indicated very high sensitivity to the implantation damage. PL measurements at LT of 80 keV Mg + (5 x 10 14 cm 2 ) implanted and annealed GaN showed two peaks: one ∼ 100 meV and another ∼ 140 meV away from the band edge

  3. Polymeric thermal analysis of C + H and C + H + Ar ion implanted UHMWPE samples

    International Nuclear Information System (INIS)

    Kaya, N.; Oztarhan, Ahmet M.; Urkac, E.S.; Ila, D.; Budak, S.; Oks, E.; Nikolaev, A.; Ezdesir, A.; Tihminlioglu, F.; Tek, Z.; Cetiner, S.; Muntele, C.

    2007-01-01

    Chemical surface characterization of C + H hybrid ion implanted UHMWPE samples were carried out using DSC (differential scanning calorimeter) and TGA (thermal gravimetric analysis) techniques. Samples were implanted with a fluence of 10 17 ion/cm 2 and an extraction voltage of 30 kV. The study of TGA and DSC curves showed that: (1) Polymeric decomposition temperature increased (2) T m , ΔC p and ΔH m values changed while ΔC p and ΔH m increased. T g value could not be measured, because of some experimental limitations. However, the increase in ΔH m values showed that T g values increased (3) the branch density which indicated the increase in number of cross-link (M c ) decreased in ion implanted samples and (4) increase in ΔH m values indicated increase in crystallinity of implanted surface of UHMWPE samples

  4. Development of a Secondary Neutron Fluence Standard at GELINA

    International Nuclear Information System (INIS)

    Heyse, Jan; Eykens, Roger; Moens, Andre; Plompen, Arjan J.M.; Schillebeeckx, Peter; Wynants, Ruud; Anastasiou, Maria

    2013-06-01

    The MetroFission project, a Joint Research Project within the European Metrology Research Program, aims at addressing a number of metrological problems involved in the design of proposed Generation IV nuclear reactors. One of the objectives of this multidisciplinary project is the improvement of neutron cross section measurement techniques in order to arrive at uncertainties as required for the design and safety assessment of new generation power plants and fuel cycles. This objective is in line with the 'Uncertainty and target accuracy assessment for innovative systems using recent covariance data evaluations' published by a working party of the OECD Nuclear Energy Agency in 2008. These requests are often very challenging, being at or beyond the state-of-the-art in neutron measurements, which is set by self-normalizing methods and the neutron data standards used at laboratories where the data are measured. A secondary neutron fluence standard has been developed and calibrated at the neutron time-of-flight facility GELINA of the JRC's Institute for Reference Materials and Measurements (IRMM). It consists of a flux monitor, a reference ionization chamber containing a 10 B layer and a 235 U layer, and a parallel plate ionization chamber with 8 well characterized 235 U deposits. These devices are used to determine the neutron fluence, based on the well-known neutron induced fission reaction on 235 U. All deposits have been prepared and characterized at the IRMM target preparation lab. The secondary fluence standard at the GELINA facility can be used for reliable determination of the efficiency of fluence measurement devices used in neutron data measurements at IRMM and elsewhere. It is an essential tool to reliably calibrate fluence normalization devices used in neutron time-of-flight cross section measurements. (authors)

  5. Fluence compensated photoacoustic tomography in small animals (Conference Presentation)

    Science.gov (United States)

    Hussain, Altaf; Pool, Martin; Daoudi, Khalid; de Vries, Liesbeth G.; Steenbergen, Wiendelt

    2017-03-01

    Light fluence inside turbid media can be experimentally mapped by measuring ultrasonically modulated light (Acousto-optics). To demonstrate the feasibility of fluence corrected Photoacoustic (PA) imaging, we have realized a tri-modality (i.e. photoacoustic, acousto-optic and ultrasound) tomographic small animal imaging system. Wherein PA imaging provides high resolution map of absorbed optical energy density, Acousto-optics yields the fluence distribution map in the corresponding PA imaging plane and Ultrasound provides morphological information. Further, normalization of the PA image with the acousto-optically measured fluence map results in an image that directly represents the optical absorption. Human epidermal growth factor receptor 2 (HER2) is commonly found overexpressed in human cancers, among which breast cancers, resulting in a more aggressive tumor phenotype. Identification of HER2-expression is clinically relevant, because cancers overexpressing this marker are amenable to HER2-directed therapies, among which antibodies trastuzumab and pertuzumab. Here, we investigate the feasibility and advantage of acousto-optically assisted fluence compensated PA imaging over PA imaging alone in visualizing and quantifying HER2 expression. For this experiment, nude mice were xenografted with human breast cancer cell lines SKBR3 and BT474 (both HER2 overexpressing), as well as HER2-negative MDA-MB-231. To visualize HER2 expression in these mice, HER2 monoclonal antibody pertuzumab (Perjeta®, Roche), was conjugated to near-infrared dye IRDye 800CW (800CW, LICOR Biosciences) at a ratio of 1∶2 antibody to 800CW. When xenograft tumors measured ≥ 100 mm3, mice received 100 µg 800CW-pertuzumab intravenously. Three days post injection, mice were scanned for fluorescence signal with an IVIS scanner. After fluorescence scans, mice were euthanized and imaged in our PA tomographic imaging system.

  6. Scanning probe microscopy of single Au ion implants in Si

    International Nuclear Information System (INIS)

    Vines, L.; Monakhov, E.; Maknys, K.; Svensson, B.G.; Jensen, J.; Hallen, A.; Kuznetsov, A. Yu.

    2006-01-01

    We have studied 5 MeV Au 2+ ion implantation with fluences between 7 x 10 7 and 2 x 10 8 cm -2 in Si by deep level transient spectroscopy (DLTS) and scanning capacitance microscopy (SCM). The DLTS measurements show formation of electrically active defects such as the two negative charge states of the divacancy (V 2 (=/-) and V 2 (-/0)) and the vacancy-oxygen (VO) center. It is observed that the intensity of the V 2 (=/-) peak is lower compared to that of V 2 (-/0) by a factor of 5. This has been attributed to a highly localized distribution of the defects along the ion tracks, which results in trapping of the carriers at V 2 (-/0) and incomplete occupancy of V 2 (=/-). The SCM measurements obtained in a plan view show a random pattern of regions with a reduced SCM signal for the samples implanted with fluence above 2 x 10 8 cm -2 . The reduced SCM signal is attributed to extra charges associated with acceptor states, such as V 2 (-/0), formed along the ion tracks in the bulk Si. Indeed, the electron emission rate from the V 2 (-/0) state is in the range of 10 kHz at room temperature, which is well below the probing frequency of the SCM measurements, resulting in 'freezing' of electrons at V 2 (-/0)

  7. Testing a GaAs cathode in SRF gun

    International Nuclear Information System (INIS)

    Wang, E.; Kewisch, J.; Ben-Zvi, I.; Burrill, A.; Rao, T.; Wu, Q.; Holmes, D.

    2011-01-01

    RF electron guns with a strained superlattice GaAs cathode are expected to generate polarized electron beams of higher brightness and lower emittance than do DC guns, due to their higher field gradient at the cathode's surface and lower cathode temperature. We plan to install a bulk GaAs:Cs in a SRF gun to evaluate the performance of both the gun and the cathode in this environment. The status of this project is: In our 1.3 GHz 1/2 cell SRF gun, the vacuum can be maintained at nearly 10 -12 Torr because of cryo-pumping at 2K. With conventional activation of bulk GaAs, we obtained a QE of 10% at 532 nm, with lifetime of more than 3 days in the preparation chamber and have shown that it can survive in transport from the preparation chamber to the gun. The beam line has been assembled and we are exploring the best conditions for baking the cathode under vacuum. We report here the progress of our test of the GaAs cathode in the SRF gun. Future particle accelerators, such as eRHIC and the ILC require high-brightness, high-current polarized electrons. Strained superlattice GaAs:Cs has been shown to be an efficient cathode for producing polarized electrons. Activation of GaAs with Cs,O(F) lowers the electron affinity and makes it energetically possible for all the electrons, excited into the conduction band that drift or diffuse to the emission surface, to escape into the vacuum. Presently, all operating polarized electron sources, such as the CEBAF, are DC guns. In these devices, the excellent ultra-high vacuum extends the lifetime of the cathode. However, the low field gradient on the photocathode's emission surface of the DC guns limits the beam quality. The higher accelerating gradients, possible in the RF guns, generate a far better beam. Until recently, most RF guns operated at room temperature, limiting the vacuum to ∼10 -9 Torr. This destroys the GaAs's NEA surface. The SRF guns combine the excellent vacuum conditions of DC guns and the high accelerating

  8. Investigations on liquid phase electroepitaxial growth kinetics of GaAs

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2004-01-01

    This paper presents a model based on solving a two-dimensional diffusion equation incorporating the electromigration effect by numerical simulation method corresponding to liquid phase electroepitaxial (LPEE) growth of GaAs, whose growth is limited by diffusion and electro migration of solute species. Using the numerical simulation method, the concentration profiles of As in Ga rich solution during the electroepitaxial growth of GaAs have been constructed in front of the growing crystal interface. Using the concentration gradient at the interface, the growth rate and thickness of the epitaxial layer of GaAs have been determined for different experimental growth conditions. The proposed model is based on the assumption that there is no convection in the solution. The results are discussed in detail

  9. Damage accumulation in ceramics during ion implantation

    International Nuclear Information System (INIS)

    McHargue, C.J.; Farlow, G.C.; Begun, G.M.; Williams, J.M.; White, C.W.; Appleton, B.R.; Sklad, P.S.; Angelini, P.

    1985-01-01

    The damage structures of α-Al 2 O 3 and α-SiC were examined as functions of ion implantation parameters using Rutherford backscattering-channeling, analytical electron microscopy, and Raman spectroscopy. Low temperatures or high fluences of cations favor formation of the amorphous state. At 300 0 K, mass of the bombarding species has only a small effect on residual damage, but certain ion species appear to stabilize the damage microstructure and increase the rate of approach to the amorphous state. The type of chemical bonding present in the host lattice is an important factor in determining the residual damage state

  10. Temperature Activated Diffusion of Radicals through Ion Implanted Polymers

    DEFF Research Database (Denmark)

    Wakelin, Edgar A.; Davies, Michael J.; Bilek, Marcela M. M.

    2015-01-01

    Plasma immersion ion implantation (PIII) is a promising technique for immobilizing biomolecules on the surface of polymers. Radicals generated in a subsurface layer by PIII treatment diffuse throughout the substrate, forming covalent bonds to molecules when they reach the surface. Understanding...... to the surface. The model makes useful predictions for the lifetime over which the surface is sufficiently active to covalently immobilize biomolecules and it can be used to determine radical fluence during biomolecule incubation for a range of storage and incubation temperatures so facilitating selection...

  11. Defects in N{sup +} ion-implanted ZnO single crystals studied by positron annihilation and Hall effect

    Energy Technology Data Exchange (ETDEWEB)

    Brauer, G.; Anwand, W.; Skorupa, W. [Institut fuer Ionenstrahlphysik und Materialforschung, Forschungszentrum Rossendorf, Dresden (Germany); Kuriplach, J.; Melikhova, O.; Cizek, J.; Prochazka, I. [Department of Low Temperature Physics, Faculty of Mathematics and Physics, Charles Univ., Prague (Czech Republic); Wenckstern, H. von; Brandt, M.; Lorenz, M.; Grundmann, M. [Institut fuer Experimentelle Physik II, Universitaet Leipzig (Germany)

    2007-07-01

    High quality ZnO single crystals of dimensions 10 x 10 x 0.5 mm{sup 3}, grown by a hydrothermal approach, have been implanted by 40 keV N{sup +} ions to a fluence of 1 x 10{sup 15} cm{sup -2} at room temperature. Their properties revealed by positron annihilation and Hall effect measurements are given in the as-grown and as-irradiated states, and after post-implantation annealing in an oxygen ambient at 200 C and 500 C. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Biphasic fluence-response curves for phytochrome-mediated kalanchoë seed germination : sensitization by gibberellic Acid.

    Science.gov (United States)

    Rethy, R; Dedonder, A; De Petter, E; Van Wiemeersch, L; Fredericq, H; De Greef, J; Steyaert, H; Stevens, H

    1987-01-01

    The fluence-response curves for the effect of two red pulses separated by 24 hours on the germination of Kalanchoe blossfeldiana Poelln. cv Vesuv seeds, incubated on gibberellic acid (GA(3)) are biphasic for suboptimal concentrations. The response in the low fluence range corresponds with a classical red/far-red reversible phytochrome mediated reaction. GA(3) induces an additional response in the very low fluence range, which is also phytochrome mediated. The sensitivity to phytochrome-far-red absorbing form (Pfr), however, is increased about 20,000-fold, so that even far-red fluences become saturating. Both in the very low and low fluence response range, the maximal responses induced by saturating fluences are modulated by the GA(3) concentration. GA(3) having no direct influence on the phytochrome phototransformations, alters the Pfr requirement and determines the responding seed population fraction in the very low and low fluence range. The effet of GA(3) appears to be on the transduction chain of the phytochrome signal.

  13. Amorphisation during elevated temperature implantation

    International Nuclear Information System (INIS)

    Carter, G.; Nobes, M.J.; Elliman, R.G.

    1994-01-01

    Transition state theory is employed to predict the rates of amorphous zone recrystallization by direct thermal and radiation mediated thermal annealing processes. These rates are functions of zone radius and are employed to describe the competition between amorphous zone generation and annealing during elevated temperature heavy ion implantation of, particularly, Si and the accumulation of amorphousness with increasing ion fluence. This analysis predicts a change from monotonic to sigmoidal to biexponential accumulation functions with increasing annealing rate or substrate temperature in agreement with experiments. A logarithmic dependence of ion flux density upon substrate temperature for the achievement of defined fractional amorphisation is predicted and is also in agreement with the experiment. (author)

  14. Study of crystal damage by ion implantation using micro RBS/channeling

    International Nuclear Information System (INIS)

    Grambole, D.; Herrmann, F.; Heera, V.; Meijer, J.

    2007-01-01

    The combination of microbeam implantation and in-situ micro RBS/channeling analysis in the Rossendorf nuclear microprobe facility enables crystal damage studies with high current densities not achievable in standard ion implantation experiments. Si(1 0 0) samples were implanted with 600 keV Si + ions and a fluence of 1 x 10 16 cm -2 . Using a beam spot of 200 μm x 200 μm current densities from 4 to 120 μA/cm 2 were obtained. The substrate temperature was varied between RT and 265 deg. C. The implanted regions were subsequently analysed by micro RBS/channeling with a 3 MeV He + beam having a spot size of 50 μm x 50 μm. Crystal damage up to amorphisation was observed in dependence on the substrate temperature. Above a critical temperature T C no amorphisation occurs. T C was determined for each series of samples implanted with the same ion current density j. It was found that the empirical Arrhenius relation j ∼ exp(-E a /kT C ), known from standard implantation experiments, is also valid at high current densities. The observed Arrhenius law can be derived from a model of epitaxial crystallisation stimulated by defect diffusion

  15. Neutron Fluence Evaluation using an Am-Be Neutron Sources Assembly and P ADC Detectors

    International Nuclear Information System (INIS)

    Seddik, U.

    2008-01-01

    An assembly of four 241 Am-Be sources has been constructed at Nuclear Reactions Unit (NRU) of Nuclear Research Center (NRU) to perform analysis of different materials using thermal and fast neutrons. In the present paper, we measure the value of transmittance (T) in percentage of etched CR-39 detectors using a spectrophotometer at different neutron fluences ,to relate the transmittance of the detector with the neutron fluence values. The exposed samples to neutrons with accumulated fluence of order between 10 10 and 10 12 cm -2 were etched for 15 time intervals between 10-600 min in 6.25 N NaOH at 70 degree C. The etched samples were analyzed using Tech 8500 II spectrophotometer. A trend of the sample transmission and the etching time is observed which is different for each fluence value. A linear relation between the transmittance decay constant and the neutron fluence is observed which could be used as a calibration to determine unknown neutron fluence

  16. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Balderas-Navarro, R.E. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Facultad de Ciencias, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico)

    2008-07-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E{sub 1} optical transition as a probe. We follow the kinetics of the deposition of GaAs and In{sub 0.3}Ga{sub 0.7}As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As{sub 4} or As{sub 2} flux pressure of 5 x 10{sup -6} Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    International Nuclear Information System (INIS)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F.; Balderas-Navarro, R.E.

    2008-01-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E 1 optical transition as a probe. We follow the kinetics of the deposition of GaAs and In 0.3 Ga 0.7 As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As 4 or As 2 flux pressure of 5 x 10 -6 Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Comparative study of metal and non-metal ion implantation in polymers: Optical and electrical properties

    International Nuclear Information System (INIS)

    Resta, V.; Quarta, G.; Farella, I.; Maruccio, L.; Cola, A.; Calcagnile, L.

    2014-01-01

    The implantation of 1 MeV metal ( 63 Cu + , 107 Ag + , 197 Au + ) and non-metal ( 4 He + , 12 C + ) ions in a polycarbonate (PC) matrix has been studied in order to evaluate the role of ion species in the modification of optical and electrical properties of the polymer. When the ion fluence is above ∼1 × 10 13 ions cm −2 , the threshold for latent tracks overlapping is overcome and π-bonded carbon clusters grow and aggregate forming a network of conjugated C=C bonds. For fluences around 1 × 10 17 ions cm −2 , the aggregation phenomena induce the formation of amorphous carbon and/or graphite like structures. At the same time, nucleation of metal nanoparticles (NPs) from implanted species can take place when the supersaturation threshold is overcome. The optical absorption of the samples increases in the visible range and the optical band gap redshifts from 3.40 eV up to 0.70 eV mostly due to the carbonization process and the formation of C 0x clusters and cluster aggregates. Specific structures in the extinction spectra are observed when metal ions are selected in contrast to the non-metal ion implanted PC, thus revealing the possible presence of noble metal based NPs interstitial to the C 0x cluster network. The corresponding electrical resistance decreases much more when metal ions are implanted with at least a factor of 2 orders of magnitude difference than the non-metal ions based samples. An absolute value of ∼10 7 Ω/sq has been measured for implantation with metals at doses higher than 5 × 10 16 ions cm −2 , being 10 17 Ω/sq the corresponding sheet resistance for pristine PC

  19. Comparative study of metal and non-metal ion implantation in polymers: Optical and electrical properties

    Energy Technology Data Exchange (ETDEWEB)

    Resta, V., E-mail: vincenzo.resta@le.infn.it [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy); Quarta, G. [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy); Farella, I. [Institute for Microelectronics and Microsystems – Unit of Lecce, National Council of Research (IMM/CNR), Lecce I-73100 (Italy); Maruccio, L. [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy); Cola, A. [Institute for Microelectronics and Microsystems – Unit of Lecce, National Council of Research (IMM/CNR), Lecce I-73100 (Italy); Calcagnile, L. [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy)

    2014-07-15

    The implantation of 1 MeV metal ({sup 63}Cu{sup +}, {sup 107}Ag{sup +}, {sup 197}Au{sup +}) and non-metal ({sup 4}He{sup +}, {sup 12}C{sup +}) ions in a polycarbonate (PC) matrix has been studied in order to evaluate the role of ion species in the modification of optical and electrical properties of the polymer. When the ion fluence is above ∼1 × 10{sup 13} ions cm{sup −2}, the threshold for latent tracks overlapping is overcome and π-bonded carbon clusters grow and aggregate forming a network of conjugated C=C bonds. For fluences around 1 × 10{sup 17} ions cm{sup −2}, the aggregation phenomena induce the formation of amorphous carbon and/or graphite like structures. At the same time, nucleation of metal nanoparticles (NPs) from implanted species can take place when the supersaturation threshold is overcome. The optical absorption of the samples increases in the visible range and the optical band gap redshifts from 3.40 eV up to 0.70 eV mostly due to the carbonization process and the formation of C{sub 0x} clusters and cluster aggregates. Specific structures in the extinction spectra are observed when metal ions are selected in contrast to the non-metal ion implanted PC, thus revealing the possible presence of noble metal based NPs interstitial to the C{sub 0x} cluster network. The corresponding electrical resistance decreases much more when metal ions are implanted with at least a factor of 2 orders of magnitude difference than the non-metal ions based samples. An absolute value of ∼10{sup 7} Ω/sq has been measured for implantation with metals at doses higher than 5 × 10{sup 16} ions cm{sup −2}, being 10{sup 17} Ω/sq the corresponding sheet resistance for pristine PC.

  20. Effects of surface passivation on twin-free GaAs nanosheets.

    Science.gov (United States)

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  1. Electric field effect of GaAs monolayer from first principles

    Directory of Open Access Journals (Sweden)

    Jiongyao Wu

    2017-03-01

    Full Text Available Using first-principle calculations, we investigate two-dimensional (2D honeycomb monolayer structures composed of group III-V binary elements. It is found that such compound like GaAs should have a buckled structure which is more stable than graphene-like flat structure. This results a polar system with out-of-plane dipoles arising from the non-planar structure. Here, we optimized GaAs monolayer structure, then calculated the electronic band structure and the change of buckling height under external electric field within density functional theory using generalized gradient approximation method. We found that the band gap would change proportionally with the electric field magnitude. When the spin-orbit coupling (SOC is considered, we revealed fine spin-splitting at different points in the reciprocal space. Furthermore, the valence and conduction bands spin-splitting energies due to SOC at the K point of buckled GaAs monolayers are found to be weakly dependent on the electric field strength. Finally electric field effects on the spin texture and second harmonic generation are discussed. The present work sheds light on the control of physical properties of GaAs monolayer by the applied electric field.

  2. Moessbauer and TEM study of martensitic transformations in ion implanted 17/7 stainless steel

    International Nuclear Information System (INIS)

    Johnson, E.; Johansen, A.; Sarholt-Kristensen, L.; Graabaek, L.

    1986-01-01

    It has earlier been shown that implantation of antimony into austenitic stainless steels induces martensitic phase transformations γ (fcc)→α (bcc). In the present work we have investigated which mechanisms are responsible for the transformation. Samples of 17/7 steels were implanted with noble gases (Kr, Ar) or the stainless steel constituent elements (Fe, Ni, Cr). The energies were selected to give ranges ∝40 nm. The phases present after implantation and the microstructures of the implanted samples were studied by CEMS and TEM respectively. A martensitic (α) phase was found to form after implantation both with Ni, Fe and Cr, in spite of the fact that these elements have opposite tendencies for stabilization of the austenite (γ) phase. The efficiency of martensite formation is therefore mainly related to stress relief associated with secondary radiation damage. This was substantiated from the noble gas implantations, where the highest degree of transformation was observed for fluences where bubble formation occurs. The CEMS analyses show that the transformation efficiency in such cases is nearly 100%. The hyperfine parameters of the implantation induced α phase are similar to those from conventionally induced martensites. (orig.)

  3. Substrate and Mg doping effects in GaAs nanowires

    Directory of Open Access Journals (Sweden)

    Perumal Kannappan

    2017-10-01

    Full Text Available Mg doping of GaAs nanowires has been established as a viable alternative to Be doping in order to achieve p-type electrical conductivity. Although reports on the optical properties are available, few reports exist about the physical properties of intermediate-to-high Mg doping in GaAs nanowires grown by molecular beam epitaxy (MBE on GaAs(111B and Si(111 substrates. In this work, we address this topic and present further understanding on the fundamental aspects. As the Mg doping was increased, structural and optical investigations revealed: i a lower influence of the polytypic nature of the GaAs nanowires on their electronic structure; ii a considerable reduction of the density of vertical nanowires, which is almost null for growth on Si(111; iii the occurrence of a higher WZ phase fraction, in particular for growth on Si(111; iv an increase of the activation energy to release the less bound carrier in the radiative state from nanowires grown on GaAs(111B; and v a higher influence of defects on the activation of nonradiative de-excitation channels in the case of nanowires only grown on Si(111. Back-gate field effect transistors were fabricated with individual nanowires and the p-type electrical conductivity was measured with free hole concentration ranging from 2.7 × 1016 cm−3 to 1.4 × 1017 cm−3. The estimated electrical mobility was in the range ≈0.3–39 cm2/Vs and the dominant scattering mechanism is ascribed to the WZ/ZB interfaces. Electrical and optical measurements showed a lower influence of the polytypic structure of the nanowires on their electronic structure. The involvement of Mg in one of the radiative transitions observed for growth on the Si(111 substrate is suggested.

  4. Anisotropy of electrical conductivity in dc due to intrinsic defect formation in α-Al{sub 2}O{sub 3} single crystal implanted with Mg ions

    Energy Technology Data Exchange (ETDEWEB)

    Tardío, M., E-mail: mtardio@fis.uc3m.es [Departamento de Física, Escuela Politécnica Superior, Universidad Carlos III, Avda. de la Universidad, 30, 28911 Leganés (Madrid) (Spain); Egaña, A.; Ramírez, R.; Muñoz-Santiuste, J.E. [Departamento de Física, Escuela Politécnica Superior, Universidad Carlos III, Avda. de la Universidad, 30, 28911 Leganés (Madrid) (Spain); Alves, E. [Instituto de Plasmas e Fusão Nuclear, Instituto Superior Técnico, Universidade de Lisboa, 2695-066 Bobadela (Portugal)

    2016-07-15

    The electrical conductivity in α-Al{sub 2}O{sub 3} single crystals implanted with Mg ions in two different crystalline orientations, parallel and perpendicular to c axis, was investigated. The samples were implanted at room temperature with energies of 50 and 100 keV and fluences of 1 × 10{sup 15}, 5 × 10{sup 15} and 5 × 10{sup 16} ions/cm{sup 2}. Optical characterization reveals slight differences in the absorption bands at 6.0 and 4.2 eV, attributed to F type centers and Mie scattering from Mg precipitates, respectively. DC electrical measurements using the four and two-point probe methods, between 295 and 490 K, were used to characterize the electrical conductivity of the implanted area (Meshakim and Tanabe, 2001). Measurements in this temperature range indicate that: (1) the electrical conductivity is thermally activated independently of crystallographic orientation, (2) resistance values in the implanted region decrease with fluence levels, and (3) the I–V characteristic of electrical contacts in samples with perpendicular c axis orientation is clearly ohmic, whereas contacts are blocking in samples with parallel c axis. When thin layers are sequentially removed from the implanted region by immersing the sample in a hot solution of nitric and fluorhydric acids the electrical resistance increases until reaching the values of non-implanted crystal (Jheeta et al., 2006). We conclude that the enhancement in conductivity observed in the implanted regions is related to the intrinsic defects created by the implantation rather than to the implanted Mg ions (da Silva et al., 2002; Tardío et al., 2001; Tardío et al., 2008).

  5. Proton implantation effect on (SUS-316) stainless steel

    International Nuclear Information System (INIS)

    Das, A.K.; Ishigami, R.; Kamal, I.

    2015-01-01

    Microstructural damage and nano hardness of the industrial grade stainless steel (SUS-316) have been studied under proton (H + ) implanted condition applying different doses at room temperature. The implantation scheme such as proton energy, fluence, irradiation time, and penetration depth in the target materials were estimated by Monte Carlo Simulation Code SRIM-2008. In the simulation, the parameters were chosen in such a way that the damage density (displacement per atom or dpa) would be uniform up to certain depth from the surface. X-ray diffraction study of the annealed samples prior to the proton implantation showed the austenitic fcc structure and no significant change was observed after proton implantation in it. Microstructural observation made by Scanning Transmission Electron Microscopy (STEM) revealed that 1 dpa of proton-irradiation induced the structural damage extended up to 1 μm depth from the surface. The nano hardness study showed that the hardness level of the irradiated samples increased monotonically with the irradiation doses. Proton dose of 1 dpa caused 65% increment of hardness level on average in case of uniformly irradiated samples. It was realized that the increment of hardness was a consequence of microstructural damages caused by the formation of interstitial dislocation loops in the sample matrix keeping the lattice structure unaffected

  6. Synthesis of dilute magnetic semiconductors by ion implantation

    International Nuclear Information System (INIS)

    Braunstein, G.H.; Dresselhaus, G.; Withrow, S.P.

    1986-01-01

    We have synthesized layers of CdMnTe by implantation of Mn into CdTe. Samples of CdTe have been implanted with Mn ions of 60 keV energy to fluences in the range 1 x 10 13 cm -2 to 2 x 10 16 cm -2 resulting in local concentrations of up to 10% at the maximum of the Mn distribution. Rutherford backscattering-channeling analysis has been used to study the radiation damage after implantation and after subsequent rapid thermal annealing (RTA). These experiments reveal that RTA for 15 sec at a temperature T greater than or equal to 700 0 C results in the complete recovery of the lattice order, without affecting the stoichiometry of CdTe. Photoluminescence (PL) measurements of a sample showing complete annealing reveal an increase in the band gap corresponding to the synthesis of very dilute (x approx. = 0.004) Cd/sub 1-x/Mn/sub x/Te. A shift of the excitonic PL peak to lower energies is observed when a magnetic field H less than or equal to 1T is applied. These measurements provide clear evidence for the synthesis of a DMS by ion implantation of Mn into CdTe

  7. Incorporating the effects of lateral spread of the primary fluence, into compensator design

    International Nuclear Information System (INIS)

    Reece, P.J.; Hoban, P.

    2000-01-01

    Full text: In this study we extended ideas developed by Faddegon and Pfalzner on the construction of patient specific compensating filters. Their research was essentially focused on formulating a general method for creating compensators using a 3D planning system. In their work Faddegon and Pfalzner utilized a simple attenuation model to convert transmission arrays into filter thickness arrays. The compensators constructed from these arrays produce the primary fluence required to give a uniform dose distribution at a specified depth. This technique does not account for local geometric variations hi compensator scattering conditions. Therefore we have devised a method to incorporate the effects of lateral spread of the primary fluence passing through the compensating filter. A 2D Gaussian kernel, generated from Monte Carlo measurements, was used to model the spread of the primary fluence in the compensating filter. A 'maximum likelihood' optimisation algorithm was employed to deconvolve the kernel from the desired primary fluence to produce a more realistic incident fluence and compensator thickness array. The CMS FOCUS planning system was used to generate transmission maps corresponding to the desired influence of the compensating filter. Two compensating filters were constructed for each map, one using the standard attenuation method and the other with our method. For each method, an assessment was made using film dosimetry, on the degree of correlation between the desired primary fluence and the primary fluence produced by the compensating filter. Our results indicate that for compensating filters which are relatively uniform in thickness, there is good agreement between desired and delivered fluence maps for both methods. For non-uniform compensating filters the attenuation method deviates more notably from the desired fluence map. As expected, both methods also show significant deviations around the edges of the filter. It is anticipated that the work done here

  8. High-level damage saturation below amorphisation in ion implanted β-Ga{sub 2}O{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Wendler, Elke, E-mail: elke.wendler@uni-jena.de; Treiber, Enrico; Baldauf, Julia; Wolf, Steffen; Ronning, Carsten

    2016-07-15

    Ion implantation induced effects were studied in single crystalline 〈0 1 0〉 oriented bulk β-Ga{sub 2}O{sub 3} at room temperature using P, Ar and Sn ions with ion fluences ranging from 1 × 10{sup 11} up to 2 × 10{sup 15} cm{sup −2}. Rutherford backscattering spectrometry in channelling configuration (RBS) using He ions of various ion energies was applied for damage analysis. Clear damage peaks are visible in the RBS spectra. The concentration of displaced lattice atoms in the maximum of the distribution (as deduced from the channelling spectra) increases with increasing ion fluence up to a saturation value of about 90%. Once this level is reached, further implantation only leads to a broadening of the distribution, while the concentration remains at 90%. The ion fluence dependence of maximum damage concentration is represented by a common model assuming two types of defects: point defects (which can recombine with those already existing from previous ion impacts) and non-recombinable damage clusters. The damage produced dominantly consists of randomly displaced lattice atoms, which indicates point defects and point defect complexes. For higher damage levels also a contribution of correlated displaced lattice atoms can be identified. This suggests that the damage clusters are not amorphous. A possible explanation of the observed results could be the formation of another phase of Ga{sub 2}O{sub 3}.

  9. Deduction of solar neutron fluences from large gamma-ray flares

    International Nuclear Information System (INIS)

    Yoshimori, Masato; Watanabe, Hiroyuki; Takahashi, Kazuyoshi.

    1986-01-01

    Solar neutron fluences from large gamma-ray flares are deduced from accelerated proton spectra and numbers derived from the gamma-ray observations. The deduced solar neutron fluences range from 1 to 200 neutrons cm -2 . The present result indicates a possibility that high sensitivity ground-based neutron monitors can detect solar neutron events, just as detected by the Jungfraujoch and Rome neutron monitors. (author)

  10. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  11. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  12. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  13. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.; Ghoneim, Mohamed T.; Droopad, Ravi; Hussain, Muhammad Mustafa

    2014-01-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  14. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.

    2014-08-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  15. GaAs nanocrystals: Structure and vibrational properties

    International Nuclear Information System (INIS)

    Nayak, J.; Sahu, S.N.; Nozaki, S.

    2006-01-01

    GaAs nanocrystals were grown on indium tin oxide substrate by an electrodeposition technique. Atomic force microscopic measurement indicates an increase in the size of the nanocrystal with decrease in the electrolysis current density accompanied by the change in the shape of the crystallite. Transmission electron microscopic measurements identify the crystallite sizes to be in the range of 10-15 nm and the crystal structure to be orthorhombic. On account of the quantum size effect, the first optical transition was blue shifted with respect to the band gap of the bulk GaAs and the excitonic peak appeared prominent. A localized phonon mode ascribed to certain point defect occurred in the room temperature micro-Raman spectrum

  16. Ion implantation-induced defects in Oxide Dispersion Strengthened (ODS) steel probed by positron annihilation spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Anwand, Wolfgang; Butterling, Maik; Brauer, Gerhard; Wagner, Andreas [HZDR, Institut fuer Strahlenphysik (Germany); Richter, Astrid [Technische Hochschule Wildau (Germany); Koegler, Reinhard [HZDR, Institut fuer Ionenstrahlphysik und Materialforschung (Germany); Chen, C.L. [I-Shou University, Kaohsiung (China)

    2012-07-01

    ODS steel is a promising candidate for an application in fission and fusion power plants of a new generation because of its advantageous properties as stability and temperature resistance. A microscopic understanding of the physical reasons of the mechanical and thermal properties as well as the behaviour of the material under irradiation is an important pre-condition for such applications. The investigated ODS FeCrAl alloy *PM2000* has been produced in a powder metallurgical way. Neutron-induced damage at ODS steel was simulated by He{sup +} and Fe{sup 2+} co-implantation with energies of 2.5 MeV and 400 keV, respectively, and different fluences. The implantation has been carried out with a dual ion beam which enables a simultaneous implantation of both ion types. Thereby the Fe{sup 2+} implantation was used for the creation of radiation defects, and He{sup +} was implanted in order to reproduce He bubbles as they are expected to appear by neutron irradiation. The implantation-induced damage was investigated by depth dependent Doppler broadening measurements using a variable energy slow positron beam.

  17. Fluence inhomogeneities due to a ripple filter induced Moiré effect.

    Science.gov (United States)

    Ringbæk, Toke Printz; Brons, Stephan; Naumann, Jakob; Ackermann, Benjamin; Horn, Julian; Latzel, Harald; Scheloske, Stefan; Galonska, Michael; Bassler, Niels; Zink, Klemens; Weber, Uli

    2015-02-07

    At particle therapy facilities with pencil beam scanning, the implementation of a ripple filter (RiFi) broadens the Bragg peak, so fewer energy steps from the accelerator are required for a homogeneous dose coverage of the planning target volume (PTV). However, sharply focusing the scanned pencil beams at the RiFi plane by ion optical settings can lead to a Moiré effect, causing fluence inhomogeneities at the isocenter. This has been experimentally proven at the Heidelberg Ionenstrahl-Therapiezentrum (HIT), Universitätsklinikum Heidelberg, Germany. 150 MeV u(-1) carbon-12 ions are used for irradiation with a 3 mm thick RiFi. The beam is focused in front of and as close to the RiFi plane as possible. The pencil beam width is estimated to be 0.78 mm at a 93 mm distance from the RiFi. Radiographic films are used to obtain the fluence profile 30 mm in front of the isocenter, 930 mm from the RiFi. The Monte Carlo (MC) code SHIELD-HIT12A is used to determine the RiFi-induced inhomogeneities in the fluence distribution at the isocenter for a similar setup, pencil beam widths at the RiFi plane ranging from σχ(RiFi to 1.2 mm and for scanning step sizes ranging from 1.5 to 3.7 mm. The beam application and monitoring system (BAMS) used at HIT is modelled and simulated. When the width of the pencil beams at the RiFi plane is much smaller than the scanning step size, the resulting inhomogeneous fluence distribution at the RiFi plane interfers with the inhomogeneous RiFi mass distribution and fluence inhomogeneity can be observed at the isocenter as large as an 8% deviation from the mean fluence. The inverse of the fluence ripple period at the isocenter is found to be the difference between the inverse of the RiFi period and the inverse of the scanning step size. We have been able to use MC simulations to reproduce the spacing of the ripple stripes seen in films irradiated at HIT. Our findings clearly indicate that pencil beams sharply focused near the RiFi plane result in

  18. Growth of GaAs-nanowires on GaAs (111)B substrates induced by focused ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Schott, Ruediger; Reuter, Dirk; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany)

    2011-07-01

    Semiconductor nanowires are a promising system for applications in the areas of electronics and photonics and also for exploring phenomena at the nanoscale. There are several approaches to grow nanowires at arbitrary sites on the wafer. We report about growing GaAs-nanowires on GaAs(111)B substrates via the vapour-liquid-solid (VLS) mechanism in an ultra-high-vacuum (UHV)-cluster of a molecular beam epitaxy (MBE) and a focused ion beam (FIB) system. Our idea is to implant metal seeds (especially Au) for the nanowire growth by in situ patterning using FIB. Due to the UHV transfer between the FIB and the MBE chamber, no further cleaning step of the substrate surface is necessary. Formations of organized GaAs-nanowires and high aspect ratios are observed.

  19. Pump-probe studies of travelling coherent longitudinal acoustic phonon oscillations in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Y.; Qi, J.; Tolk, Norman [Department of Physics and Astronomy, Vanderbilt University, Nashville, TN, 37235 (United States); Miller, J. [Naval air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Cho, Y.J.; Liu, X.; Furdyna, J.K. [Department of Physics, University of Notre Dame, Notre Dame, IN 46556 (United States); Shahbazyan, T.V. [Department of Physics, Jackson State University, MS 39217 (United States)

    2008-07-01

    We report comprehensive studies of long-lived oscillations in femtosecond optical pump-probe measurements on GaAs based systems. The oscillations arise from a photo-generated coherent longitudinal acoustic phonon wave at the sample surface, which subsequently travels from the surface into the GaAs substrate, thus providing information on the optical properties of the material as a function of time/depth. Wavelength-dependent studies of the oscillations near the bandgap of GaAs indicate strong correlations to the optical properties of GaAs. We also use the coherent longitudinal acoustic phonon waves to probe a thin buried Ga{sub 0.1}In{sub 0.9}As layers non-invasively. The observed phonon oscillations experience a reduction in amplitude and a phase change at wavelengths near the bandgap of the GaAs, when it passes through the thin Ga{sub x}In{sub 1-x}As layer. The layer depth and thicknesses can be extracted from the oscillation responses. A model has been developed that satisfactorily characterizes the experimental results. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. GaAs optoelectronic neuron arrays

    Science.gov (United States)

    Lin, Steven; Grot, Annette; Luo, Jiafu; Psaltis, Demetri

    1993-01-01

    A simple optoelectronic circuit integrated monolithically in GaAs to implement sigmoidal neuron responses is presented. The circuit integrates a light-emitting diode with one or two transistors and one or two photodetectors. The design considerations for building arrays with densities of up to 10,000/sq cm are discussed.

  1. Optical absorption analysis on diamond crystals modified by H2+ implantation and subsequent annealing

    International Nuclear Information System (INIS)

    Ma, Z.Q.; Naramoto, Hiroshi; Aoki, Yasushi; Yamamoto, Shunya; Takeshita, Hidefumi; Goppelt-Langer, P.C.

    1995-01-01

    The optical absorption analysis on synthetic diamond irradiated by molecular hydrogen ions (H 2 + ) with 40 keV, 10 15 -10 17 H/cm 2 , at 100 K, showed that the absorption coefficient (α) of modified layer in UV-VIS range was increased with the implanted dose and decreased with thermal annealing. While its relative optical band gap (E r,opt ) was decreased with ion fluence and proportional to the annealing temperature. The possible microstructure of atomic coordination for as-implanted and subsequent annealing samples was discussed tentatively. In addition the optical inhomogeneity of the type Ib diamond has been revealed by absorption topograph at λ=430 nm. (author)

  2. Luminescence properties of Tb implanted ZnO

    International Nuclear Information System (INIS)

    Cetin, A.; Kibar, R.; Selvi, S.; Townsend, P.D.; Can, N.

    2009-01-01

    ZnO [0 0 0 1] crystals were irradiated at room temperature with Tb + ions of 400 keV with fluences from 1x10 16 to 2x10 17 cm -2 . The implanted layer was examined by several methods, including radioluminescence (RL), Rutherford backscattering spectrometry (RBS) and optical spectroscopy. The optical extinction spectra were simulated using Mie scattering theory. Absorption spectra predicted by Mie theory for particles of decreasing diameter were compared with those obtained experimentally. Some qualitative agreement between theoretical and experimental data was achieved. It was also shown that the intensities of the characteristic green emission bands associated with Tb produced by 5 D 4 → 7 F j=5,4 transitions have increased about 8 times after annealing. Optical spectroscopy and radioluminescence data have revealed that the ion implantation is a promising tool for synthesizing Tb nanoparticles in the ZnO surface. The Tb nanoparticles exhibit a rather weak plasma resonance.

  3. Thermal characterization of Ag and Ag + N ion implanted ultra-high molecular weight polyethylene (UHMWPE)

    Energy Technology Data Exchange (ETDEWEB)

    Sokullu Urkac, E. [Department of Materials Science, Izmir High Technology Institute, Gulbahcekoyu Urla, Izmir (Turkey)]. E-mail: emelsu@gmail.com; Oztarhan, A. [Bioengineering Department, Ege University, Bornova, Izmir 35100 (Turkey); Tihminlioglu, F. [Department of Chemical Engineering, Izmir High Technology Institute, Gulbahcekoyu Urla, Izmir (Turkey); Kaya, N. [Bioengineering Department, Ege University, Bornova, Izmir 35100 (Turkey); Ila, D. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Muntele, C. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Budak, S. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Oks, E. [H C Electronics Institute, Tomsk (Russian Federation); Nikolaev, A. [H C Electronics Institute, Tomsk (Russian Federation); Ezdesir, A. [R and D Department, PETKIM Holding A.S., Aliaga, Izmir 35801 (Turkey); Tek, Z. [Department of Physics, Celal Bayar University, Manisa (Turkey)

    2007-08-15

    Most of total hip joints are composed of ultra-high molecular weight polyethylene (UHMWPE ). However, as ultra-high molecular weight polyethylene is too stable in a body, wear debris may accumulate and cause biological response such as bone absorption and loosening of prosthesis. In this study, ultra-high molecular weight polyethylene samples were Ag and Ag + N hybrid ion implanted by using MEVVA ion implantation technique to improve its surface properties. Samples were implanted with a fluence of 10{sup 17} ion/cm{sup 2} and extraction voltage of 30 kV. Implanted and unimplanted samples were investigated by thermo-gravimetry analysis (TGA), differential scanning calorimetry (DSC), X-ray diffraction (XRD) analysis, scanning electron microscopy (SEM), optical microscopy (OM) and contact Angle measurement. Thermal characterization results showed that the ion bombardment induced an increase in the % crystallinity, onset and termination degradation temperatures of UHMWPE.

  4. Enhancement of conductance of GaAs sub-microwires under external stimuli

    Science.gov (United States)

    Qu, Xianlin; Deng, Qingsong; Zheng, Kun

    2018-03-01

    Semiconductors with one dimension on the micro-nanometer scale have many unique physical properties that are remarkably different from those of their bulk counterparts. Moreover, changes in the external field will further modulate the properties of the semiconductor micro-nanomaterials. In this study, we used focused ion beam technology to prepare freestanding ⟨111⟩-oriented GaAs sub-microwires from a GaAs substrate. The effects of laser irradiation and bending or buckling deformation induced by compression on the electrical transport properties of an individual GaAs sub-microwire were studied. The experimental results indicate that both laser irradiation and bending deformation can enhance their electrical transport properties, the laser irradiation resulted in a conductance enhancement of ˜30% compared to the result with no irradiation, and in addition, bending deformation changed the conductance by as much as ˜180% when the average strain was approximately 1%. The corresponding mechanisms are also discussed. This study provides beneficial insight into the fabrication of electronic and optoelectronic devices based on GaAs micro/nano-wires.

  5. Response of GaAs charge storage devices to transient ionizing radiation

    Science.gov (United States)

    Hetherington, D. L.; Klem, J. F.; Hughes, R. C.; Weaver, H. T.

    Charge storage devices in which non-equilibrium depletion regions represent stored charge are sensitive to ionizing radiation. This results since the radiation generates electron-hole pairs that neutralize excess ionized dopant charge. Silicon structures, such as dynamic RAM or CCD cells are particularly sensitive to radiation since carrier diffusion lengths in this material are often much longer than the depletion width, allowing collection of significant quantities of charge from quasi-neutral sections of the device. For GaAs the situation is somewhat different in that minority carrier diffusion lengths are shorter than in silicon, and although mobilities are higher, we expect a reduction of radiation sensitivity as suggested by observations of reduced quantum efficiency in GaAs solar cells. Dynamic memory cells in GaAs have potential increased retention times. In this paper, we report the response of a novel GaAs dynamic memory element to transient ionizing radiation. The charge readout technique is nondestructive over a reasonable applied voltage range and is more sensitive to stored charge than a simple capacitor.

  6. Comparative research on the transmission-mode GaAs photocathodes of exponential-doping structures

    International Nuclear Information System (INIS)

    Chen Liang; Qian Yun-Sheng; Zhang Yi-Jun; Chang Ben-Kang

    2012-01-01

    Early research has shown that the varied doping structures of the active layer of GaAs photocathodes have been proven to have a higher quantum efficiency than uniform doping structures. On the basis of our early research on the surface photovoltage of GaAs photocathodes, and comparative research before and after activation of reflection-mode GaAs photocathodes, we further the comparative research on transmission-mode GaAs photocathodes. An exponential doping structure is the typical varied doping structure that can form a uniform electric field in the active layer. By solving the one-dimensional diffusion equation for no equilibrium minority carriers of transmission-mode GaAs photocathodes of the exponential doping structure, we can obtain the equations for the surface photovoltage (SPV) curve before activation and the spectral response curve (SRC) after activation. Through experiments and fitting calculations for the designed material, the body-material parameters can be well fitted by the SPV before activation, and proven by the fitting calculation for SRC after activation. Through the comparative research before and after activation, the average surface escape probability (SEP) can also be well fitted. This comparative research method can measure the body parameters and the value of SEP for the transmission-mode GaAs photocathode more exactly than the early method, which only measures the body parameters by SRC after activation. It can also help us to deeply study and exactly measure the parameters of the varied doping structures for transmission-mode GaAs photocathodes, and optimize the Cs-O activation technique in the future. (electromagnetism, optics, acoustics, heat transfer, classical mechanics, and fluid dynamics)

  7. Metallization systems for stable ohmic contacts to GaAs

    International Nuclear Information System (INIS)

    Tandon, J.L.; Douglas, K.D.; Vendura, G.; Kolawa, E.; So, F.C.T.; Nicolet, M.A.

    1986-01-01

    A metallization scheme to form reproducible and stable ohmic contacts to GaAs is described. The approach is based on the configuration: GaAs/X/Y/Z; where X is a thin metal film (e.g. Pt, Ti, Pd, Ru), Y is an electrically conducting diffusion barrier layer (TiN, W or W/sub 0.7/N/sub 0.3/), and Z is a thick metal layer (e.g. Ag) typically required for bonding or soldering purposes. The value and reproducibility of the contact resistance in these metallization systems results from the uniform steady-state solid-phase reaction of the metal X with GaAs. The stability of the contacts is achieved by the diffusion barrier layer Y, which not only confines the reaction of X with GaAs, but also prevents the top metal layer Z from interfering with this reaction. Applications of such contacts in fabricating stable solar cells are also discussed

  8. Self-assembled colloidal PbS quantum dots on GaAs substrates

    International Nuclear Information System (INIS)

    Lue, Wei; Yamada, Fumihiko; Kamiya, Itaru

    2010-01-01

    We report the fabrication and analysis of self-assembled monolayer and bilayer films of colloidal PbS quantum dots (QDs) on GaAs (001) substrates. 1,6-hexanedithiol is used as link molecule between QDs and GaAs substrates. Atomic force microscopy (AFM) and photoluminescence (PL) measurements confirm the formation of PbS QD film on GaAs. For the monolayer PbS QD film, the temperature-dependent PL shows a feature typical of close-packed film. For the bilayer PbS QD film fabricated from two different mean-sized PbS QDs, we find that the stacking sequence of QDs with different size affects the quantum yield and emission wavelength of the film.

  9. Lithium concentration dependence of implanted helium retention in lithium silicates

    Energy Technology Data Exchange (ETDEWEB)

    Szocs, D.E., E-mail: szocsd@rmki.kfki.h [KFKI Research Institute for Particle and Nuclear Physics, H-1525 Budapest, P.O. Box 49 (Hungary); Szilagyi, E.; Bogdan, Cs.; Kotai, E. [KFKI Research Institute for Particle and Nuclear Physics, H-1525 Budapest, P.O. Box 49 (Hungary); Horvath, Z.E. [Research Institute for Technical Physics and Materials Science, H-1525 Budapest, P.O. Box 49 (Hungary)

    2010-06-15

    Helium ions of 500 keV were implanted with a fluence of 1.4 x 10{sup 17} ion/cm{sup 2} into various lithium silicates to investigate whether a threshold level of helium retention exists in Li-containing silicate ceramics similar to that found in SiO{sub x} in previous work. The composition and phases of the as prepared lithium silicates were determined by proton backscattering spectrometry (p-BS) and X-ray diffraction (XRD) methods with an average error of {+-}10%. Electrostatic charging of the samples was successfully eliminated by wrapping the samples in Al foil. The amounts of the retained helium within the samples were determined by subtracting the non-implanted spectra from the implanted ones. The experimental results show a threshold in helium retention depending on the Li concentration. Under 20 at.% all He is able to escape from the material; at around 30 at.% nearly half of the He, while over 65 at.% all implanted He is retained. With compositions expressed in SiO{sub 2} volume percentages, a trend similar to those reported of SiO{sub x} previously is found.

  10. Ab initio structural and vibrational properties of GaAs diamondoids and nanocrystals

    Directory of Open Access Journals (Sweden)

    Mudar Ahmed Abdulsattar

    2014-12-01

    Full Text Available Gallium arsenide diamondoids structural and vibrational properties are investigated using density functional theory at the PBE/6-31(d level and basis including polarization functions. Variation of energy gap as these diamondoids increase in size is seen to follow confinement theory for diamondoids having nearly equiaxed dimensions. Density of energy states transforms from nearly single levels to band structure as we reach larger diamondoids. Bonds of surface hydrogen with As atoms are relatively localized and shorter than that bonded to Ga atoms. Ga-As bonds have a distribution range of values due to surface reconstruction and effect of bonding to hydrogen atoms. Experimental bulk Ga-As bond length (2.45 Å is within this distribution range. Tetrahedral and dihedral angles approach values of bulk as we go to higher diamondoids. Optical-phonon energy of larger diamondoids stabilizes at 0.037 eV (297 cm-1 compared to experimental 0.035 eV (285.2 cm-1. Ga-As force constant reaches 1.7 mDyne/Å which is comparable to Ga-Ge force constant (1.74 mDyne/Å. Hydrogen related vibrations are nearly constant and serve as a fingerprint of GaAs diamondoids while Ga-As vibrations vary with size of diamondoids.

  11. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  12. Changes of surface layer of nitrogen-implanted AISI316L stainless steel

    International Nuclear Information System (INIS)

    Budzynski, P.; Polanski, K.; Kobzev, A.P.

    2007-01-01

    The effects of nitrogen ion implantation into AISI316L stainless steel on friction, wear, and microhardness have been investigated at an energy level of 125 keV at a fluence of 1·10 17 - 1·10 18 N/cm 2 . The composition of the surface layer was investigated by RBS, XRD (GXRD), SEM and EDX. The friction coefficient and abrasive wear rate of the stainless steel were measured in the atmospheres of air, oxygen, argon, and in vacuum. As follows from the investigations, there is an increase in resistance to frictional wear in the studied samples after implantation; however, these changes are of different characters in various atmospheres. The largest decrease in wear was observed during tests in the air, and the largest reduction in the value of the friction coefficient for all implanted samples was obtained during tests in the argon atmosphere. Tribological tests revealed larger contents of nitrogen, carbon, and oxygen in the products of surface layer wear than in the surface layer itself of the sample directly after implantation

  13. X-ray diffraction from single GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas

    2012-11-12

    In recent years, developments in X-ray focussing optics have allowed to produce highly intense, coherent X-ray beams with spot sizes in the range of 100 nm and below. Together with the development of new experimental stations, X-ray diffraction techniques can now be applied to study single nanometer-sized objects. In the present work, X-ray diffraction is applied to study different aspects of the epitaxial growth of GaAs nanowires. Besides conventional diffraction methods, which employ X-ray beams with dimensions of several tens of {mu}m, special emphasis lies on the use of nanodiffraction methods which allow to study single nanowires in their as-grown state without further preparation. In particular, coherent X-ray diffraction is applied to measure simultaneously the 3-dimensional shape and lattice parameters of GaAs nanowires grown by metal-organic vapor phase epitaxy. It is observed that due to a high density of zinc-blende rotational twins within the nanowires, their lattice parameter deviates systematically from the bulk zinc-blende phase. In a second step, the initial stage in the growth of GaAs nanowires on Si (1 1 1) surfaces is studied. This nanowires, obtained by Ga-assisted growth in molecular beam epitaxy, grow predominantly in the cubic zinc-blende structure, but contain inclusions of the hexagonal wurtzite phase close to their bottom interface. Using nanodiffraction methods, the position of the different structural units along the growth axis is determined. Because the GaAs lattice is 4% larger than silicon, these nanowires release their lattice mismatch by the inclusion of dislocations at the interface. Whereas NWs with diameters below 50 nm are free of strain, a rough interface structure in nanowires with diameters above 100 nm prevents a complete plastic relaxation, leading to a residual strain at the interface that decays elastically along the growth direction. Finally, measurements on GaAs-core/InAs-shell nanowire heterostructures are presented

  14. Burnup influence on the VVER-1000 reactor vessel neutron fluence evaluation

    International Nuclear Information System (INIS)

    Panayotov, I.; Mihaylov, N.; Ilieva, K.; Kirilova, D.; Manolova, M.

    2009-01-01

    The neutron fluence of the vessels of the reactors is determined regularly accordingly the RPV Surveillance Program of the Kozloduy NPP Unit 5 and 6 in order to assess the state of the metal vessel and their radiation damaging. The calculations are carried out by the method of discrete ordinates used in the TORT program for operated reactor cycles. An average reactor spectrum corresponding to fresh U-235 fuel is used as an input neutron source. The impact of the burn up of the fuel on the neutron fluence of VVER-1000 reactor vessel is evaluated. The calculations of isotopic concentrations of U-235 and Pu-239 corresponding to 4 years burn up were performed by the module SAS2H of the code system SCALE 4.4. Since fresh fuel or 4 years burn up fuel assembly are placed in periphery of reactor core the contribution of Pu-239 of first year burn up and of 4 years burn up is taken in consideration. Calculations of neutron fluence were performed with neutron spectrum for fresh fuel, for 1 year and for 4 years burn up fuel. Correction factors for neutron fluence at the inner surface of the reactor vessel, in 1/4 depth of the vessel and in the air behind the vessel were obtained. The correction coefficient could be used when the neutron fluence is assessed so in verification when the measured activity of ex-vessel detectors is compared with calculated ones. (authors)

  15. Burnup influence on the WWER-1000 reactor vessel neutron fluence evaluation

    International Nuclear Information System (INIS)

    Panayotov, I.; Mihaylov, N.; Ilieva, K.; Kirilova, D.; Manolova, M.

    2009-01-01

    The neutron fluence of the vessels of the reactors is determined regularly accordingly the RPV Surveillance Program of Kozloduy NPP Unit 5 and 6 in order to assess the state of the metal vessel and their radiation damaging. The calculations are carried out by the method of discrete ordinates used in the TORT program for operated reactor cycles. An average reactor spectrum corresponding to fresh U-235 fuel is used as an input neutron source. The impact of the burn up of the fuel on the neutron fluence of WWER-1000 reactor vessel is evaluated. The calculations of isotopic concentrations of U-235 and Pu-239 corresponding to 4 years burn up were performed by the module SAS2H of the code system SCALE 4.4. Since fresh fuel or 4 years burn up fuel assembly are placed in periphery of reactor core the contribution of Pu-239 of first year burn up and of 4 years burn up is taken in consideration. Calculations of neutron fluence were performed with neutron spectrum for fresh fuel, for 1 year and for 4 years burn up fuel. Correction factors for neutron fluence at the inner surface of the reactor vessel, in ? depth of the vessel and in the air behind the vessel were obtained. The correction coefficient could be used when the neutron fluence is assessed so in verification when the measured activity of ex-vessel detectors is compared with calculated ones. (Authors)

  16. Fabrication and characterisation of embedded metal nanostructures by ion implantation with nanoporous anodic alumina masks

    Energy Technology Data Exchange (ETDEWEB)

    Guan, Wei [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); School of Physics and Astronomy, University of Edinburgh, Mayfield Road, Edinburgh EH9 3JZ (United Kingdom); Peng, Nianhua, E-mail: n.peng@surrey.ac.uk [Surrey Ion Beam Centre, Surrey University, Guildford GU2 7XH (United Kingdom); Jeynes, Christopher [Surrey Ion Beam Centre, Surrey University, Guildford GU2 7XH (United Kingdom); Ghatak, Jay [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); Peng, Yong [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); School of Physical Science and Technology, Lanzhou University, 222 Tianshui Road, Lanzhou 730000 (China); Ross, Ian M. [Department of Electronic and Electric Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); Bhatta, Umananda M.; Inkson, Beverley J.; Möbus, Günter [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom)

    2013-07-15

    Lateral ordered Co, Pt and Co/Pt nanostructures were fabricated in SiO{sub 2} and Si{sub 3}N{sub 4} substrates by high fluence metal ion implantation through periodic nanochannel membrane masks based on anodic aluminium oxides (AAO). The quality of nanopatterning transfer defined by various AAO masks in different substrates was examined by transmission electron microscopy (TEM) in both imaging and spectroscopy modes.

  17. Dependence of laser assisted cleaning of clad surfaces on the laser fluence

    International Nuclear Information System (INIS)

    Nilaya, J.P.; Raote, P.; Sai Prasad, M.B.; Biswas, D.J.; Aniruddha Kumar

    2005-01-01

    The decontamination factor is studied as a function of laser fluence for three kinds of clad surfaces viz., plain zircaloy, autoclaved zircaloy and SS with cesium as the test contamination. It has been found that the decontamination factor exhibits a maximal behaviour with the laser fluence and its maximum value occurs at different laser fluences in the three cases. The maximal behaviour is attributed to reduced coupling of energy from the laser beam to the substrate due to the initiation of surface-assisted optical breakdown. The results obtained in the experiment carried out in helium environment qualitatively support this explanation (author)

  18. Transient radiation effects in GaAs semiconductor devices

    International Nuclear Information System (INIS)

    Chang, J.Y.; Stauber, M.; Ezzeddine, A.; Howard, J.W.; Constantine, A.G.; Becker, M.; Block, R.C.

    1988-01-01

    This paper describes an ongoing program to identify the response of GaAs devices to intense pulses of ionizing radiation. The program consists of experimental measurements at the Rensselaer Polytechnic Institute's RPI electron linear accelerator (Linac) on generic GaAs devices built by Grumman Tachonics Corporation and the analysis of these results through computer simulation with the circuit model code SPICE (including radiation effects incorporated in the variations TRISPICE and TRIGSPICE and the device model code PISCES IIB). The objective of this program is the observation of the basic response phenomena and the development of accurate simulation tools so that results of Linac irradiations tests can be understood and predicted

  19. Graphene on silicon dioxide via carbon ion implantation in copper with PMMA-free transfer

    Science.gov (United States)

    Lehnert, Jan; Spemann, Daniel; Hamza Hatahet, M.; Mändl, Stephan; Mensing, Michael; Finzel, Annemarie; Varga, Aron; Rauschenbach, Bernd

    2017-06-01

    In this work, a synthesis method for the growth of low-defect large-area graphene using carbon ion beam implantation into metallic Cu foils is presented. The Cu foils (1 cm2 in size) were pre-annealed in a vacuum at 950 °C for 2 h, implanted with 35 keV carbon ions at room temperature, and subsequently annealed at 850 °C for 2 h to form graphene layers with the layer number controlled by the implantation fluence. The graphene was then transferred to SiO2/Si substrates by a PMMA-free wet chemical etching process. The obtained regions of monolayer graphene are of ˜900 μm size. Raman spectroscopy, atomic force microscopy, scanning electron microscopy, and optical microscopy performed at room temperature demonstrated a good quality and homogeneity of the graphene layers, especially for monolayer graphene.

  20. The determination of fast neutron fluence in radiation stability tests of steel samples

    International Nuclear Information System (INIS)

    Hogel, J.; Vespalec, R.

    1979-01-01

    The activation method is described of determining fast neutron fluence. Samples of steel designed for WWER type reactor pressure vessels were irradiated in the CHOUCA-rigs in the core of the WWR-S reactor. The neutron spectrum was measured by the multiple activation foil method and the effective cross sections of fluence monitors were calculated. The fluences obtained from the reactions 54 Fe(n,p) 54 Mn and 63 Cu(n,α) 60 Co are presented and the method is discussed. (author)

  1. Self-Assembled Monolayers of CdSe Nanocrystals on Doped GaAs Substrates

    DEFF Research Database (Denmark)

    Marx, E.; Ginger, D.S.; Walzer, Karsten

    2002-01-01

    This letter reports the self-assembly and analysis of CdSe nanocrystal monolayers on both p- and a-doped GaAs substrates. The self-assembly was performed using a 1,6-hexanedithiol self-assembled monolayer (SAM) to link CdSe nanocrystals to GaAs substrates. Attenuated total reflection Fourier tran...

  2. Neutron dosimetry intercomparison run for verification of the neutron fluence

    International Nuclear Information System (INIS)

    Penev, I.; Kinova, L.

    2001-01-01

    For the neutron fluence verification the intercomparison runs Balakovo and KORPUS have been carried out. The participation in the international intercomparison runs shows that in order to more precisely verify the calculated values of the neutron fluence more intercomparison exercises are necessary. Due to such exercises the results improved after calibration of Nb performed and are in a very good agreement with RIIAR results in spite of the different approaches in the determination of its activity

  3. Superconducting NbN single-photon detectors on GaAs with an AlN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Ekkehart; Merker, Michael; Ilin, Konstantin; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie, Hertzstrasse 16, 76187 Karlsruhe (Germany)

    2015-07-01

    GaAs is the material of choice for photonic integrated circuits. It allows the monolithic integration of single-photon sources like quantum dots, waveguide based optical circuits and detectors like superconducting nanowire single-photon detectors (SNSPDs) onto one chip. The growth of high quality NbN films on GaAs is challenging, due to natural occurring surface oxides and the large lattice mismatch of about 27%. In this work, we try to overcome these problems by the introduction of a 10 nm AlN buffer layer. Due to the buffer layer, the critical temperature of 6 nm thick NbN films was increased by about 1.5 K. Furthermore, the critical current density at 4.2 K of NbN flim deposited onto GaAs with AlN buffer is 50% higher than of NbN film deposited directly onto GaAs substrate. We successfully fabricated NbN SNSPDs on GaAs with a AlN buffer layer. SNSPDs were patterned using electron-beam lithography and reactive-ion etching techniques. Results on the study of detection efficiency and jitter of a NbN SNSPD on GaAs, with and without AlN buffer layer will be presented and discussed.

  4. Fast reactor fluence dosimetry. Technical progress report, January--November 1976

    International Nuclear Information System (INIS)

    1976-01-01

    The objectives of this task are to: (1) develop and demonstrate the use of 10 B and 6 Li helium accumulation fluence monitors (HAFM's) as a reliable and accurate method of measuring reactor neutron fluence; (2) develop and apply an expanded set of HAFM's which will provide fluence responses in different but overlapping neutron energy ranges; (3) identify, through the precise measurement of spectrum-integrated helium production cross sections, those elements which produce significant helium when used individually or as components of advanced alloys in FTR and LMFBR neutron environments, so that their use might be eliminated, minimized, or controlled; (4) use this information to predict, with confidence, the helium production rate for any alloy or material considered for fast reactor use, and (5) maintain a centralized helium measurements laboratory available to the research community, and upgrade the sample throughput capacity to handle FTR dosimetry requirements

  5. Mass and energy dispersive recoil spectrometry of GaAs structures

    International Nuclear Information System (INIS)

    Hult, M.

    1994-01-01

    Mass and energy dispersive Recoil Spectrometry (RS) using heavy ions at energies of about 0.2Α-0.8Α MeV has attracted much interest recently due to its potential for separately and unambiguously generating information on isotopic depth distributions. The principal advantages of mass and energy dispersive RS are that both light and heavy elements can be separately studied simultaneously and problems caused by chemical matrix effects are avoided since the technique is based on high energy nucleus-nucleus scattering. In order to elucidate reactions taking place in various GaAs structures, Time of flight-Energy (ToF-E) RS was developed to allow Ga and As to be studied separately down to depths of about 500-800 nm with a depth resolution of about 16 nm at the surface. This was shown in a study of an Al x Ga 1-x As quantum-well structure. The benefits of using ToF-E RS on GaAs structures were further demonstrated in studies of Co/GaAs and CoSi 2 /GaAs reactions, as well as in a study of the composition of MOCVD grown Al x Ga 1-x As. Most recoil measurements employed 127 I at energies of about 50-90 MeV as projectiles. The recoil detector telescope consisted of a silicon energy detector and two carbon foil time pick-off detectors separated by a variable flight length of 213.5-961 mm. The reactions taking place between various thin films and GaAs were also studied using complementary techniques such as XRD, XPS and SEM. Co was found to react extensively with GaAs, already at about 300 degrees C, making it unsuitable as a contact material. Thin films of Co and Si were found to react extensively with each other and to form CoSi 2 at 500 degrees C and above. CoSi 2 , a low resistivity silicide, turned out to be stable on GaAs, at least up to 700 degrees C. Considerable grain growth could cause problems, however, in the use of CoSi 2 -contacts. 112 refs, figs, tabs

  6. Neutron fluence-to-dose conversion coefficients for embryo and fetus

    International Nuclear Information System (INIS)

    Chen, J.; Meyerhof, D.; Vlahovich, S.

    2004-01-01

    A problem of concern in radiation protection is the exposure of pregnant women to ionising radiation, because of the high radiosensitivity of the embryo and fetus. External neutron exposure is of concern when pregnant women travel by aeroplane. Dose assessments for neutrons frequently rely on fluence-to-dose conversion coefficients. While neutron fluence-to-dose conversion coefficients for adults are recommended in International Commission on Radiological Protection publications and International Commission on Radiological Units and Measurements reports, conversion coefficients for embryos and fetuses are not given in the publications. This study undertakes Monte Carlo calculations to determine the mean absorbed doses to the embryo and fetus when the mother is exposed to neutron fields. A new set of mathematical models for the embryo and fetus has been developed at Health Canada and is used together with mathematical phantoms of a pregnant female developed at Oak Ridge National Laboratory. Monoenergetic neutrons from 1 eV to 10 MeV are considered in this study. The irradiation geometries include antero-posterior (AP), postero-anterior (PA), lateral (LAT), rotational (ROT) and isotropic (ISO) geometries. At each of these standard irradiation geometries, absorbed doses to the fetal brain and body are calculated; for the embryo at 8 weeks and the fetus at 3, 6 or 9 months. Neutron fluence-to-absorbed dose conversion coefficients are derived for the four age groups. Neutron fluence-to-equivalent dose conversion coefficients are given for the AP irradiations which yield the highest radiation dose to the fetal body in the neutron energy range considered here. The results indicate that for neutrons <10 MeV more protection should be given to pregnant women in the first trimester due to the higher absorbed dose per unit neutron fluence to the fetus. (authors)

  7. Neutron fluence-to-dose conversion coefficients for embryo and fetus.

    Science.gov (United States)

    Chen, Jing; Meyerhof, Dorothy; Vlahovich, Slavica

    2004-01-01

    A problem of concern in radiation protection is the exposure of pregnant women to ionising radiation, because of the high radiosensitivity of the embryo and fetus. External neutron exposure is of concern when pregnant women travel by aeroplane. Dose assessments for neutrons frequently rely on fluence-to-dose conversion coefficients. While neutron fluence-to-dose conversion coefficients for adults are recommended in International Commission on Radiological Protection publications and International Commission on Radiological Units and Measurements reports, conversion coefficients for embryos and fetuses are not given in the publications. This study undertakes Monte Carlo calculations to determine the mean absorbed doses to the embryo and fetus when the mother is exposed to neutron fields. A new set of mathematical models for the embryo and fetus has been developed at Health Canada and is used together with mathematical phantoms of a pregnant female developed at Oak Ridge National Laboratory. Monoenergetic neutrons from 1 eV to 10 MeV are considered in this study. The irradiation geometries include antero-posterior (AP), postero-anterior (PA), lateral (LAT), rotational (ROT) and isotropic (ISO) geometries. At each of these standard irradiation geometries, absorbed doses to the fetal brain and body are calculated; for the embryo at 8 weeks and the fetus at 3, 6 or 9 months. Neutron fluence-to-absorbed dose conversion coefficients are derived for the four age groups. Neutron fluence-to-equivalent dose conversion coefficients are given for the AP irradiations which yield the highest radiation dose to the fetal body in the neutron energy range considered here. The results indicate that for neutrons <10 MeV more protection should be given to pregnant women in the first trimester due to the higher absorbed dose per unit neutron fluence to the fetus.

  8. Modified energetics and growth kinetics on H-terminated GaAs (110)

    International Nuclear Information System (INIS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Tejedor, P.; Lorbek, S.; Hlawacek, G.; Teichert, C.

    2013-01-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As 4 , has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å 2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As 4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed

  9. Modified energetics and growth kinetics on H-terminated GaAs (110)

    Energy Technology Data Exchange (ETDEWEB)

    Galiana, B. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Departamento de Física, Universidad Carlos III de Madrid, Avenida de la Universidad 30, 28911 Madrid (Spain); Benedicto, M.; Díez-Merino, L.; Tejedor, P. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Lorbek, S.; Hlawacek, G.; Teichert, C. [Institut für Physik, Montanuniversität Leoben, Franz Josef St., 18A-8700 Leoben (Austria)

    2013-10-28

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As{sub 4}, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å{sup 2} measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As{sub 4} molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  10. Surface segregation and the Al problem in GaAs quantum wells

    Science.gov (United States)

    Chung, Yoon Jang; Baldwin, K. W.; West, K. W.; Shayegan, M.; Pfeiffer, L. N.

    2018-03-01

    Low-defect two-dimensional electron systems (2DESs) are essential for studies of fragile many-body interactions that only emerge in nearly-ideal systems. As a result, numerous efforts have been made to improve the quality of modulation-doped AlxGa1 -xAs /GaAs quantum wells (QWs), with an emphasis on purifying the source material of the QW itself or achieving better vacuum in the deposition chamber. However, this approach overlooks another crucial component that comprises such QWs, the AlxGa1 -xAs barrier. Here we show that having a clean Al source and hence a clean barrier is instrumental to obtain a high-quality GaAs 2DES in a QW. We observe that the mobility of the 2DES in GaAs QWs declines as the thickness or Al content of the AlxGa1 -xAs barrier beneath the QW is increased, which we attribute to the surface segregation of oxygen atoms that originate from the Al source. This conjecture is supported by the improved mobility in the GaAs QWs as the Al cell is cleaned out by baking.

  11. Modeling of altered layer formation during reactive ion etching of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Mutzke, A. [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Rai, A., E-mail: Abha.Rai@ipp.mpg.de [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Schneider, R.; Angelin, E.J.; Hippler, R. [Institute of Physics, Ernst-Moritz-Arndt-University Greifswald, Felix-Hausdorff-Str.6, D-17489 Greifswald (Germany)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Experimental result showing the preferential sputtering of GaAs (150 keV Ar{sup +} and thermal O on GaAs) during reactive ion beam etching (RIBE) has been reported. Black-Right-Pointing-Pointer A model based on binary collisions (SDTrimSP) is presented to simulate RIBE. Black-Right-Pointing-Pointer The model is used to explain the reported experimental data and also the results by Grigonis and co-workers [1]. - Abstract: The binary collision based SDTrimSP model has been used to simulate the reactive ion beam etching (RIBE) of GaAs in the presence of energetic Ar ions and thermal O atoms. It includes the collisional effects, diffusive processes and chemical reactions taking place in the system. The model parameters are fitted using the experimental observations of Grigonis and co-workers [1] and validated with the experimental results obtained during the GaAs ion etching presented in this paper. A detailed analysis is presented to understand the effect of the diffusive processes and the role of O during RIBE of GaAs. It is shown how the presence of damage caused by the energetic Ar coupled with the presence of thermal O opens up chemical reaction channels which eventually leads to the preferential sputtering of Ga observed at the ion etching facility at University of Greifswald.

  12. Patterned microstructures formed with MeV Au implantation in Si(1 0 0)

    International Nuclear Information System (INIS)

    Rout, Bibhudutta; Greco, Richard R.; Zachry, Daniel P.; Dymnikov, Alexander D.; Glass, Gary A.

    2006-01-01

    Energetic (MeV) Au implantation in Si(1 0 0) (n-type) through masked micropatterns has been used to create layers resistant to KOH wet etching. Microscale patterns were produced in PMMA and SU(8) resist coatings on the silicon substrates using P-beam writing and developed. The silicon substrates were subsequently exposed using 1.5 MeV Au 3+ ions with fluences as high as 1 x 10 16 ions/cm 2 and additional patterns were exposed using copper scanning electron microscope calibration grids as masks on the silicon substrates. When wet etched with KOH microstructures were created in the silicon due to the resistance to KOH etching cause by the Au implantation. The process of combining the fabrication of masked patterns with P-beam writing with broad beam Au implantation through the masks can be a promising, cost-effective process for nanostructure engineering with Si

  13. Strain in GaAs / InAs core-shell nanowire heterostructures grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Rieger, Torsten; Lepsa, Mihail Ion [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires (NWs) has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. Compared to planar heterostructures, the nanowire approach offers an advantage regarding the possibility to form heterostructures between highly lattice mismatched systems, because the free surface of the nanowires allows to relieve the strain more efficiently. One particular way to form heterostructures in the NW geometry, is the fabrication of core-shell devices, in which a NW core is surrounded by a shell of different material. The understanding of the mutual strain between core and shell, as well as the relaxation behavior of the system are crucial for the fabrication of functional devices. In this contribution we report on first X-ray diffraction measurements of GaAs-core/InAs-shell nanowires grown on GaAs(111) by molecular beam epitaxy. Using symmetric- and grazing-incidence X-ray diffraction, the relaxation state of the InAs shell as well as the strain in the GaAs core are measured as function of the InAs shell thickness, showing a gradual relaxation behavior of the shell.

  14. A novel kerf-free wafering process combining stress-induced spalling and low energy hydrogen implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pingault, Timothee; Pokam-Kuisseu, Pauline Sylvia; Ntsoenzok, Esidor [CEMTHI - CNRS, Site Cyclotron, 3 A rue de la Ferollerie, 45071 Orleans (France); Blondeau, Jean-Philippe [CEMTHI - CNRS, Site Cyclotron, 3 A rue de la Ferollerie, 45071 Orleans (France); Universite d' Orleans, Chateau de la Source, 45100 Orleans (France); Ulyashin, Alexander [SINTEF, Forskningsveien 1, 0314 Oslo (Norway); Labrim, Hicham; Belhorma, Bouchra [CNESTEN, B.P. 1382 R.P., 10001 Rabat (Morocco)

    2016-12-15

    In this work, we studied the potential use of low-energy hydrogen implantation as a guide for the stress-induced cleavage. Low-energy, high fluence hydrogen implantation in silicon leads, in the right stiffening conditions, to the detachment of a thin layer, around a few hundreds nm thick, of monocrystalline silicon. We implanted monocrystalline silicon wafers with low-energy hydrogen, and then glued them on a cheap metal layer. Upon cooling down, the stress induced by the stressor layers (hardened glue and metal) leads to the detachment of a thin silicon layer, which thickness is determined by the implantation energy. We were then able to clearly demonstrate that, as expected, hydrogen oversaturation layer is very efficient to guide the stress. Using such process, thin silicon layers of around 710 nm-thick were successfully detached from low-energy implanted silicon wafers. Such layers can be used for the growth of very good quality monocrystalline silicon of around 50 μm-thick or less. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Nickel Foil as Transmutation Detector for Neutron Fluence Measurements

    Directory of Open Access Journals (Sweden)

    Klupák Vít

    2016-01-01

    Full Text Available Activation detectors are very often used for determination of the neutron fluence in reactor dosimetry. However, there are few disadvantages concerning these detectors; it is the demand of the knowledge of the irradiation history and a loss of information due to a radioactive decay in time. Transmutation detectors TMD could be a solution in this case. The transmutation detectors are materials in which stable or long-lived nuclides are produced by nuclear reactions with neutrons. From a measurement of concentration of these nuclides, neutron fluence can be evaluated regardless of the cooling time.

  16. Structural and electronic properties of isovalent boron atoms in GaAs

    Science.gov (United States)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  17. Permeation of deuterium implanted into V-15Cr-5Ti

    International Nuclear Information System (INIS)

    Anderl, R.A.; Longhurst, G.R.; Struttmann, D.A.

    1987-01-01

    Permeation and reemission of deuterium for the vanadium alloy, V-15Cr-5Ti, was investigated using 3 keV, D 3 + ion beams from a small accelerator. The experiments consisted of measurement of the deuterium reemission and permeation rates as a function of implantation fluence for 0.5 mm thick specimens heated to temperatures from 623 K to 823 K. Implantation-side surface characterization was made by simultaneous measurements of sputtered ions with a secondary ion mass spectrometer (SIMS). For the experimental conditions used, the steady-state deuterium permeation flux in V-15Cr-5Ti is approximately 18% of the implantation flux. This is approximately 1000 times that seen in the austenitic stainless steel, PCA, and 200 times that seen in the ferritic steel, HT-9, under comparable conditions. Measurement of deuterium diffusivity in V-15Cr-5Ti using permeation break-through times indicates that D = 1.4x10 -8 exp(-0.11 eV/kT)(m 2 /s), over the temperature range 723 K to 823 K. (orig.)

  18. Permeation of deuterium implanted into V-15Cr-5Ti

    Science.gov (United States)

    Anderl, R. A.; Longhurst, G. R.; Struttmann, D. A.

    1987-02-01

    Permeation and reemission of deuterium for the vanadium alloy, V-15Cr-5Ti, was investigated using 3 keV, D 3+ ion beams from a small accelerator. The experiments consisted of measurement of the deuterium reemission and permeation rates as a function of implantation fluence for 0.5 mm thick specimens heated to temperatures from 623 K to 823 K. Implantation-side surface characterization was made by simultaneous measurements of sputtered ions with a secondary ion mass spectrometer (SIMS). For the experimental conditions used, the steady-state deuterium permeation flux in V-15Cr-5Ti is approximately 18% of the implantation flux. This is approximately 1000 times that seen in the austenitic stainless steel, PCA, and 200 times that seen in the ferritic steel, HT-9, under comparable conditions. Measurement of deuterium diffusivity in V-15Cr-5Ti using permeation break-through times indicates that D = 1.4 × 10 -8 exp( -0.11 eV/ kT) (m 2/s), over the temperature range 723 K to 823 K.

  19. Permeation of deuterium implanted into V-15Cr-5Ti

    Energy Technology Data Exchange (ETDEWEB)

    Anderl, R.A.; Longhurst, G.R.; Struttmann, D.A.

    1987-02-01

    Permeation and reemission of deuterium for the vanadium alloy, V-15Cr-5Ti, was investigated using 3 keV, D/sub 3//sup +/ ion beams from a small accelerator. The experiments consisted of measurement of the deuterium reemission and permeation rates as a function of implantation fluence for 0.5 mm thick specimens heated to temperatures from 623 K to 823 K. Implantation-side surface characterization was made by simultaneous measurements of sputtered ions with a secondary ion mass spectrometer (SIMS). For the experimental conditions used, the steady-state deuterium permeation flux in V-15Cr-5Ti is approximately 18% of the implantation flux. This is approximately 1000 times that seen in the austenitic stainless steel, PCA, and 200 times that seen in the ferritic steel, HT-9, under comparable conditions. Measurement of deuterium diffusivity in V-15Cr-5Ti using permeation break-through times indicates that D = 1.4x10/sup -8/ exp(-0.11 eVkT)(m/sup 2/s), over the temperature range 723 K to 823 K.

  20. Lattice damage induced by Tb-implanted AlN crystalline films

    International Nuclear Information System (INIS)

    Lu Fei; Hu Hui; Rizzi, A.

    2002-01-01

    AlN films with thickness from 100 to 1000 nm were grown on SiC substrate by MBE. AlN crystalline films were doped by implantation with 160 keV Tb ions to fluences of 5x10 14 , 1.5x10 15 , 3x10 15 and 6x10 15 ions/cm 2 , respectively. The damage profiles in AlN films induced by Tb implantation were investigated using RBS/channeling technique. A procedure developed by Feldman and Rodgers was used to extract damage profile by considering the dechanneling mechanism of multiple. The comparison of the extracted profile with TRIM prediction shows a significant difference in the shape and in the position of damage profile. The damage profile in AlN film is similar as Tb distribution. The RBS/channeling of Tb-implanted AlN film before and after 950 deg. C annealing treatments show a good consistency, which indicate that high temperature annealing cannot result in a significant change in both crystal damage and in Tb distribution