WorldWideScience

Sample records for film vapour deposited

  1. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  2. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  3. Evaluation of niobium dimethylamino-ethoxide for chemical vapour deposition of niobium oxide thin films

    International Nuclear Information System (INIS)

    Dabirian, Ali; Kuzminykh, Yury; Wagner, Estelle; Benvenuti, Giacomo; Rushworth, Simon; Hoffmann, Patrik

    2014-01-01

    Chemical vapour deposition (CVD) processes depend on the availability of suitable precursors. Precursors that deliver a stable vapour pressure are favourable in classical CVD processes, as they ensure process reproducibility. In high vacuum CVD (HV-CVD) process vapour pressure stability of the precursor is of particular importance, since no carrier gas assisted transport can be used. The dimeric Nb 2 (OEt) 10 does not fulfil this requirement since it partially dissociates upon heating. Dimethylamino functionalization of an ethoxy ligand of Nb(OEt) 5 acts as an octahedral field completing entity and leads to Nb(OEt) 4 (dmae). We show that Nb(OEt) 4 (dmae) evaporates as monomeric molecule and ensures a stable vapour pressure and, consequently, stable flow. A set of HV-CVD experiments were conducted using this precursor by projecting a graded molecular beam of the precursor onto the substrate at deposition temperatures from 320 °C to 650 °C. Film growth rates ranging from 8 nm·h −1 to values larger than 400 nm·h −1 can be obtained in this system illustrating the high level of control available over the film growth process. Classical CVD limiting conditions along with the recently reported adsorption–reaction limited conditions are observed and the chemical composition, and microstructural and optical properties of the films are related to the corresponding growth regime. Nb(OEt) 4 (dmae) provides a large process window of deposition temperatures and precursor fluxes over which carbon-free and polycrystalline niobium oxide films with growth rates proportional to precursor flux are obtained. This feature makes Nb(OEt) 4 (dmae) an attractive precursor for combinatorial CVD of niobium containing complex oxide films that are finding an increasing interest in photonics and photoelectrochemical water splitting applications. The adsorption–reaction limited conditions provide extremely small growth rates comparable to an atomic layer deposition (ALD) process

  4. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  5. Erosion behaviour of physically vapour-deposited and chemically vapour-deposited SiC films coated on molybdenum during oxygenated argon beam thinning

    International Nuclear Information System (INIS)

    Shikama, T.; Kitajima, M.; Fukutomi, M.; Okada, M.

    1984-01-01

    The erosion behaviour during bombardment with a 5 keV argon beam at room temperature was studied for silicon carbide (SiC) films of thickness of about 10 μm coated on molybdenum by physical vapour deposition (PVD) and chemical vapour deposition (CVD). The PVD SiC (plasma-assisted ion plating) exhibited a greater thinning rate than the CVD SiC film. Electron probe X-ray microanalysis revealed that the chemical composition of PVD SiC was changed to a composition enriched in silicon by the bombardment, and there was a notable change in its surface morphology. The CVD SiC retained its initial chemical composition with only a small change in its surface morphology. Auger electron spectroscopy indicated that silicon oxide was formed on the surface of PVD SiC by the bombardment. The greater thinning rate and easier change in chemical composition in PVD SiC could be attributed to its readier chemical reaction with oxygen due to its more non-uniform structure and weaker chemical bonding. Oxygen was present as one of the impurities in the argon beam. (Auth.)

  6. Polarized Raman spectroscopy of chemically vapour deposited diamond films

    International Nuclear Information System (INIS)

    Prawer, S.; Nugent, K.W.; Weiser, P.S.

    1994-01-01

    Polarized micro-Raman spectra of chemically vapour deposited diamond films are presented. It is shown that important parameters often extracted from the Raman spectra such as the ratio of the diamond to non-diamond component of the films and the estimation of the level of residual stress depend on the orientation of the diamond crystallites with respect to the polarization of the incident laser beam. The dependence originates from the fact that the Raman scattering from the non-diamond components in the films is almost completely depolarized whilst the scattering from the diamond components is strongly polarized. The results demonstrate the importance of taking polarization into account when attempting to use Raman spectroscopy in even a semi-quantitative fashion for the assessment of the purity, perfection and stress in CVD diamond films. 8 refs., 1 tab. 2 figs

  7. Chemical vapour deposition of vanadium oxide thermochromic thin films

    Science.gov (United States)

    Piccirillo, Clara

    Thermochromic materials change optical properties, such as transmittance or reflectance, with a variation in temperature. An ideal intelligent (smart) material will allow solar radiation in through a window in cold conditions, but reflect that radiation in warmer conditions. The variation in the properties is often associated with a phase change, which takes place at a definite temperature, and is normally reversible. Such materials are usually applied to window glass as thin films. This thesis presents the work on the development of thermochromic vanadium (IV) oxide (VO2) thin films - both undoped and doped with tungsten, niobium and gold nanoparticles - which could be employed as solar control coatings. The films were deposited using Chemical Vapour Deposition (CVD), using improved Atmospheric Pressure (APCVD), novel Aerosol Assisted (AACVD) and novel hybrid AP/AACVD techniques. The effects of dopants on the metalto- semiconductor transition temperature and transmittance/reflectance characteristics were also investigated. This work significantly increased the understanding of the mechanisms behind thermochromic behaviour, and resulted in thermochromic materials based on VO2 with greatly improved properties.

  8. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Durrant, Steven F. [Laboratorio de Plasmas Tecnologicos, Campus Experimental de Sorocaba, Universidade Estadual Paulista-UNESP, Avenida Tres de Marco, 511, Alto da Boa Vista, 18087-180, Soracaba, SP (Brazil)], E-mail: steve@sorocaba.unesp.br; Rouxinol, Francisco P.M.; Gelamo, Rogerio V. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Trasferetti, B. Claudio [Present address: Superintendencia Regional da Policia Federal em Sao Paulo, Setor Tecnico-Cientifico, Rua Hugo d' Antola 95/10o Andar, Lapa de Baixo, 05038-090 Sao Paulo, SP (Brazil); Davanzo, C.U. [Instituto de Quimica, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Bica de Moraes, Mario A. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil)

    2008-01-15

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V{sub S}) and of the proportion of TEOS in the mixture (X{sub T}) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V{sub S} and X{sub T} are presented.

  9. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Durrant, Steven F.; Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Trasferetti, B. Claudio; Davanzo, C.U.; Bica de Moraes, Mario A.

    2008-01-01

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V S ) and of the proportion of TEOS in the mixture (X T ) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V S and X T are presented

  10. CHEMICAL VAPOUR DEPOSITION FROM A RADIATION-SENSITIVE PRECURSOR

    DEFF Research Database (Denmark)

    2017-01-01

    The present invention relates in one aspect to a method of depositing a thin film on a substrate by chemical vapour deposition (CVD) from a radiation-sensitive precursor substance. The method comprises the steps of: (i) placing the substrate in a reaction chamber of a CVD system; (ii) heating...... heating pulse followed by an idle period; (iii) during at least one of the idle periods, providing a pressure pulse of precursor substance inside the reaction chamber by feeding at least one precursor substance to the reaction chamber so as to establish a reaction partial pressure for thin film deposition...... is formed. According to a further aspect, the invention relates to a chemical vapour deposition (CVD) system for depositing a thin film onto a substrate using precursor substances containing at least one radiation sensitive species....

  11. Pulsed injection metal organic chemical vapour deposition and characterisation of thin CaO films

    International Nuclear Information System (INIS)

    Borges, R.P.; Ferreira, P.; Saraiva, A.; Goncalves, R.; Rosa, M.A.; Goncalves, A.P.; Silva, R.C. da; Magalhaes, S.; Lourenco, M.J.V.; Santos, F.J.V.; Godinho, M.

    2009-01-01

    Thin films of CaO were grown on silicon (Si) and lanthanum aluminate (LaAlO 3 ) substrates by pulsed injection metal-organic chemical vapour deposition in a vertical injection MOCVD system. Growth parameters were systematically varied to study their effect on film growth and quality and to determine the optimal growth conditions for this material. Film quality and growth rate were evaluated by atomic force microscopy, X-ray diffraction and Rutherford Backscattering Spectroscopy measurements. Optimised conditions allowed growing transparent, single phase films textured along the (0 0 l) direction.

  12. Field electron emission characteristics of chemical vapour deposition diamond films with controlled sp2 phase concentration

    International Nuclear Information System (INIS)

    Lu, X.; Yang, Q.; Xiao, C.; Hirose, A.

    2008-01-01

    Diamond films were synthesized in a microwave plasma-enhanced chemical vapour deposition reactor. The microstructure and surface morphology of deposited films were characterized by Raman spectroscope and scanning electron microscope. The sp 2 phase concentration in diamond films was varied and its effect on the field electron emission (FEE) properties was investigated. Diamond films deposited under higher methane concentration exhibit better FEE property including lower turn-on electric field and larger emission current. The predominating factor modifying the FEE property is presumed to be the increase of sp 2 phase concentration. The influence of bias voltage on the FEE property of diamond films is not monotonic. Postgrowth acid treatment reduces the sp 2 phase content in diamond films without changing diamond grain sizes. The corresponding FEE property was degraded

  13. Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat

    Science.gov (United States)

    Suh, Hyo Seon; Kim, Do Han; Moni, Priya; Xiong, Shisheng; Ocola, Leonidas E.; Zaluzec, Nestor J.; Gleason, Karen K.; Nealey, Paul F.

    2017-07-01

    Directed self-assembly (DSA) of the domain structure in block copolymer (BCP) thin films is a promising approach for sub-10-nm surface patterning. DSA requires the control of interfacial properties on both interfaces of a BCP film to induce the formation of domains that traverse the entire film with a perpendicular orientation. Here we show a methodology to control the interfacial properties of BCP films that uses a polymer topcoat deposited by initiated chemical vapour deposition (iCVD). The iCVD topcoat forms a crosslinked network that grafts to and immobilizes BCP chains to create an interface that is equally attractive to both blocks of the underlying copolymer. The topcoat, in conjunction with a chemically patterned substrate, directs the assembly of the grating structures in BCP films with a half-pitch dimension of 9.3 nm. As the iCVD topcoat can be as thin as 7 nm, it is amenable to pattern transfer without removal. The ease of vapour-phase deposition, applicability to high-resolution BCP systems and integration with pattern-transfer schemes are attractive properties of iCVD topcoats for industrial applications.

  14. Hybrid chemical vapour and nanoceramic aerosol assisted deposition for multifunctional nanocomposite thin films

    Energy Technology Data Exchange (ETDEWEB)

    Warwick, Michael E.A.; Dunnill, Charles W.; Goodall, Josie; Darr, Jawwad A.; Binions, Russell, E-mail: uccarbi@ucl.ac.uk

    2011-07-01

    Hybrid atmospheric pressure chemical vapour and aerosol assisted deposition via the reaction of vanadium acetylacetonate and a suspension of preformed titanium dioxide or cerium dioxide nanoparticles, led to the production of vanadium dioxide nanocomposite thin films on glass substrates. The preformed nanoparticle oxides used for the aerosol were synthesised using a continuous hydrothermal flow synthesis route involving the rapid reaction of a metal salt solution with a flow of supercritical water in a flow reactor. Multifunctional nanocomposite thin films from the hybrid deposition process were characterised using scanning electron microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. The functional properties of the films were evaluated using variable temperature optical measurements to assess thermochromic behaviour and methylene blue photodecolourisation experiments to assess photocatalytic activity. The tests show that the films are multifunctional in that they are thermochromic (having a large change in infra-red reflectivity upon exceeding the thermochromic transition temperature) and have significant photocatalytic activity under irradiation with 254 nm light.

  15. Electrical and optical properties of Cu–Cr–O thin films fabricated by chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lunca Popa, P., E-mail: petru.luncapopa@list.lu; Crêpellière, J.; Leturcq, R.; Lenoble, D.

    2016-08-01

    We present electrical and optical properties of CuCrO{sub 2} thin films deposited by chemical vapour deposition, as well as the influence of depositions' parameters on these properties. Oxygen partial pressure and precursor's concentrations have the greatest influence on optical and electrical properties of the films. Values of conductivities ranging from 10{sup −4} to 10 S/cm were obtained using different deposition conditions. The conductivity is thermally activated with an activation energy ranging from 57 to 283 meV. Thermoelectric measurements confirm the p-type conduction, and demonstrate high carrier concentration typical for a degenerate semiconductor. The as-deposited films show a medium degree of crystallinity, a maximum optical transmission up to 80% in the visible range with a corresponding band gap around 3.2 eV. - Highlights: • CuCrO{sub 2} thin films deposited via a new innovative method - DLICVD. • Band gap and electrical conductivity can be tuned by controlling deposition parameters • Key process parameter is the metallic/oxygen atomic ratio involved in the process • Electrical conductivities values spanning 5 orders of magnitudes were obtained using different deposition parameters.

  16. Thermoluminescence characterisation of chemical vapour deposited diamond films

    CERN Document Server

    Mazzocchi, S; Bucciolini, M; Cuttone, G; Pini, S; Sabini, M G; Sciortino, S

    2002-01-01

    The thermoluminescence (TL) characteristics of a set of six chemical vapour deposited diamond films have been studied with regard to their use as off-line dosimeters in radiotherapy. The structural characterisation has been performed by means of Raman spectroscopy. Their TL responses have been tested with radiotherapy beams ( sup 6 sup 0 Co photons, photons and electrons from a linear accelerator (Linac), 26 MeV protons from a TANDEM accelerator) in the dose range 0.1-7 Gy. The dosimetric characterisation has yielded a very good reproducibility, a very low dependence of the TL response on the type of particle and independence of the radiation energy. The TL signal is not influenced by the dose rate and exhibits a very low thermal fading. Moreover, the sensitivity of the diamond samples compares favourably with that of standard TLD100 dosimeters.

  17. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  18. Nanoparticulate cerium dioxide and cerium dioxide-titanium dioxide composite thin films on glass by aerosol assisted chemical vapour deposition

    International Nuclear Information System (INIS)

    Qureshi, Uzma; Dunnill, Charles W.; Parkin, Ivan P.

    2009-01-01

    Two series of composite thin films were deposited on glass by aerosol assisted chemical vapour deposition (AACVD)-nanoparticulate cerium dioxide and nanoparticulate cerium dioxide embedded in a titanium dioxide matrix. The films were analysed by a range of techniques including UV-visible absorption spectroscopy, X-ray diffraction, scanning electron microscopy and energy dispersive analysis by X-rays. The AACVD prepared films showed the functional properties of photocatalysis and super-hydrophilicity. The CeO 2 nanoparticle thin films displaying photocatalysis and photo-induced hydrophilicity almost comparable to that of anatase titania.

  19. Characterization of chemical vapour deposited diamond films: correlation between hydrogen incorporation and film morphology and quality

    International Nuclear Information System (INIS)

    Tang, C J; Neves, A J; Carmo, M C

    2005-01-01

    In order to tailor diamond synthesized through chemical vapour deposition (CVD) for different applications, many diamond films of different colours and variable quality were deposited by a 5 kW microwave plasma CVD reactor under different growth conditions. The morphology, quality and hydrogen incorporation of these films were characterized using scanning electron microscopy (SEM), Raman and Fourier-transform infrared (FTIR) spectroscopy, respectively. From this study, a general trend between hydrogen incorporation and film colour, morphology and quality was found. That is, as the films sorted by colour gradually become darker, ranging from white through grey to black, high magnification SEM images illustrate that the smoothness of the well defined crystalline facet gradually decreases and second nucleation starts to appear on it, indicating gradual degradation of the crystalline quality. Correspondingly, Raman spectra evidence that the diamond Raman peak at 1332 cm -1 becomes broader and the non-diamond carbon band around 1500 cm -1 starts to appear and becomes stronger, confirming increase of the non-diamond component and decrease of the phase purity of the film, while FTIR spectra show that the CH stretching band and the two CVD diamond specific peaks around 2830 cm -1 rise rapidly, and this indicates that the total amount of hydrogen incorporated into the film increases significantly

  20. Atmospheric pressure chemical vapour deposition of vanadium arsenide thin films via the reaction of VCl4 or VOCl3 with tBuAsH2

    International Nuclear Information System (INIS)

    Thomas, Tegan; Blackman, Christopher S.; Parkin, Ivan P.; Carmalt, Claire J.

    2013-01-01

    Thin films of vanadium arsenide were deposited via the dual-source atmospheric pressure chemical vapour deposition reactions of VCl 4 or VOCl 3 with t BuAsH 2 . Using the vanadium precursor VCl 4 , films were deposited at substrate temperatures of 550–600 °C, which were black-gold in appearance and were found to be metal-rich with high levels of chlorine incorporation. The use of VOCl 3 as the vanadium source resulted in films being deposited between 450 and 600 °C and, unlike when using VCl 4 , were silver in appearance. The films deposited using VOCl 3 demonstrated vanadium to arsenic ratios close to 1:1, and negligible chlorine incorporation. Films deposited using either vanadium precursor were identified as VAs using powder X-ray diffraction and possessed borderline metallic/semiconductor resistivities. - Highlights: • Formation of VAs films via atmospheric pressure chemical vapour deposition. • Films formed using VCl 4 or VOCl 3 and t BuAsH 2 . • Powder X-ray diffraction showed that crystalline VAs films were deposited. • Films from VOCl 3 had a V:As ratio close to 1 with negligible Cl incorporation. • Films were silver and possessed borderline metallic/semiconductor resistivities

  1. Microstructural and conductivity changes induced by annealing of ZnO:B thin films deposited by chemical vapour deposition

    International Nuclear Information System (INIS)

    David, C; Girardeau, T; Paumier, F; Eyidi, D; Guerin, P; Marteau, M; Lacroix, B; Papathanasiou, N; Tinkham, B P

    2011-01-01

    Zinc oxide (ZnO) thin films have attracted much attention in recent years due to progress in crystal growth for a large variety of technological applications including optoelectronics and transparent electrodes in solar cells. Boron (B)-doped ZnO thin films are deposited by low pressure chemical vapour deposition (LPCVD) on Si(100). These films exhibit a strong (002) texture with a pyramidal grain structure. The ZnO films were annealed after growth; the annealing temperature and the atmosphere appear to strongly impact the layer conductivity. This work will first present the modification of the physical properties (carrier concentration, mobility) extracted from the simulation of layer reflection in the infrared range. At low annealing temperatures the mobility increases slightly before decreasing drastically above a temperature close to 250 deg. C. The chemical and structural evolution (XPS, x-ray diffraction) of the films was also studied to identify the relationship between microstructural modifications and the variations observed in the film conductivity. An in situ XRD study during annealing has been performed under air and low pressure conditions. As observed for electrical properties, the microstructural modifications shift to higher temperatures for vacuum annealing.

  2. Translation Effects in Fluorine Doped Tin Oxide Thin Film Properties by Atmospheric Pressure Chemical Vapour Deposition

    Directory of Open Access Journals (Sweden)

    Mohammad Afzaal

    2016-10-01

    Full Text Available In this work, the impact of translation rates in fluorine doped tin oxide (FTO thin films using atmospheric pressure chemical vapour deposition (APCVD were studied. We demonstrated that by adjusting the translation speeds of the susceptor, the growth rates of the FTO films varied and hence many of the film properties were modified. X-ray powder diffraction showed an increased preferred orientation along the (200 plane at higher translation rates, although with no actual change in the particle sizes. A reduction in dopant level resulted in decreased particle sizes and a much greater degree of (200 preferred orientation. For low dopant concentration levels, atomic force microscope (AFM studies showed a reduction in roughness (and lower optical haze with increased translation rate and decreased growth rates. Electrical measurements concluded that the resistivity, carrier concentration, and mobility of films were dependent on the level of fluorine dopant, the translation rate and hence the growth rates of the deposited films.

  3. Effect of growth interruptions on TiO{sub 2} films deposited by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, D., E-mail: dyli@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou, 225127 (China); Goullet, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Carette, M. [Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré, 59652, Villeneuve d' Ascq (France); Granier, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Landesman, J.P. [Institut de Physique de Rennes, UMR CNRS 6251, 263 av. Général Leclerc, 35042, Rennes (France)

    2016-10-01

    TiO{sub 2} films of ∼300 nm were deposited at low temperature (<140 °C) and pressure (0.4 Pa) using plasma enhanced chemical vapour deposition at the floating potential (V{sub f}) or the substrate self-bias voltage (V{sub b}) of −50 V. The impact of growth interruptions on the morphology, microstructure and optical properties of the films was investigated. The interruptions were carried out by stopping the plasma generation and gas injection once the increase of the layer thickness during each deposition step was about ∼100 nm. In one case of V{sub f}, the films of ∼300 nm exhibit a columnar morphology consisting of a bottom dense layer, an intermediate gradient layer and a top roughness layer. But the growth interruptions result in an increase of the dense layer thickness and a decrease of surface roughness. The film inhomogeneity has been identified by the in-situ real-time evolution of the kinetic ellipsometry (KE) parameters and the modeling process of spectroscopic ellipsometry (SE). The discrepancy of the refractive index measured by SE between bottom and upper layers can be reduced by growth interruptions. In the other case of V{sub b} = −50 V, the films exhibit a more compact arrangement which is homogeneous along the growth direction as confirmed by KE and SE. Both of Fourier transform infrared spectra and X-ray diffraction illustrate a phase transformation from anatase to rutile with the bias of −50 V, and also evidenced on the evolution of the refractive index dispersion curves. And a greatly increase of the refractive indice in the transparent range can be identified. However, the growth interruptions seem to have no influence on the morphology and optical properties in this case. - Highlights: • TiO{sub 2} films deposited by plasma processes at low temperature and pressure. • Influence of growth interruptions on structural and optical properties. • In-situ real-time ellipsometry measurements on film properties. • Structural and

  4. Properties of alumina films prepared by metal-organic chemical vapour deposition at atmospheric pressure in hte presence of small amounts of water

    NARCIS (Netherlands)

    Haanappel, V.A.C.; Haanappel, V.A.C.; van Corbach, H.D.; Rem, J.B.; Fransen, T.; Gellings, P.J.

    1995-01-01

    Thin alumina films were deposited on stainless steel, type AISI 304. The deposition process was carried out in nitrogen with low partial pressures of water (0–2.6 × 10−2 kPa (0−0.20 mmHg)) by metal-organic chemical vapour deposition (MOCVD) with aluminium-tri-sec-butoxide (ATSB) as the precursor.

  5. A comparison of different spray chemical vapour deposition methods for the production of undoped ZnO thin films

    International Nuclear Information System (INIS)

    Garnier, Jerome; Bouteville, Anne; Hamilton, Jeff; Pemble, Martyn E.; Povey, Ian M.

    2009-01-01

    Two different methods of spray chemical vapour deposition have been used to grow ZnO thin films on glass substrates from zinc acetate solution over the temperature range 400 o C to 550 o C. The first of these is named InfraRed Assisted Spray Chemical Vapour Deposition (IRAS-CVD). This method uses intense IR radiation to heat not only the substrate but also the gaseous species entering the reactor. The second method is a more conventional approach known simply as ultrasonic spray CVD, which utilises IR lamps to heat the substrate only. By way of comparing these two approaches we present data obtained from contact angle measurements, crystallinity and mean crystallite size, photoluminescence, electrical and optical properties. Additionally we have examined the role of annealing within the IRAS-CVD reactor environment.

  6. Synthesis of thick diamond films by direct current hot-cathode plasma chemical vapour deposition

    CERN Document Server

    Jin Zeng Sun; Bai Yi Zhen; Lu Xian Yi

    2002-01-01

    The method of direct current hot-cathode plasma chemical vapour deposition has been established. A long-time stable glow discharge at large discharge current and high gas pressure has been achieved by using a hot cathode in the temperature range from 1100 degree C to 1500 degree C and non-symmetrical configuration of the poles, in which the diameter of the cathode is larger than that of anode. High-quality thick diamond films, with a diameter of 40-50 mm and thickness of 0.5-4.2 mm, have been synthesized by this method. Transparent thick diamond films were grown over a range of growth rates between 5-10 mu m/h. Most of the thick diamond films have thermal conductivities of 10-12 W/K centre dot cm. The thick diamond films with high thermal conductivity can be used as a heat sink of semiconducting laser diode array and as a heat spreading and isolation substrate of multichip modules. The performance can be obviously improved

  7. Investigation of the nucleation process of chemical vapour deposited diamond films

    International Nuclear Information System (INIS)

    Katai, S.

    2001-01-01

    The primary aim of this work was to contribute to the understanding of the bias enhanced nucleation (BEN) process during the chemical vapour deposition (CVD) of diamond on silicon. The investigation of both the gas phase environment above the substrate surface, by in situ mass selective energy analysis of ions, and of the surface composition and structure by in vacuo surface analytic methods (XPS, EELS) have been carried out. In both cases, the implementation of these measurements required the development and construction of special experimental apparatus as well. The secondary aim of this work was to give orientation to our long term goal of growing diamond films with improved quality. For this reason, (1) contaminant levels at the diamond-silicon interface after growth were studied by SIMS, (2) the internal stress distribution of highly oriented free-standing diamond films were studied by Raman spectroscopy, and (3) an attempt was made to produce spatially regular oriented nuclei formation by nucleating on a pattern created by laser treatment on silicon substrates. (orig.)

  8. Characterization of thin TiO2 films prepared by plasma enhanced chemical vapour deposition for optical and photocatalytic applications

    International Nuclear Information System (INIS)

    Sobczyk-Guzenda, A.; Gazicki-Lipman, M.; Szymanowski, H.; Kowalski, J.; Wojciechowski, P.; Halamus, T.; Tracz, A.

    2009-01-01

    Thin titanium oxide films were deposited using a radio frequency (RF) plasma enhanced chemical vapour deposition method. Their optical properties and thickness were determined by means of ultraviolet-visible absorption spectrophotometry. Films of the optical parameters very close to those of titanium dioxide have been obtained at the high RF power input. Their optical quality is high enough to allow for their use in a construction of stack interference optical filters. At the same time, these materials exhibit strong photocatalytic effects. The results of structural analysis, carried out by Raman Shift Spectroscopy, show that the coatings posses amorphous structure. However, Raman spectra of the same films subjected to thermal annealing at 450 o C disclose an appearance of a crystalline form, namely that of anatase. Surface morphology of the films has also been characterized by Atomic Force Microscopy revealing granular, broccoli-like topography of the films.

  9. In situ growth rate measurements during plasma-enhanced chemical vapour deposition of vertically aligned multiwall carbon nanotube films

    International Nuclear Information System (INIS)

    Joensson, M; Nerushev, O A; Campbell, E E B

    2007-01-01

    In situ laser reflectivity measurements are used to monitor the growth of multiwalled carbon nanotube (MWCNT) films grown by DC plasma-enhanced chemical vapour deposition (PECVD) from an iron catalyst film deposited on a silicon wafer. In contrast to thermal CVD growth, there is no initial increase in the growth rate; instead, the initial growth rate is high (as much as 10 μm min -1 ) and then drops off rapidly to reach a steady level (2 μm min -1 ) for times beyond 1 min. We show that a limiting factor for growing thick films of multiwalled nanotubes (MWNTs) using PECVD can be the formation of an amorphous carbon layer at the top of the growing nanotubes. In situ reflectivity measurements provide a convenient technique for detecting the onset of the growth of this layer

  10. Indium Tin Oxide thin film gas sensors for detection of ethanol vapours

    International Nuclear Information System (INIS)

    Vaishnav, V.S.; Patel, P.D.; Patel, N.G.

    2005-01-01

    Indium Tin Oxide (ITO: In 2 O 3 + 17% SnO 2 ) thin films grown on alumina substrate at 648 K temperatures using direct evaporation method with two gold pads deposited on the top for electrical contacts were exposed to ethanol vapours (200-2500 ppm). The operating temperature of the sensor was optimized. The sensitivity variation of films having different thickness was studied. The sensitivity of the films deposited on Si substrates was studied. The response of the film with MgO catalytic layer on sensitivity and selectivity was observed. A novel approach of depositing thin stimulating layer of various metals/oxides below the ITO film was tried and tested

  11. The atmospheric chemical vapour deposition of coatings on glass

    International Nuclear Information System (INIS)

    Sanderson, Kevin David

    1996-01-01

    The deposition of thin films of indium oxide, tin doped indium oxide (ITO) and titanium nitride for solar control applications have been investigated by Atmospheric Chemical Vapour Deposition (APCVD). Experimental details of the deposition system and the techniques used to characterise the films are presented. Results from investigations into the deposition parameters, the film microstructure and film material properties are discussed. A range of precursors were investigated for the deposition of indium oxide. The effect of pro-mixing the vaporised precursor with an oxidant source and the deposition temperature has been studied. Polycrystalline In 2 O 3 films with a resistivity of 1.1 - 3x10 -3 Ω cm were obtained with ln(thd) 3 , oxygen and nitrogen. The growth of ITO films from ln(thd) 3 , oxygen and a range of tin dopants is also presented. The effect of the dopant precursor, the doping concentration, deposition temperature and the effect of additives on film growth and microstructure is discussed. Control over the preferred orientation growth of ITO has been achieved by the addition of acetate species during film growth. Insitu infra-red spectroscopy has been used to identify the gas phase species and identify the species responsible for the film modification. ITO films with a resistivities of 1.5 - 4x10 -4 Ω cm have been achieved. The deposition of titanium nitride by the APCVD of Ti(NMe 2 ) 4 and a mixture of Ti(NMe 2 ) 4 and ammonia is reported. Contamination of the films and pro-reaction between the precursors in the gas phase is discussed, and the synthesis of new precursors for the deposition of titanium nitride is reported. New precursors have been synthesised under anaerobic conditions and characterised by infra-red spectroscopy, 1 H and 13 C NMR, mass spectrometry, thermal gravemetric analysis and three by single crystal X-ray diffraction. Deposition of titanium nitride utilising two new precursors is reported. (author)

  12. Size- and density-controlled deposition of Ag nanoparticle films by a novel low-temperature spray chemical vapour deposition method—research into mechanism, particle growth and optical simulation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yang, E-mail: yang.liu@helmholtz-berlin.de; Plate, Paul, E-mail: paul.plate@helmholtz-berlin.de; Hinrichs, Volker; Köhler, Tristan; Song, Min; Manley, Phillip; Schmid, Martina [Helmholtz-Zentrum Berlin für Materialien und Energie GmbH (Germany); Bartsch, Peter [Beuth Hochschule für Technik Berlin, Fachbereich VIII Maschinenbau, Veranstaltungstechnik, Verfahrenstechnik (Germany); Fiechter, Sebastian; Lux-Steiner, Martha Ch. [Helmholtz-Zentrum Berlin für Materialien und Energie GmbH (Germany); Fischer, Christian-Herbert [Freie Universität Berlin, Institute of Chemistry and Biochemistry (Germany)

    2017-04-15

    Ag nanoparticles have attracted interest for plasmonic absorption enhancement of solar cells. For this purpose, well-defined particle sizes and densities as well as very low deposition temperatures are required. Thus, we report here a new spray chemical vapour deposition method for producing Ag NP films with independent size and density control at substrate temperatures even below 100 °C, which is much lower than for many other techniques. This method can be used on different substrates to deposit Ag NP films. It is a reproducible, low-cost process which uses trimethylphosphine (hexafluoroacetylacetonato) silver as a precursor in alcoholic solution. By systematic variation of deposition parameters and classic experiments, mechanisms of particle growth and of deposition processes as well as the low decomposition temperature of the precursor could be explained. Using the 3D finite element method, absorption spectra of selected samples were simulated, which fitted well with the measured results. Hence, further applications of such Ag NP films for generating plasmonic near field can be predicted by the simulation.

  13. Size- and density-controlled deposition of Ag nanoparticle films by a novel low-temperature spray chemical vapour deposition method—research into mechanism, particle growth and optical simulation

    International Nuclear Information System (INIS)

    Liu, Yang; Plate, Paul; Hinrichs, Volker; Köhler, Tristan; Song, Min; Manley, Phillip; Schmid, Martina; Bartsch, Peter; Fiechter, Sebastian; Lux-Steiner, Martha Ch.; Fischer, Christian-Herbert

    2017-01-01

    Ag nanoparticles have attracted interest for plasmonic absorption enhancement of solar cells. For this purpose, well-defined particle sizes and densities as well as very low deposition temperatures are required. Thus, we report here a new spray chemical vapour deposition method for producing Ag NP films with independent size and density control at substrate temperatures even below 100 °C, which is much lower than for many other techniques. This method can be used on different substrates to deposit Ag NP films. It is a reproducible, low-cost process which uses trimethylphosphine (hexafluoroacetylacetonato) silver as a precursor in alcoholic solution. By systematic variation of deposition parameters and classic experiments, mechanisms of particle growth and of deposition processes as well as the low decomposition temperature of the precursor could be explained. Using the 3D finite element method, absorption spectra of selected samples were simulated, which fitted well with the measured results. Hence, further applications of such Ag NP films for generating plasmonic near field can be predicted by the simulation.

  14. Cr2O3 thin films grown at room temperature by low pressure laser chemical vapour deposition

    International Nuclear Information System (INIS)

    Sousa, P.M.; Silvestre, A.J.; Conde, O.

    2011-01-01

    Chromia (Cr 2 O 3 ) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr 2 O 3 films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr 2 O 3 onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO) 6 as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm -2 and a partial pressure ratio of O 2 to Cr(CO) 6 of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s -1 and mean particle sizes of 1.85 μm were measured for these films.

  15. Film boiling heat transfer and vapour film collapse for various geometries

    International Nuclear Information System (INIS)

    Jouhara, H.I.; Axcell, B.P.

    2005-01-01

    Full text of publication follows: Film boiling heat transfer has application to the safe operation of water-cooled nuclear reactors under fault conditions and it has been studied using nickel-plated copper specimens in transient and steady state experiments. In the transient tests the specimens were held in a water flow; in the steady state investigation a specimen was mounted in an essentially quiescent pool of water. The transient investigation was conducted on two spheres with different diameters, two cylindrical specimens of different lengths in parallel flow, a short cylinder in cross flow and two flat plates with different lengths. The heat transfer coefficient, vapour film thickness (which was estimated from the heat transfer coefficient) and heat flux followed a similar behaviour with changing experimental conditions for all specimens studied. The heat transfer coefficient increased and the vapour film thickness and heat flux decreased as the specimen temperature decreased. As the water subcooling increased the heat transfer coefficient and the heat flux increased while the vapour film thickness decreased. The water velocity was found to have little influence on the film boiling heat transfer results except for the short cylinder in cross flow. The sphere diameter was found to affect the heat transfer results; the heat transfer coefficient and the heat flux were larger, for the larger sphere. No significant effect of the cylinder length on the heat transfer data was observed. However, the heat transfer coefficient was higher (and the average vapour film thinner) for the longer plate than for the shorter plate. Three vapour/liquid interface types were observed namely: 'smooth', 'rippled' and 'turbulent' depending largely on specimen and water temperatures. For all specimens, the maximum heat transfer coefficient, minimum heat flux and minimum film boiling temperature, occurring just before vapour film collapse, were found to increase as the water subcooling

  16. Green electroluminescence from ZnO/n-InP heterostructure fabricated by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Zhu Huichao; Zhang Baolin; Li Xiangping; Dong Xin; Li Wancheng; Guan Hesong; Cui Yongguo; Xia Xiaochuan; Yang Tianpeng; Chang Yuchun; Du Guotong

    2007-01-01

    Vertically aligned ZnO films were deposited on n-InP by metalorganic chemical vapour deposition. X-ray diffraction, field emission scanning electron microscopy and photoluminescence measurements demonstrated that the ZnO films had good quality. By evaporating AuZn electrodes on both ZnO and InP surfaces, a ZnO-based light emitting device was fabricated. Under forward voltage, weak green emissions can be observed in darkness

  17. Excimer laser recrystallization of nanocrystalline-Si films deposited by inductively coupled plasma chemical vapour deposition at 150 deg. C

    International Nuclear Information System (INIS)

    Park, Joong-Hyun; Han, Sang-Myeon; Park, Sang-Geun; Han, Min-Koo; Shin, Moon-Young

    2006-01-01

    Polycrystalline silicon thin film transistors (poly-Si TFTs) fabricated at low temperature (under 200 deg. C) have been widely investigated for flexible substrate applications such as a transparent plastic substrate. Unlike the conventional TFT process using glass substrate, the maximum process temperature should be kept less than 200 deg. C in order to avoid thermal damage on flexible substrates. We report the characteristics of nanocrystalline silicon (nc-Si) irradiated by an excimer laser. Nc-Si precursors were deposited on various buffer layers by inductively coupled plasma chemical vapour deposition (ICP-CVD) at 150 deg. C. We employed various buffer layers, such as silicon nitride (SiN X ) and silicon dioxide (SiO 2 ), in order to report recrystallization characteristics in connection with a buffer layer of a different thermal conductivity. The dehydrogenation and recrystallization was performed by step-by-step excimer laser annealing (ELA) (XeCl,λ=308 nm) in order to prevent the explosive release of hydrogen atoms. The grain size of the poly-Si film, which was recrystallized on the various buffer layers, was measured by scanning electron microscopy (SEM) at each laser energy density. The process margin of step-by-step ELA employing the SiN X buffer layer is wider than SiO 2 and the maximum grain size slightly increased

  18. Hot-wire chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Cummings, FR

    2006-07-01

    Full Text Available ablation of graphite, carbon-arc discharge and chemical vapour deposition (CVD). However, some of these techniques have been shown to be expensive due to high deposition temperatures and are not easily controllable. Recently hot-wire chemical vapour...

  19. Cr{sub 2}O{sub 3} thin films grown at room temperature by low pressure laser chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sousa, P.M. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal); Silvestre, A.J., E-mail: asilvestre@deq.isel.ipl.p [Instituto Superior de Engenharia de Lisboa and ICEMS, R. Conselheiro Emidio Navarro 1, 1959-007 Lisboa (Portugal); Conde, O. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal)

    2011-03-31

    Chromia (Cr{sub 2}O{sub 3}) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr{sub 2}O{sub 3} films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr{sub 2}O{sub 3} onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO){sub 6} as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm{sup -2} and a partial pressure ratio of O{sub 2} to Cr(CO){sub 6} of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s{sup -1} and mean particle sizes of 1.85 {mu}m were measured for these films.

  20. Characterisation and optical vapour sensing properties of PMMA thin films

    Energy Technology Data Exchange (ETDEWEB)

    Capan, I. [Balikesir University, Science and Arts Faculty, Physics Department, 10100 Balikesir (Turkey)], E-mail: inci.capan@gmail.com; Tarimci, C. [Ankara University, Faculty of Engineering, Department of Engineering Physics, 06100, Tandogan, Ankara (Turkey); Hassan, A.K. [Sheffield Hallam University, Materials and Engineering Research Institute, City Campus, Pond Street, Sheffield S1 1WB (United Kingdom); Tanrisever, T. [Balikesir University, Science and Arts Faculty, Chemistry Department, 10100 Balikesir (Turkey)

    2009-01-01

    The present article reports on the characterisation of spin coated thin films of poly (methyl methacrylate) (PMMA) for their use in organic vapour sensing application. Thin film properties of PMMA are studied by UV-visible spectroscopy, atomic force microscopy and surface plasmon resonance (SPR) technique. Results obtained show that homogeneous thin films with thickness in the range between 6 and 15 nm have been successfully prepared when films were spun at speeds between 1000-5000 rpm. Using SPR technique, the sensing properties of the spun films were studied on exposures to several halohydrocarbons including chloroform, dichloromethane and trichloroethylene. Data from measured kinetic response have been used to evaluate the sensitivity of the studied films to the various analyte molecules in terms of normalised response (%) per unit concentration (ppm). The highest PMMA film sensitivity of 0.067 normalised response per ppm was observed for chloroform vapour, for films spun at 1000 rpm. The high film's sensitivity to chloroform vapour was ascribed mainly to its solubility parameter and molar volume values. Effect of film thickness on the vapour sensing properties is also discussed.

  1. Effects of boron addition on a-Si90Ge10:H films obtained by low frequency plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Perez, Arllene M; Renero, Francisco J; Zuniga, Carlos; Torres, Alfonso; Santiago, Cesar

    2005-01-01

    Optical, structural and electric properties of (a-(Si 90 Ge 10 ) 1-y B y :H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10 -3 to 10 1 Ω -1 cm -1 when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV

  2. Effects of Surface Modification of Nanodiamond Particles for Nucleation Enhancement during Its Film Growth by Microwave Plasma Jet Chemical Vapour Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available The seedings of the substrate with a suspension of nanodiamond particles (NDPs were widely used as nucleation seeds to enhance the growth of nanostructured diamond films. The formation of agglomerates in the suspension of NDPs, however, may have adverse impact on the initial growth period. Therefore, this paper was aimed at the surface modification of the NDPs to enhance the diamond nucleation for the growth of nanocrystalline diamond films which could be used in photovoltaic applications. Hydrogen plasma, thermal, and surfactant treatment techniques were employed to improve the dispersion characteristics of detonation nanodiamond particles in aqueous media. The seeding of silicon substrate was then carried out with an optimized spin-coating method. The results of both Fourier transform infrared spectroscopy and dynamic light scattering measurements demonstrated that plasma treated diamond nanoparticles possessed polar surface functional groups and attained high dispersion in methanol. The nanocrystalline diamond films deposited by microwave plasma jet chemical vapour deposition exhibited extremely fine grain and high smooth surfaces (~6.4 nm rms on the whole film. These results indeed open up a prospect of nanocrystalline diamond films in solar cell applications.

  3. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    International Nuclear Information System (INIS)

    Wen-Juan, Hu; Fen-Yan, Xie; Qiang, Chen; Jing, Weng

    2008-01-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films

  4. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    Science.gov (United States)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  5. ArF Laser -Induced Chemical Vapour Deposition of Polythiene Films from Carbon Disulfide

    Czech Academy of Sciences Publication Activity Database

    Tomovska, R.; Bastl, Zdeněk; Vorlíček, Vladimír; Vacek, Karel; Šubrt, Jan; Plzák, Zbyněk; Pola, Josef

    2003-01-01

    Roč. 107, č. 36 (2003), s. 9793-9801 ISSN 1089-5647 R&D Projects: GA MŠk ME 612 Institutional research plan: CEZ:AV0Z4032918; CEZ:AV0Z4040901 Keywords : laser photolysis * ArF * chemical vapour deposition Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 3.679, year: 2003

  6. Effects of the ion-solid interaction in glow discharge vapour deposition polymerization of pyromellitic dianhydride

    International Nuclear Information System (INIS)

    Maggioni, G.; Carturan, S.; Rigato, V.; Pieri, U.

    2000-01-01

    Low energy He ion bombardment of pyromellitic dianhydride monomer used in glow discharge vapour deposition polymerization (GDVDP) of polyimide coatings and its effects on the film deposition process have been studied. The sublimation of the monomer molecules and the simultaneous formation of a damaged, carbon-rich surface layer on the target are discussed from a theoretical point of view based on simulations of the ion-solid interaction. Optical emission and mass spectrometry have been used to analyse the species emitted from the target. In order to study the time evolution of the PMDA target damage, the deposition rate of monomer molecules has been monitored. FT-IR spectroscopy has been used to determine the molecular damaging of the target monomer and deposited films

  7. Effects of boron addition on a-Si(90)Ge(10):H films obtained by low frequency plasma enhanced chemical vapour deposition.

    Science.gov (United States)

    Pérez, Arllene M; Renero, Francisco J; Zúñiga, Carlos; Torres, Alfonso; Santiago, César

    2005-06-29

    Optical, structural and electric properties of (a-(Si(90)Ge(10))(1-y)B(y):H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10(-3) to 10(1) Ω(-1) cm(-1) when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV.

  8. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    Within this thesis, several important subjects related to the use of amorphous silicon nitride made by plasma enhanced chemical vapour deposition as an anti-reflective coating on silicon solar cells are presented. The first part of the thesis covers optical simulations to optimise single and double layer anti-reflective coatings with respect to optical performance when situated on a silicon solar cell. The second part investigates the relationship between important physical properties of silicon nitride films when deposited under different conditions. The optical simulations were either based on minimising the reflectance off a silicon nitride/silicon wafer stack or maximising the transmittance through the silicon nitride into the silicon wafer. The former method allowed consideration of the reflectance off the back surface of the wafer, which occurs typically at wavelengths above 1000 nm due to the transparency of silicon at these wavelengths. However, this method does not take into consideration the absorption occurring in the silicon nitride, which is negligible at low refractive indexes but quite significant when the refractive index increases above 2.1. For high-index silicon nitride films, the latter method is more accurate as it considers both reflectance and absorbance in the film to calculate the transmittance into the Si wafer. Both methods reach similar values for film thickness and refractive index for optimised single layer anti-reflective coatings, due to the negligible absorption occurring in these films. For double layer coatings, though, the reflectance based simulations overestimated the optimum refractive index for the bottom layer, which would have lead to excessive absorption if applied to real anti-reflective coatings. The experimental study on physical properties for silicon nitride films deposited under varying conditions concentrated on the estimation of properties important for its applications, such as optical properties, passivation

  9. Chemical vapour deposition of silicon under reduced pressure in a hot-wall reactor: Equilibrium and kinetics

    International Nuclear Information System (INIS)

    Langlais, F.; Hottier, F.; Cadoret, R.

    1982-01-01

    Silicon chemical vapour deposition (SiH 2 Cl 2 /H 2 system), under reduced pressure conditions, in a hot-wall reactor, is presented. The vapour phase composition is assessed by evaluating two distinct equilibria. The homogeneous equilibrium , which assumes that the vapour phase is not in equilibrium with solid silicon, is thought to give an adequate description of the vapour phase in the case of low pressure, high gas velocities, good temperature homogeneity conditions. A comparison with heterogeneous equilibrium enables us to calculate the supersaturation so evidencing a highly irreversible growth system. The experimental determination of the growth rates reveals two distinct temperature ranges: below 1000 0 C, polycrystalline films are usually obtained with a thermally activated growth rate (+40 kcal mole -1 ) and a reaction order, with respect to the predominant species SiCl 2 , close to one; above 1000 0 C, the films are always monocrystalline and their growth rate exhibits a much lower or even negative activation energy, the reaction order in SiCl 2 remaining about one. (orig.)

  10. Ethanol vapour sensing properties of screen printed WO 3 thick films

    Indian Academy of Sciences (India)

    The ethanol vapour sensing properties of these thick films were investigated at different operating temperatures and ethanol vapour concentrations. The WO3 thick films exhibit excellent ethanol vapour sensing properties with a maximum sensitivity of ∼1424.6% at 400°C in air atmosphere with fast response and recovery ...

  11. Chemical vapour deposition growth and Raman characterization of graphene layers and carbon nanotubes

    Science.gov (United States)

    Lai, Y.-C.; Rafailov, P. M.; Vlaikova, E.; Marinova, V.; Lin, S. H.; Yu, P.; Yu, S.-C.; Chi, G. C.; Dimitrov, D.; Sveshtarov, P.; Mehandjiev, V.; Gospodinov, M. M.

    2016-02-01

    Single-layer graphene films were grown by chemical vapour deposition (CVD) on Cu foil. The CVD process was complemented by plasma enhancement to grow also vertically aligned multiwalled carbon nanotubes using Ni nanoparticles as catalyst. The obtained samples were characterized by Raman spectroscopy analysis. Nature of defects in the samples and optimal growth conditions leading to achieve high quality of graphene and carbon nanotubes are discussed.

  12. Nanocomposite film prepared by depositing xylan on cellulose nanowhiskers matrix

    Science.gov (United States)

    Qining Sun; Anurag Mandalika; Thomas Elder; Sandeep S. Nair; Xianzhi Meng; Fang Huang; Art J. Ragauskas

    2014-01-01

    Novel bionanocomposite films have been prepared by depositing xylan onto cellulose nanowhiskers through a pH adjustment. Analysis of strength properties, water vapour transmission, transparency, surface morphology and thermal decomposition showed the enhancement of film performance. This provides a new green route to the utilization of biomass for sustainable...

  13. Graphene growth by transfer-free chemical vapour deposition on a cobalt layer

    Science.gov (United States)

    Macháč, Petr; Hejna, Ondřej; Slepička, Petr

    2017-01-01

    The contribution deals with the preparation of graphene films by a transfer-free chemical vapour deposition process utilizing a thin cobalt layer. This method allows growing graphene directly on a dielectric substrate. The process was carried out in a cold-wall reactor with methane as carbon precursor. We managed to prepare bilayer graphene. The best results were obtained for a structure with a cobalt layer with a thickness of 50 nm. The quality of prepared graphene films and of the number of graphene layers were estimated using Raman spectroscopy. with a minimal dots diameter of 180 nm and spacing of 1000 nm were successfully developed.

  14. A research of vapour-film characteristics of inverted-annular flow film boiling by visual method

    International Nuclear Information System (INIS)

    Xu Jijun; Guo Zhichao; Yan An; Bi Haoran

    1988-01-01

    The vapour-film characteristics are an interesting topic in inverted-annular flow film boiling. A practical set of experimental rig has been designed and constructed for visual observation. Photographic method is adopted for obtaining number of photographs in the conditions of steady state. For references at hands, photographs under steady conditions of water flow film boiling have not been published yet. This paper discusses the typical vapour film characteristics and regards Elias' two-region model summarized from transient visual experiment as reasonable. In addition, under heated conditions, at least, three types of vapour-water interfaces have been observed. They are asymmetric sine waves, symmetic varicose waves, and roll waves offered by Jarlais from an adiabatic simulation. In diabatic conditions a transition of flow pattern to slug flow is usually caused by hydrodynamic instability and/or by thermodynamic instability. The effects of mass velocity, inlet subcooling, heat flux input, initial quality and pressure to vapour-film characteristics are described. An empirical correlation is fitted to 23 sets of tests of discussion

  15. Intrinsic stress of bismuth oxide thin films: effect of vapour chopping and air ageing

    International Nuclear Information System (INIS)

    Patil, R B; Puri, R K; Puri, V

    2008-01-01

    Bismuth oxide thin films of thickness 1000 A 0 have been prepared by thermal oxidation (in air) of vacuum evaporated bismuth thin films (on glass substrate) at different oxidation temperatures and duration. Both the vapour chopped and nonchopped bismuth oxide thin films showed polycrystalline and polymorphic structure. The monoclinic bismuth oxide was found to be predominant in both the cases. The effect of vapour chopping and air exposure for 40 days on the intrinsic stress of bismuth oxide thin films has been studied. The vapour chopped films showed low (3.92 - 4.80 x 10 9 N/m 2 ) intrinsic stress than those of nonchopped bismuth oxide thin films (5.77 - 6.74 x 10 9 N/m 2 ). Intrinsic stress was found to increase due to air ageing. The effect of air ageing on the vapour chopped films was found low. The vapour chopped films showed higher packing density. Higher the packing density, lower the film will age. The process of chopping vapour flow creates films with less inhomogenety i.e. a low concentration of flaws and non-planar defects which results in lower intrinsic stress

  16. Co3O4 protective coatings prepared by Pulsed Injection Metal Organic Chemical Vapour Deposition

    DEFF Research Database (Denmark)

    Burriel, M.; Garcia, G.; Santiso, J.

    2005-01-01

    of deposition temperature. Pure Co3O4 spinel structure was found for deposition temperatures ranging from 360 to 540 degreesC. The optimum experimental parameters to prepare dense layers with a high growth rate were determined and used to prepare corrosion protective coatings for Fe-22Cr metallic interconnects......Cobalt oxide films were grown by Pulsed Injection Metal Organic Chemical Vapour Deposition (PI-MOCVD) using Co(acac)(3) (acac=acetylacetonate) precursor dissolved in toluene. The structure, morphology and growth rate of the layers deposited on silicon substrates were studied as a function......, to be used in Intermediate Temperature Solid Oxide Fuel Cells. (C) 2004 Elsevier B.V. All rights reserved....

  17. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  18. Zinc oxide nanostructures by chemical vapour deposition as anodes for Li-ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Laurenti, M., E-mail: marco.laurenti@iit.it [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy); Garino, N. [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Porro, S.; Fontana, M. [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy); Gerbaldi, C., E-mail: claudio.gerbaldi@polito.it [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy)

    2015-08-15

    Highlights: • ZnO nanostructures are grown by simple chemical vapour deposition. • Polycrystalline nanostructured porous thin film is obtained. • Film exhibits stable specific capacity (∼400 mA h g{sup −1}) after prolonged cycling. • CVD-grown ZnO nanostructures show promising prospects as Li-ion battery anode. - Abstract: ZnO nanostructures are grown by a simple chemical vapour deposition method directly on a stainless steel disc current collector and successfully tested in lithium cells. The structural/morphological characterization points out the presence of well-defined polycrystalline nanostructures having different shapes and a preferential orientation along the c-axis direction. In addition, the high active surface of the ZnO nanostructures, which accounts for a large electrode/electrolyte contact area, and the complete wetting with the electrolyte solution are considered to be responsible for the good electrical transport properties and the adequate electrochemical behaviour, as confirmed by cyclic voltammetry and galvanostatic charge/discharge cycling. Indeed, despite no binder or conducting additives are used, when galvanostatically tested in lithium cells, after an initial decay, the ZnO nanostructures can provide a rather stable specific capacity approaching 70 μA h cm{sup −2} (i.e., around 400 mA h g{sup −1}) after prolonged cycling at 1 C, with very high Coulombic efficiency and an overall capacity retention exceeding 62%.

  19. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  20. The practical use and application of Monte-Carlo studies in physical vapour deposition technology

    International Nuclear Information System (INIS)

    Elsing, R.

    1991-01-01

    Most of the theory of physical vapour deposition processes has been well researched. There has, however, been little attempt to exploit the theoretical results of this research for practical development of these technologies. This paper develops a computer model for the magnetron sputter ion plating process, in which known physical laws are combined with geometry models of the kind used in computer-aided design technology. The model enables deposition rates and incidence angle distributions to be described for any desired substrate geometry and configuration, taking into account the dominant process parameters. Deposition rates and incidence angle distributions are computed for various film materials and process parameters and are compared with measured values, indicating excellent agreement. A final example shows the dependence of film thickness distribution at the bottom of a slot on a notched component on the geometrical nature of the workpiece itself and on its positioning in the process space. (orig.)

  1. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  2. Nanostructured diamond film deposition on curved surfaces of metallic temporomandibular joint implant

    Energy Technology Data Exchange (ETDEWEB)

    Fries, Marc D; Vohra, Yogesh K [Department of Physics, University of Alabama at Birmingham (UAB), Birmingham, AL (United States)

    2002-10-21

    Microwave plasma chemical vapour deposition of nanostructured diamond films was carried out on curved surfaces of Ti-6Al-4V alloy machined to simulate the shape of a temporomandibular joint (TMJ) dental implant. Raman spectroscopy shows that the deposited films are uniform in chemical composition along the radius of curvature of the TMJ condyle. Thin film x-ray diffraction reveals an interfacial carbide layer and nanocrystalline diamond grains in this coating. Nanoindentation hardness measurements show an ultra-hard coating with a hardness value of 60{+-}5 GPa averaged over three samples. (rapid communication)

  3. Plasma deposition of cubic boron nitride films from non-toxic material at low temperatures

    International Nuclear Information System (INIS)

    Karim, M.Z.; Cameron, D.C.; Murphy, M.J.; Hashmi, M.S.J.

    1991-01-01

    Boron nitride has become the focus of a considerable amount of interest because of its properties which relate closely to those of carbon. In particular, the cubic nitride phase has extreme hardness and very high thermal conductivity similar to the properties of diamond. The conventional methods of synthesis use the highly toxic and inflammable gas diborane (B 2 H 6 ) as the reactant material. A study has been made of the deposition of thin films of boron nitride (BN) using non-toxic material by the plasma-assisted chemical vapour deposition technique. The source material was borane-ammonia (BH 3 -NH 3 ) which is a crystalline solid at room temperature with a high vapour pressure. The BH 3 -NH 3 vapour was decomposed in a 13.56 MHz nitrogen plasma coupled either inductively or capacitively with the system. The composition of the films was assessed by measuring their IR absorption when deposited on silicon and KBr substrates. The hexagonal (graphitic) and cubic (diamond-like) allotropes can be distinguished by their characteristic absorption bands which occur at 1365 and 780 cm -1 (hexagonal) and 1070 cm -1 (cubic). We have deposited BN films consisting of a mixture of hexagonal and cubic phases; the relative content of the cubic phase was found to be directly dependent on r.f. power and substrate bias. (orig.)

  4. Temperature-dependent Hall effect studies of ZnO thin films grown by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Roro, K T; Dangbegnon, J K; Sivaraya, S; Westraadt, J E; Neethling, J H; Leitch, A W R; Botha, J R; Kassier, G H

    2008-01-01

    The electrical properties of zinc oxide (ZnO) thin films of various thicknesses (0.3–4.4 µm) grown by metalorganic chemical vapour deposition on glass substrates have been studied by using temperature-dependent Hall-effect (TDH) measurements in the 18–300 K range. The high quality of the layers has been confirmed with x-ray diffraction, transmission electron microscopy, scanning electron microscopy and photoluminescence techniques. TDH measurements indicate the presence of a degenerate layer which significantly influences the low-temperature data. It is found that the measured mobility generally increases with increasing layer thickness, reaching a value of 120 cm 2 V −1 s −1 at room temperature for the 4.4 µm thick sample. The lateral grain size of the layers is also found to increase with thickness indicating a clear correlation between the size of the surface grains and the electrical properties of corresponding films. Theoretical fits to the Hall data suggest that the bulk conduction of the layers is dominated by a weakly compensated donor with activation energy in the 33–41 meV range and concentration of the order of 10 17 cm −3 , as well as a total acceptor concentration of mid-10 15 cm −3 . Grain boundary scattering is found to be an important limiting factor of the mobility throughout the temperature range considered

  5. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique

    International Nuclear Information System (INIS)

    Jany, Ch.

    1998-01-01

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead η to decrease. In contrast, η was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp 2 phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  6. Progress in the deposition of MgB2 thin films

    International Nuclear Information System (INIS)

    Xi, X X; Pogrebnyakov, A V; Zeng, X H; Redwing, J M; Xu, S Y; Li, Qi; Liu, Zi-Kui; Lettieri, J; Vaithyanathan, V; Schlom, D G; Christen, H M; Zhai, H Y; Goyal, A

    2004-01-01

    An MgB 2 thin film deposition technology is the first critical step in the development of superconducting electronics utilizing the 39 K superconductor. It turned out to be a challenging task due to the volatility of Mg and phase stability of MgB 2 , the low sticking coefficients of Mg at elevated temperatures, and the reactivity of Mg with oxygen. A brief overview of current deposition techniques is provided here from a thermodynamic perspective, with an emphasis on a very successful technique for high quality in situ epitaxial MgB 2 films, the hybrid physical-chemical vapour deposition. Examples of heterostructures of MgB 2 with other materials are also presented

  7. Studies of internal stress in diamond films prepared by DC plasma chemical vapour deposition

    International Nuclear Information System (INIS)

    Wang Wanlu; Gao Jinying; Liao Kejun; Liu Anmin

    1992-01-01

    The internal stress in diamond thin films deposited by DC plasma CVD was studied as a function of methane concentration and deposited temperature. Experimental results have shown that total stress in diamond thin films is sensitive to the deposition conditions. The results also indicate that the compressive stress can be explained in terms of amorphous state carbon and hydrogen, and tensile stress is ascribed to the grain boundary relaxation model due to high internal surface area and microstructure with voids

  8. Host thin films incorporating nanoparticles

    Science.gov (United States)

    Qureshi, Uzma

    The focus of this research project was the investigation of the functional properties of thin films that incorporate a secondary nanoparticulate phase. In particular to assess if the secondary nanoparticulate material enhanced a functional property of the coating on glass. In order to achieve this, new thin film deposition methods were developed, namely use of nanopowder precursors, an aerosol assisted transport technique and an aerosol into atmospheric pressure chemical vapour deposition system. Aerosol assisted chemical vapour deposition (AACVD) was used to deposit 8 series of thin films on glass. Five different nanoparticles silver, gold, ceria, tungsten oxide and zinc oxide were tested and shown to successfully deposit thin films incorporating nanoparticles within a host matrix. Silver nanoparticles were synthesised and doped within a titania film by AACVD. This improved solar control properties. A unique aerosol assisted chemical vapour deposition (AACVD) into atmospheric pressure chemical vapour deposition (APCVD) system was used to deposit films of Au nanoparticles and thin films of gold nanoparticles incorporated within a host titania matrix. Incorporation of high refractive index contrast metal oxide particles within a host film altered the film colour. The key goal was to test the potential of nanopowder forms and transfer the suspended nanopowder via an aerosol to a substrate in order to deposit a thin film. Discrete tungsten oxide nanoparticles or ceria nanoparticles within a titanium dioxide thin film enhanced the self-cleaning and photo-induced super-hydrophilicity. The nanopowder precursor study was extended by deposition of zinc oxide thin films incorporating Au nanoparticles and also ZnO films deposited from a ZnO nanopowder precursor. Incorporation of Au nanoparticles within a VO: host matrix improved the thermochromic response, optical and colour properties. Composite VC/TiC and Au nanoparticle/V02/Ti02 thin films displayed three useful

  9. Modelling water vapour permeability through atomic layer deposition coated photovoltaic barrier defects

    Energy Technology Data Exchange (ETDEWEB)

    Elrawemi, Mohamed, E-mail: Mohamed.elrawemi@hud.ac.uk [EPSRC Centre for Innovative Manufacturing in Advanced Metrology, School of Computing and Engineering, University of Huddersfield, Huddersfield (United Kingdom); Blunt, Liam; Fleming, Leigh [EPSRC Centre for Innovative Manufacturing in Advanced Metrology, School of Computing and Engineering, University of Huddersfield, Huddersfield (United Kingdom); Bird, David, E-mail: David.Bird@uk-cpi.com [Centre for Process Innovation Limited, Sedgefield, County Durham (United Kingdom); Robbins, David [Centre for Process Innovation Limited, Sedgefield, County Durham (United Kingdom); Sweeney, Francis [EPSRC Centre for Innovative Manufacturing in Advanced Metrology, School of Computing and Engineering, University of Huddersfield, Huddersfield (United Kingdom)

    2014-11-03

    Transparent barrier films such as Al{sub 2}O{sub 3} used for prevention of oxygen and/or water vapour permeation are the subject of increasing research interest when used for the encapsulation of flexible photovoltaic modules. However, the existence of micro-scale defects in the barrier surface topography has been shown to have the potential to facilitate water vapour ingress, thereby reducing cell efficiency and causing internal electrical shorts. Previous work has shown that small defects (≤ 3 μm lateral dimension) were less significant in determining water vapour ingress. In contrast, larger defects (≥ 3 μm lateral dimension) seem to be more detrimental to the barrier functionality. Experimental results based on surface topography segmentation analysis and a model presented in this paper will be used to test the hypothesis that the major contributing defects to water vapour transmission rate are small numbers of large defects. The model highlighted in this study has the potential to be used for gaining a better understanding of photovoltaic module efficiency and performance. - Highlights: • A model of water vapour permeation through barrier defects is presented. • The effect of the defects on the water vapour permeability is investigated. • Defect density correlates with water vapour permeability. • Large defects may dominate the permeation properties of the barrier film.

  10. A sensor of alcohol vapours based on thin polyaniline base film and quartz crystal microbalance.

    Science.gov (United States)

    Ayad, Mohamad M; El-Hefnawey, Gad; Torad, Nagy L

    2009-08-30

    Thin films of polyaniline base, emeraldine base (EB), coating on the quartz crystal microbalance (QCM) electrode were used as a sensitive layer for the detection of a number of primary aliphatic alcohols such as ethanol, methanol, 2-propanol and 1-propanol vapours. The frequency shifts (Deltaf) of the QCM were increased due to the vapour adsorption into the EB film. Deltaf were found to be linearly correlated with the concentrations of alcohols vapour in part per million (ppm). The sensitivity of the sensor was found to be governed by the chemical structure of the alcohol. The sensor shows a good reproducibility and reversibility. The diffusions of different alcohols vapour were studied and the diffusion coefficients (D) were calculated. It is concluded that the diffusion of the vapours into the EB film follows Fickian kinetics.

  11. Capacitive behavior of Ag doped V2O5 grown by aerosol assisted chemical vapour deposition

    International Nuclear Information System (INIS)

    Vernardou, D.; Marathianou, I.; Katsarakis, N.; Koudoumas, E.; Kazadojev, I.I.; O’Brien, S.; Pemble, M.E.; Povey, I.M.

    2016-01-01

    The growth of silver doped vanadium pentoxide was performed by aerosol assisted chemical vapour deposition and found to be optimal at 450° C. Additionally, an increase in crystallinity and a change in preferred orientation of V 2 O 5 was observed upon increasing the silver content. Silver incorporation also resulted in morphological changes in the thin films from rod to pellet-like structures. For higher silver content films the amount of incorporated charge increased and reversibility and repeatability was demonstrated for 500 cycles. Electrochemical impedance spectroscopy determined that the transfer and diffusion of Li+ ions through the cathode-electrolyte interface was assisted by silver loading, hence, enhancing the capacitive performance.

  12. Fabrication of Antireflection Nanodiamond Particle Film by the Spin Coating Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available Diamond-based antireflective (AR coatings were fabricated using a spin coating of diamond suspension at room temperature as nucleation enhancement procedure and microwave plasma enhanced chemical vapour deposition. Various working pressures were used to investigate their effect on the optical characterization of the as-deposited diamond films. Scanning electron microscopy (SEM and atomic forced microscopy (AFM were employed to analyze the surface properties of the diamond films. Raman spectra and transmission electron microscopy (TEM also were used for analysis of the microstructure of the films. The results showed that working pressure had a significant effect on thickness, surface roughness, and wettability of the as-deposited diamond films. Deposited under 35 Torr or working pressure, the film possessed a low surface roughness of 13.8 nm and fine diamond grain sizes of 35 nm. Reflectance measurements of the films also were carried out using UV-Vis spectrometer and revealed a low reflectance value of the diamond films. The achievement demonstrated feasibility of the proposed spin-coating procedure for large scale production and thus opens up a prospect application of diamond film as an AR coating in industrial optoelectronic device.

  13. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  14. A mathematical model of vapour film destabilisation

    International Nuclear Information System (INIS)

    Knowles, J.B.

    1985-04-01

    In a hypothetical reactor accident, destabilisation of an intervening vapour film between the molten fuel and liquid coolant by a weak shock wave (trigger), is considered likely to initiate the molten fuel-coolant interaction. The one-dimensional model presented here is part of a larger programme of fundamental research aimed at improved reactor safety. (U.K.)

  15. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  16. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  17. Microstructural development in physical vapour-deposited partially stabilized zirconia thermal barrier coatings

    Energy Technology Data Exchange (ETDEWEB)

    Sohn, Y. H. (Center for Intelligent Processing of Materials, Worcester Polytechnic Institute, 100 Institute Road, Worcester, MA 01609-2280 (United States)); Biederman, R.R. (Center for Intelligent Processing of Materials, Worcester Polytechnic Institute, 100 Institute Road, Worcester, MA 01609-2280 (United States)); Sisson, R.D. Jr. (Center for Intelligent Processing of Materials, Worcester Polytechnic Institute, 100 Institute Road, Worcester, MA 01609-2280 (United States))

    1994-10-01

    The effects of processing parameters of physical vapour deposition on the microstructure of partially stabilized zirconia (PSZ) thermal barrier coatings have been experimentally investigated. Emphasis has been placed on the crystallographic texture of the PSZ coatings and the microstructure of the top surface of the PSZ coatings as well as the metal-ceramic interface. The variations in the deposition chamber temperature, substrate thickness, substrate rotation and vapour incidence angle resulted in the observation of significant differences in the crystallographic texture and microstructure of the PSZ coatings. ((orig.))

  18. Surface engineering of artificial heart valve disks using nanostructured thin films deposited by chemical vapour deposition and sol-gel methods.

    Science.gov (United States)

    Jackson, M J; Robinson, G M; Ali, N; Kousar, Y; Mei, S; Gracio, J; Taylor, H; Ahmed, W

    2006-01-01

    Pyrolytic carbon (PyC) is widely used in manufacturing commercial artificial heart valve disks (HVD). Although PyC is commonly used in HVD, it is not the best material for this application since its blood compatibility is not ideal for prolonged clinical use. As a result thrombosis often occurs and the patients are required to take anti-coagulation drugs on a regular basis in order to minimize the formation of thrombosis. However, anti-coagulation therapy gives rise to some detrimental side effects in patients. Therefore, it is extremely urgent that newer and more technically advanced materials with better surface and bulk properties are developed. In this paper, we report the mechanical properties of PyC-HVD, i.e. strength, wear resistance and coefficient of friction. The strength of the material was assessed using Brinell indentation tests. Furthermore, wear resistance and coefficient of friction values were obtained from pin-on-disk testing. The micro-structural properties of PyC were characterized using XRD, Raman spectroscopy and SEM analysis. Also in this paper we report the preparation of freestanding nanocrystalline diamond films (FSND) using the time-modulated chemical vapour deposition (TMCVD) process. Furthermore, the sol-gel technique was used to uniformly coat PyC-HVD with dense, nanocrystalline-titanium oxide (nc-TiO2) coatings. The as-grown nc-TiO2 coatings were characterized for microstructure using SEM and XRD analysis.

  19. Thermodynamic analysis of processes proceeding on (111) faces of diamond during chemical vapour deposition

    International Nuclear Information System (INIS)

    Piekarczyk, W.; Prawer, S.

    1992-01-01

    Chemically vapour deposited diamond is commonly synthesized from activated hydrogen-rich, carbon/hydrogen gas mixtures under conditions which should, from a thermodynamic equilibrium point of view, favour the production of graphite. Much remains to be understood about why diamond, and not graphite, forms under these conditions. However, it is well known that the presence of atomic hydrogen, is crucial to the success of diamond deposition. As part of an attempt to better understand the deposition process, a thermodynamic analysis of the process was performed on diamond (111) faces in hydrogen rich environments. It is shown that the key role of atomic hydrogen is to inhibit the reconstruction of the (111) face to an sp 2 -bonded structure, which would provide a template for graphite, rather than diamond formation. The model correctly predicts experimentally determined trends in growth rate and diamond film quality as a function of methane concentration in the stating gas mixture. 17 refs., 4 figs

  20. Characterisation and vapour sensing properties of spin coated thin films of anthracene labelled PMMA polymer

    Energy Technology Data Exchange (ETDEWEB)

    Capan, I., E-mail: inci.capan@gmail.com [Balikesir University, Faculty of Art and Sciences, Department of Physics, Cagis Campus, 10145 Balikesir (Turkey); Tarimci, C., E-mail: Celik.Tarimci@eng.ankara.edu.tr [Ankara University, Faculty of Engineering, Department of Engineering Physics, 06100, Ankara (Turkey); Erdogan, M., E-mail: merdogan@balikesir.edu.tr [Balikesir University, Faculty of Art and Sciences, Department of Physics, Cagis Campus, 10145 Balikesir (Turkey); Hassan, A.K., E-mail: A.Hassan@shu.ac.uk [Materials and Engineering Research Institute, Sheffield Hallam University, Sheaf Building, Pond Street, Sheffield S1 1WB (United Kingdom)

    2009-05-05

    In the present article thin films of poly (methyl methacrylate) (PMMA) polymer labelled with anthracene (Ant-PMMA) prepared by spin coating are characterised by UV-visible spectroscopy, surface plasmon resonance (SPR), spectroscopic ellipsometry (SE) and Atomic Force Microscopy (AFM) and their organic vapour sensing properties are investigated. Ant-PMMA films' thickness are determined by performing theoretical fitting to experimental data measured using SPR and SE. Results obtained show that the spin-cast films are of good uniformity with an average thickness of 6-8 nm. Organic vapour sensing properties are studied using SPR technique during exposures to different volatile organic compounds (VOCs). Ant-PMMA films' response to the selected VOCs has been examined in terms of solubility parameters and molar volumes of the solvents, and the films were found to be largely sensitive to benzene vapour compared to other studied analytes.

  1. Characterisation and vapour sensing properties of spin coated thin films of anthracene labelled PMMA polymer

    International Nuclear Information System (INIS)

    Capan, I.; Tarimci, C.; Erdogan, M.; Hassan, A.K.

    2009-01-01

    In the present article thin films of poly (methyl methacrylate) (PMMA) polymer labelled with anthracene (Ant-PMMA) prepared by spin coating are characterised by UV-visible spectroscopy, surface plasmon resonance (SPR), spectroscopic ellipsometry (SE) and Atomic Force Microscopy (AFM) and their organic vapour sensing properties are investigated. Ant-PMMA films' thickness are determined by performing theoretical fitting to experimental data measured using SPR and SE. Results obtained show that the spin-cast films are of good uniformity with an average thickness of 6-8 nm. Organic vapour sensing properties are studied using SPR technique during exposures to different volatile organic compounds (VOCs). Ant-PMMA films' response to the selected VOCs has been examined in terms of solubility parameters and molar volumes of the solvents, and the films were found to be largely sensitive to benzene vapour compared to other studied analytes.

  2. Towards engineered branch placement: Unreal™ match between vapour-liquid-solid glancing angle deposition nanowire growth and simulation

    International Nuclear Information System (INIS)

    Taschuk, M. T.; Tucker, R. T.; LaForge, J. M.; Beaudry, A. L.; Kupsta, M. R.; Brett, M. J.

    2013-01-01

    The vapour-liquid-solid glancing angle deposition (VLS-GLAD) process is capable of producing complex nanotree structures with control over azimuthal branch orientation and height. We have developed a thin film growth simulation including ballistic deposition, simplified surface diffusion, and droplet-mediated cubic crystal growth for the VLS-GLAD process using the Unreal TM Development Kit. The use of a commercial game engine has provided an interactive environment while allowing a custom physics implementation. Our simulation's output is verified against experimental data, including a volumetric film reconstruction produced using focused ion beam and scanning-electron microscopy (SEM), crystallographic texture, and morphological characteristics such as branch orientation. We achieve excellent morphological and texture agreement with experimental data, as well as qualitative agreement with SEM imagery. The simplified physics in our model reproduces the experimental films, indicating that the dominant role flux geometry plays in the VLS-GLAD competitive growth process responsible for azimuthally oriented branches and biaxial crystal texture evolution. The simulation's successful reproduction of experimental data indicates that it should have predictive power in designing novel VLS-GLAD structures

  3. Towards engineered branch placement: Unreal™ match between vapour-liquid-solid glancing angle deposition nanowire growth and simulation

    Science.gov (United States)

    Taschuk, M. T.; Tucker, R. T.; LaForge, J. M.; Beaudry, A. L.; Kupsta, M. R.; Brett, M. J.

    2013-12-01

    The vapour-liquid-solid glancing angle deposition (VLS-GLAD) process is capable of producing complex nanotree structures with control over azimuthal branch orientation and height. We have developed a thin film growth simulation including ballistic deposition, simplified surface diffusion, and droplet-mediated cubic crystal growth for the VLS-GLAD process using the UnrealTM Development Kit. The use of a commercial game engine has provided an interactive environment while allowing a custom physics implementation. Our simulation's output is verified against experimental data, including a volumetric film reconstruction produced using focused ion beam and scanning-electron microscopy (SEM), crystallographic texture, and morphological characteristics such as branch orientation. We achieve excellent morphological and texture agreement with experimental data, as well as qualitative agreement with SEM imagery. The simplified physics in our model reproduces the experimental films, indicating that the dominant role flux geometry plays in the VLS-GLAD competitive growth process responsible for azimuthally oriented branches and biaxial crystal texture evolution. The simulation's successful reproduction of experimental data indicates that it should have predictive power in designing novel VLS-GLAD structures.

  4. Growth, structure and stability of sputter-deposited MoS2 thin films

    Directory of Open Access Journals (Sweden)

    Reinhard Kaindl

    2017-05-01

    Full Text Available Molybdenum disulphide (MoS2 thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC substrates. Samples deposited at room temperature (RT and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films.

  5. Technical committee meeting on aerosol formation, vapour deposits and sodium vapour trapping. Summary report

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1977-01-01

    The papers presented at the LMFBR meeting on aerosol formation covered the following four main topics: theoretical studies on aerosol behaviour and comparison with experimental results; techniques for measurement of aerosols; techniques for trapping sodium vapour and aerosols in gas circuits; design of components having to cope with aerosol deposits. The resulting summaries, conclusions and recommendations which were were agreed upon are presented.

  6. Technical committee meeting on aerosol formation, vapour deposits and sodium vapour trapping. Summary report

    International Nuclear Information System (INIS)

    1977-01-01

    The papers presented at the LMFBR meeting on aerosol formation covered the following four main topics: theoretical studies on aerosol behaviour and comparison with experimental results; techniques for measurement of aerosols; techniques for trapping sodium vapour and aerosols in gas circuits; design of components having to cope with aerosol deposits. The resulting summaries, conclusions and recommendations which were were agreed upon are presented

  7. Alcohol vapours sensor based on thin polyaniline salt film and quartz crystal microbalance.

    Science.gov (United States)

    Ayad, Mohamad M; Torad, Nagy L

    2009-06-15

    A sensor based on the quartz crystal microbalance (QCM) technique was developed for detection of a number of primary aliphatic alcohols such as ethanol, methanol, 1-propanol, and 2-propanol vapours. Detection was based on a sensitive and a thin film of polyaniline, emeraldine salt (ES), coated the QCM electrode. The frequency shifts (Delta f) of the QCM were increased due to the vapour absorption into the ES film. The values of Delta f were found to be linearly correlated with the concentrations of alcohols vapour in mg L(-1). The changes in frequency are due to the hydrophilic character of the ES and the electrostatic interaction as well as the type of the alcohol. The sensor shows a good reproducibility and reversibility. The diffusion and diffusion coefficient (D) of different alcohols vapour were determined. It was found that the sensor follows Fickian kinetics.

  8. The Electrical Properties of Plasma-Deposited Thin Films Derived from Pelargonium graveolens

    Directory of Open Access Journals (Sweden)

    Ahmed Al-Jumaili

    2017-10-01

    Full Text Available Inherently volatile at atmospheric pressure and room temperature, plant-derived precursors present an interesting human-health-friendly precursor for the chemical vapour deposition of thin films. The electrical properties of films derived from Pelargonium graveolens (geranium were investigated in metal–insulator–metal (MIM structures. Thin polymer-like films were deposited using plasma-enhanced synthesis under various plasma input power. The J–V characteristics of thus-fabricated MIM were then studied in order to determine the direct current (DC conduction mechanism of the plasma polymer layers. It was found that the capacitance of the plasma-deposited films decreases at low frequencies (C ≈ 10−11 and remains at a relatively constant value (C ≈ 10−10 at high frequencies. These films also have a low dielectric constant across a wide range of frequencies that decreases as the input RF power increases. The conductivity was determined to be around 10−16–10−17 Ω−1 m−1, which is typical for insulating materials. The Richardson–Schottky mechanism might dominate charge transport in the higher field region for geranium thin films.

  9. Low pressure chemical vapour deposition of temperature resistant colour filters

    International Nuclear Information System (INIS)

    Verheijen, J.; Bongaerts, P.; Verspui, G.

    1987-01-01

    The possibility to deposit multilayer colour filters, based on optical inference, by means of Low Pressure Chemical Vapour Deposition (LPCVD) was investigated. The filters were made in a standard LPCVD system by alternate deposition of Si/sub 3/N/sub 4/ and SiO/sub 2/ layers. This resulted in filters with excellent colour uniformity on glass and quartz substrates. No difference was measured between theoretically calculated transmission and the transmission of the filters deposited by LPCVD. Temperature treatment at 600 0 C in air air showed no deterioration of filter quality and optical properties

  10. SiC fibre by chemical vapour deposition on tungsten filament

    Indian Academy of Sciences (India)

    Unknown

    SiC fibre by chemical vapour deposition on tungsten filament ... CMCs), in defence and industrial applications. SiC has attractive ... porosity along with chemical purity. This is lacking .... reactor. Since mercury is very toxic it should be removed.

  11. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    International Nuclear Information System (INIS)

    Smith, James Anthony

    2002-01-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH 3 radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch 4 /H 2 and C 2 H 2 /H 2 gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C 2 →C 1 species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH 3 /CH 4 /H 2 and N 2 /CH 4 /H 2 gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH 3 , influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H 2 /CH 4 /N 2 gas mixture. Spatially resolved species emission intensity maps were obtained for C 2 (d→a), CN(B→X) and H β from Abel-inverted datasets. The C 2 (d→a) and CN(B→X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N 2 additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C 2 (a) in a DC-arcjet reactor operating on an Ar/H 2 /CH 4 gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C 2 (v=0) transition revealed a rotational temperature of ∼3300 K. This gas temperature is similar to that deduced from optical emission spectroscopy studies of the C 2 (d→a) transition. (author)

  12. Microscopic characterisation of suspended graphene grown by chemical vapour deposition

    NARCIS (Netherlands)

    Bignardi, L.; Dorp, W.F. van; Gottardi, S.; Ivashenko, O.; Dudin, P.; Barinov, A.; de Hosson, J.T.M.; Stöhr, M.; Rudolf, P.

    2013-01-01

    We present a multi-technique characterisation of graphene grown by chemical vapour deposition (CVD) and thereafter transferred to and suspended on a grid for transmission electron microscopy (TEM). The properties of the electronic band structure are investigated by angle-resolved photoelectron

  13. Crystalline gamma-Al2O3 physical vapour deposition-coating for steel thixoforging tools.

    Science.gov (United States)

    Bobzin, K; Hirt, G; Bagcivan, N; Khizhnyakova, L; Ewering, M

    2011-10-01

    The process of thixoforming, which has been part of many researches during the last decades, combines the advantages of forging and casting for the shaping of metallic components. But due to the high temperatures of semi-solid steel alloys high demands on the tools are requested. To resists the thermal and mechanical loads (wear, friction, thermal and thermomechanical fatigue) protecting thin films are necessary. In this regard crystalline gamma-Al2O3 deposited via Physical Vapour Deposition (PVD) is a promising candidate: It exhibits high thermal stability, high oxidation resistance and high hot hardness. In the present work the application of a (Ti, Al)N/gamma-Al2O3 coating deposited by means of Magnetron Sputter Ion Plating in an industrial coating unit is presented. The coating was analysed by means of Rockwell test, nanoindentation, and Scanning Electron Microscopy (SEM). The coated tool was tested in thixoforging experiments with steel grade X210CrW12 (AlSI D6). The surface of the coated dies was examined with Scanning Electron Microscope (SEM) after 22, 42, 90 and 170 forging cycles.

  14. Co-depositing Sn controls the growth of Al films as surfactant

    International Nuclear Information System (INIS)

    Barna, P. B.; Kovacs, A.; Misjak, F.; Eisenmenger-Sittner, C.; Bangert, H.; Tomastik, C.

    2002-01-01

    The present study investigates the influence of co-deposited Sn on the atomic processes involved in the structure evolution of vapour-deposited Al films. The films were prepared in HV by thermal evaporation from W sources at 1600 C substrate temperature either on Si wafers covered by a thermally grown oxide or on air cleaved mica. By applying the half-shadow technique, pure and Sn-doped Al films could be deposited simultaneously. The samples were investigated by AFM, scanning AES, X-TEM as well as by X-ray diffraction methods. The grain growth of Al is promoted by Sn in all stages of the film formation. Scanning AES measurements prove the existence of a wetting Sn layer both on the surface of Al islands and on the surface of the continuos Al layer. Excess Sn forms islands on the growth surface. The surface of pure Al layers exhibits grain boundary grooves and bunches of growth steps around terraces, while that of the Sn doped layers is more rounded. The substrate-film interface was covered by a thin Sn layer. AES measurements also prove the presence of Sn on the growth surface of Al films even after termination of Sn addition. Results of these experiments indicate that during co-deposition of Al and Sn the impinging Al atoms penetrate the wetting layer and are incorporated into the already existing Al crystals. A model has been developed for describing the growth of Al crystals in the presence Sn. (Authors)

  15. Modified DLC coatings prepared in a large-scale reactor by dual microwave/pulsed-DC plasma-activated chemical vapour deposition

    International Nuclear Information System (INIS)

    Corbella, C.; Bialuch, I.; Kleinschmidt, M.; Bewilogua, K.

    2008-01-01

    Diamond-Like Carbon (DLC) films find abundant applications as hard and protective coatings due to their excellent mechanical and tribological performances. The addition of new elements to the amorphous DLC matrix tunes the properties of this material, leading to an extension of its scope of applications. In order to scale up their production to a large plasma reactor, DLC films modified by silicon and oxygen additions have been grown in an industrial plant of 1m 3 by means of pulsed-DC plasma-activated chemical vapour deposition (PACVD). The use of an additional microwave (MW) source has intensified the glow discharge, partly by electron cyclotron resonance (ECR), accelerating therefore the deposition process. Hence, acetylene, tetramethylsilane (TMS) and hexamethyldisiloxane (HMDSO) constituted the respective gas precursors for the deposition of a-C:H (DLC), a-C:H:Si and a-C:H:Si:O films by dual MW/pulsed-DC PACVD. This work presents systematic studies of the deposition rate, hardness, adhesion, abrasive wear and water contact angle aimed to optimize the technological parameters of deposition: gas pressure, relative gas flow of the monomers and input power. This study has been completed with measures of the atomic composition of the samples. Deposition rates around 1 μm/h, typical for standard processes held in the large reactor, were increased about by a factor 10 when the ionization source has been operated in ECR mode

  16. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  17. Installation for vacuum vapour deposition of nickel, more particularly for manufacturing neutron guides

    International Nuclear Information System (INIS)

    Samuel, F.

    1986-01-01

    The present invention proposes an installation for vacuum vapour deposition of Ni of the type including in a vacuum chamber a device for heating a mass of at least one Ni isotope to be evaporated, and a surface to be covered with deposited Ni facing the heater, is claimed, in which the heater includes a ribbon of W conformed in a middle part into a container in which is placed a refractory crucible in which is placed the Ni to be evaporated, and adapted to be connected at two terminal zones to an electrical circuit. The crucible is Al203. The invention finds an application in neutron guide fabrication, more particularly for Ni58 vapour deposition on the surfaces of the neutron guide [fr

  18. Atomic layer deposition of Al{sub 2}O{sub 3} and Al{sub 2}O{sub 3}/TiO{sub 2} barrier coatings to reduce the water vapour permeability of polyetheretherketone

    Energy Technology Data Exchange (ETDEWEB)

    Ahmadzada, Tamkin, E-mail: tahm4852@uni.sydney.edu.au [School of Aerospace, Mechanical and Mechatronic Engineering, University of Sydney, NSW 2006 (Australia); McKenzie, David R.; James, Natalie L.; Yin, Yongbai [School of Physics, University of Sydney, NSW 2006 (Australia); Li, Qing [School of Aerospace, Mechanical and Mechatronic Engineering, University of Sydney, NSW 2006 (Australia)

    2015-09-30

    We demonstrate significantly enhanced barrier properties of polyetheretherketone (PEEK) against water vapour penetration by depositing Al{sub 2}O{sub 3} or Al{sub 2}O{sub 3}/TiO{sub 2} nanofilms grown by atomic layer deposition (ALD). Nanoindentation analysis revealed good adhesion strength of a bilayer Al{sub 2}O{sub 3}/TiO{sub 2} coating to PEEK, while the single layer Al{sub 2}O{sub 3} coating displayed flaking and delamination. We identified three critical design parameters for achieving the optimum barrier properties of ALD Al{sub 2}O{sub 3}/TiO{sub 2} coatings on PEEK. These are a minimum total thickness dependent on the required water vapour transmission rate, the use of an Al{sub 2}O{sub 3}/TiO{sub 2} bilayer coating and the application of the coating to both sides of the PEEK film. Using these design parameters, we achieved a reduction in moisture permeability of PEEK of over two orders of magnitude while maintaining good adhesion strength of the polymer–thin film system. - Highlights: • Atomic layer deposition of Al{sub 2}O{sub 3}/TiO{sub 2} coatings reduced water vapour permeability. • Bilayer coatings reduced the permeability more than single layer coatings. • Bilayer coatings displayed higher adhesion strength than the single layer coatings. • Double-sided coatings performed better than single-sided coatings. • Correlation was found between total thickness and reduced water vapour permeability.

  19. Ethanol vapour sensing properties of screen printed WO3 thick films

    Indian Academy of Sciences (India)

    TECS

    trations. The WO3 thick films exhibit excellent ethanol vapour sensing properties with a maximum sensitivity ... methanol, acetone, isopropanol and acetic acid, have been reported .... maximum sensitivity was obtained at an operating tem-.

  20. Barrier properties to surrogates of hydrogenated carbon nano-films deposited on PET by plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Oliveira, Éder C; Echegoyen, Yolanda; Nerin, Cristina; Cruz, Sandra A

    2014-01-01

    Poly(ethylene terephthalate) resin was contaminated with a series of surrogates using a US Food and Drug Administration protocol. The contaminated samples were coated with two different kinds of hydrogenated amorphous carbon thin films (a-C:H): one with diamond-like hydrogenated amorphous carbon and another with polymer-like hydrogenated carbon (PLCH) phases. To evaluate the barrier properties of the a-C:H films, migration assays were performed using food simulants. After the tests, analysis by gas chromatography with different detectors was carried out. The appearance of the films before and after the migration experiments was studied by field emission scanning electron microscopy. The results showed that a-C:H films have good barrier properties for most of the evaluated compounds, mainly when they are deposited as PLCH phase.

  1. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    Science.gov (United States)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  2. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Jones, B J; Nelson, N

    2016-01-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp 2 /sp 3 ratio (graphitic/diamond-like bonding ratio) and sp 2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions. (paper)

  3. Nano sized bismuth oxy chloride by metal organic chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jagdale, Pravin, E-mail: pravin.jagdale@polito.it [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy); Castellino, Micaela [Center for Space Human Robotics, Istituto Italiano di Tecnologia, Corso Trento 21, 10129 Torino (Italy); Marrec, Françoise [Laboratory of Condensed Matter Physics, University of Picardie Jules Verne (UPJV), Amiens 80039 (France); Rodil, Sandra E. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexicom (UNAM), Mexico D.F. 04510 (Mexico); Tagliaferro, Alberto [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy)

    2014-06-01

    Metal organic chemical vapour deposition (MOCVD) method was used to prepare thin films of bismuth based nano particles starting from bismuth salts. Nano sized bismuth oxy chloride (BiOCl) crystals were synthesized from solution containing bismuth chloride (BiCl{sub 3}) in acetone (CH{sub 3}-CO-CH{sub 3}). Self-assembly of nano sized BiOCl crystals were observed on the surface of silicon, fused silica, copper, carbon nanotubes and aluminium substrates. Various synthesis parameters and their significant impact onto the formation of self-assembled nano-crystalline BiOCl were investigated. BiOCl nano particles were characterized by X-ray diffraction, X-ray photoelectron spectroscopy, field emission scanning electron microscopy, energy-dispersive X-ray spectroscopy and Micro-Raman spectroscopy. These analyses confirm that bismuth nanometer-sized crystal structures showing a single tetragonal phase were indeed bismuth oxy chloride (BiOCl) square platelets 18–250 nm thick and a few micrometres wide.

  4. Dispersion of gold nanoclusters in TMBPA-polycarbonate by a combination of thermal embedding and vapour-induced crystallization

    International Nuclear Information System (INIS)

    Kruse, J; Dolgner, K; Greve, H; Zaporojtchenko, V; Faupel, F

    2006-01-01

    Gold nanoclusters can be dispersed into the surface of a bisphenol-A polycarbonate film by acetone vapour induced crystallization, an effect which has been demonstrated in a previous publication of our group. Gold nanoclusters were deposited by physical vapour deposition on an amorphous thin film of polycarbonate. After vapour induced crystallization these clusters were detected by depth profiling to be embedded into the surface, with a concentration maximum in a depth of approximately 100 nm. In this work, we replaced the BPA by the modified tetramethyl bisphenol-A polycarbonate, which shows a slower crystallization kinetics. A strong enhancement of the dispersion depth has been achieved by thermal pre-embedding of the clusters into the surface. Surface analysis by means of atomic force microscopy reflects the rearrangement of polymer material in the course of crystallization

  5. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    Energy Technology Data Exchange (ETDEWEB)

    Smith, James Anthony

    2002-07-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH{sub 3} radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch{sub 4}/H{sub 2} and C{sub 2}H{sub 2}/H{sub 2} gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C{sub 2}{yields}C{sub 1} species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH{sub 3}/CH{sub 4}/H{sub 2} and N{sub 2}/CH{sub 4}/H{sub 2} gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH{sub 3}, influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H{sub 2}/CH{sub 4}/N{sub 2} gas mixture. Spatially resolved species emission intensity maps were obtained for C{sub 2}(d{yields}a), CN(B{yields}X) and H{sub {beta}} from Abel-inverted datasets. The C{sub 2}(d{yields}a) and CN(B{yields}X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N{sub 2} additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C{sub 2}(a) in a DC-arcjet reactor operating on an Ar/H{sub 2}/CH{sub 4} gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C{sub 2}(v=0) transition revealed a rotational temperature of {approx

  6. Atmospheric pressure chemical vapour deposition of the nitrides and oxynitrides of vanadium, titanium and chromium

    International Nuclear Information System (INIS)

    Elwin, G.S.

    1999-01-01

    A study has been made into the atmospheric pressure chemical vapour deposition of nitrides and oxynitrides of vanadium, titanium and chromium. Vanadium tetrachloride, vanadium oxychloride, chromyl chloride and titanium tetrachloride have been used as precursors with ammonia, at different flow conditions and temperatures. Vanadium nitride, vanadium oxynitride, chromium oxynitride, titanium/vanadium nitride and titanium/chromium oxynitride have been deposited as thin films on glass. The APCVD reaction of VCl 4 and ammonia leads to films with general composition VN x O y . By raising the ammonia concentration so that it is in excess (0.42 dm 3 min -1 VCl 4 with 1.0 dm 3 min -1 NH 3 at 500 deg. C) a film has been deposited with the composition VN 0.8 O 0.2 . Further investigation discovered similar elemental compositions could be reached by deposition at 350 deg. C (0.42 dm 3 min -1 VCl 4 with 0.5 dm 3 min -1 NH 3 ), followed by annealing at 650 deg. C, and cooled under a flow of ammonia. Only films formed below 400 deg. C were found to contain carbon or chlorine ( 3 and ammonia also lead to films of composition VN x O y the oxygen to nitrogen ratios depending on the deposition conditions. The reaction Of VOCl 3 (0.42 dm 3 min -1 ) and ammonia (0.2 dm 3 min -1 ) at 500 deg. C lead to a film of composition VN 0. 47O 1.06 . The reaction of VOCl 3 (0.42 dm 3 min -1 ) and ammonia (0.5 dm 3 min -1 ) at 650 deg. C lead to a film of composition VN 0.63 O 0.41 . The reaction of chromyl chloride with excess ammonia led to the formation of chromium oxide (Cr 2 O 3 ) films. Mixed metal films were prepared from the reactions of vanadium tetrachloride, titanium tetrachloride and ammonia to prepare V x Ti y N z and chromyl chloride, titanium tetrachloride and ammonia to form TiCr x O y N z . Both reactions produced the intended mixed coating but it was found that the vanadium / titanium nitride contained around 10 % vanadium whatever the conditions used. Oxygen contamination

  7. Poly(methyl methacrylate) films for organic vapour sensing

    CERN Document Server

    Capan, R; Hassan, A K; Tanrisever, T

    2003-01-01

    Optical constants and fabrication parameters are investigated using surface plasmon resonance (SPR) studies on spun films of poly(methyl methacrylate) (PMMA) derivatives in contact with two different dielectric media. A value of 1.503 for the refractive index of PMMA films produced from a solution having concentration of 1 mg ml sup - sup 1 at the speed of 3000 rpm is in close agreement with the data obtained from ellipsometric measurements. The film thickness shows a power-law dependence on the spin speed but the thickness increases almost linearly with the concentration of the spreading solution. These results are in good agreement with the hydrodynamic theory for a low-viscosity and highly volatile liquid. On the basis of SPR measurements under dynamic conditions, room temperature response of PMMA films to benzene vapours is found to be fast, highly sensitive and reversible. The sensitivity of detection of toluene, ethyl benzene and m-xylene is much smaller than that of benzene.

  8. Ternary Precursors for Depositing I-III-VI2 Thin Films for Solar Cells via Spray CVD

    Science.gov (United States)

    Banger, K. K.; Hollingsworth, J. A.; Jin, M. H.-C.; Harris, J. D.; Duraj, S. A.; Smith, M.; Scheiman, D.; Bohannan, E. W.; Switzer, J. A.; Buhro, W. E.

    2002-01-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power (W/kg). Thin-film fabrication studies demonstrate that ternary single source precursors (SSP's) can be used in either a hot or cold-wall spray chemical vapour deposition (CVD) reactor, for depositing CuInS2, CuGaS2, and CuGaInS2 at reduced temperatures (400 to 450 C), which display good electrical and optical properties suitable for photovoltaic (PV) devices. X-ray diffraction studies, energy dispersive spectroscopy (EDS), and scanning electron microscopy (SEM) confirmed the formation of the single phase CIS, CGS, CIGS thin-films on various substrates at reduced temperatures.

  9. Expanding Thermal Plasma Chemical Vapour Deposition of ZnO:Al Layers for CIGS Solar Cells

    Directory of Open Access Journals (Sweden)

    K. Sharma

    2014-01-01

    Full Text Available Aluminium-doped zinc oxide (ZnO:Al grown by expanding thermal plasma chemical vapour deposition (ETP-CVD has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing ZnO:Al on CIGS solar cell stacks, one should be aware that high substrate temperature processing (i.e., >200°C can damage the crucial underlying layers/interfaces (such as CIGS/CdS and CdS/i-ZnO. In this paper, the potential of adopting ETP-CVD ZnO:Al in CIGS solar cells is assessed: the effect of substrate temperature during film deposition on both the electrical properties of the ZnO:Al and the eventual performance of the CIGS solar cells was investigated. For ZnO:Al films grown using the high thermal budget (HTB condition, lower resistivities, ρ, were achievable (~5 × 10−4 Ω·cm than those grown using the low thermal budget (LTB conditions (~2 × 10−3 Ω·cm, whereas higher CIGS conversion efficiencies were obtained for the LTB condition (up to 10.9% than for the HTB condition (up to 9.0%. Whereas such temperature-dependence of CIGS device parameters has previously been linked with chemical migration between individual layers, we demonstrate that in this case it is primarily attributed to the prevalence of shunt currents.

  10. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.2–2.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  11. Sensing of volatile organic compounds by copper phthalocyanine thin films

    Science.gov (United States)

    Ridhi, R.; Saini, G. S. S.; Tripathi, S. K.

    2017-02-01

    Thin films of copper phthalocyanine have been deposited by thermal evaporation technique. We have subsequently exposed these films to the vapours of methanol, ethanol and propanol. Optical absorption, infrared spectra and electrical conductivities of these films before and after exposure to chemical vapours have been recorded in order to study their sensing mechanisms towards organic vapours. These films exhibit maximum sensing response to methanol while low sensitivities of the films towards ethanol and propanol have been observed. The changes in sensitivities have been correlated with presence of carbon groups in the chemical vapours. The effect of different types of electrodes on response-recovery times of the thin film with organic vapours has been studied and compared. The electrodes gap distance affects the sensitivity as well as response-recovery time values of the thin films.

  12. The analysis of structural and electronic environments of silicon network in HWCVD deposited a-SiC:H films

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2007-01-01

    Hydrogenated amorphous silicon carbon alloys (a-SiC:H) films were deposited by hot wire chemical vapour deposition (HWCVD) using SiH 4 and C 2 H 2 as precursor gases. a-SiC:H films were characterized by Fourier Transform Infrared (FTIR) spectroscopy, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS). Solid-state plasmon of Si network shifts from 19.2 to 20.5 eV by varying C 2 H 2 flow rate from 2 to 10 sccm. Incorporation of carbon content changes the valence band structure and s orbital is more dominant than sp and p orbital with carbon incorporation

  13. Photoluminescence of nc-Si:Er thin films obtained by physical and chemical vapour deposition techniques: The effects of microstructure and chemical composition

    Energy Technology Data Exchange (ETDEWEB)

    Cerqueira, M.F., E-mail: fcerqueira@fisica.uminho.p [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, Via Orabona n.4-70126 Bari (Italy); Stepikhova, M. [Institute for Physics of Microstructures RAS, 603600 Nizhnij Novgorod GSP-105 (Russian Federation); Alpuim, P.; Andres, G. [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Kozanecki, A. [Polish Academy of Sciences, Institute of Physics, PL-02668, Warsaw (Poland); Soares, M.J.; Peres, M. [Departamento de Fisica, Universidade de Aveiro, Campus de Santiago, 3700 Aveiro (Portugal)

    2009-08-31

    Erbium doped nanocrystalline silicon (nc-Si:Er) thin films were produced by reactive magnetron rf sputtering and by Er ion implantation into chemical vapor deposited Si films. The structure and chemical composition of films obtained by the two approaches were studied by micro-Raman scattering, spectroscopic ellipsometry and Rutherford backscattering techniques. Variation of deposition parameters was used to deposit films with different crystalline fraction and crystallite size. Photoluminescence measurements revealed a correlation between film microstructure and the Er{sup 3+} photoluminescence efficiency.

  14. Hydroxyapatite thin films grown by pulsed laser deposition and matrix assisted pulsed laser evaporation: Comparative study

    Science.gov (United States)

    Popescu-Pelin, G.; Sima, F.; Sima, L. E.; Mihailescu, C. N.; Luculescu, C.; Iordache, I.; Socol, M.; Socol, G.; Mihailescu, I. N.

    2017-10-01

    Pulsed Laser Deposition (PLD) and Matrix Assisted Pulsed Laser Evaporation (MAPLE) techniques were applied for growing hydroxyapatite (HA) thin films on titanium substrates. All experiments were conducted in a reaction chamber using a KrF* excimer laser source (λ = 248 nm, τFWHM ≈ 25 ns). Half of the samples were post-deposition thermally treated at 500 °C in a flux of water vapours in order to restore crystallinity and improve adherence. Coating surface morphologies and topographies specific to the deposition method were evidenced by scanning electron, atomic force microscopy investigations and profilometry. They were shown to depend on deposition technique and also on the post-deposition treatment. Crystalline structure of the coatings evaluated by X-ray diffraction was improved after thermal treatment. Biocompatibility of coatings, cellular adhesion, proliferation and differentiation tests were conducted using human mesenchymal stem cells (MSCs). Results showed that annealed MAPLE deposited HA coatings were supporting MSCs proliferation, while annealed PLD obtained films were stimulating osteogenic differentiation.

  15. ANNEALING OF POLYCRYSTALLINE THIN FILM SILICON SOLAR CELLS IN WATER VAPOUR AT SUB-ATMOSPHERIC PRESSURES

    Directory of Open Access Journals (Sweden)

    Peter Pikna

    2014-10-01

    Full Text Available Thin film polycrystalline silicon (poly-Si solar cells were annealed in water vapour at pressures below atmospheric pressure. PN junction of the sample was contacted by measuring probes directly in the pressure chamber filled with steam during passivation. Suns-VOC method and a Lock-in detector were used to monitor an effect of water vapour to VOC of the solar cell during whole passivation process (in-situ. Tested temperature of the sample (55°C – 110°C was constant during the procedure. Open-circuit voltage of a solar cell at these temperatures is lower than at room temperature. Nevertheless, voltage response of the solar cell to the light flash used during Suns-VOC measurements was good observable. Temperature dependences for multicrystalline wafer-based and polycrystalline thin film solar cells were measured and compared. While no significant improvement of thin film poly-Si solar cell parameters by annealing in water vapour at under-atmospheric pressures was observed up to now, in-situ observation proved required sensitivity to changing VOC at elevated temperatures during the process.

  16. Comparison of interaction mechanisms of copper phthalocyanine and nickel phthalocyanine thin films with chemical vapours

    Science.gov (United States)

    Ridhi, R.; Singh, Sukhdeep; Saini, G. S. S.; Tripathi, S. K.

    2018-04-01

    The present study deals with comparing interaction mechanisms of copper phthalocyanine and nickel phthalocyanine with versatile chemical vapours: reducing, stable aromatic and oxidizing vapours namely; diethylamine, benzene and bromine. The variation in electrical current of phthalocyanines with exposure of chemical vapours is used as the detection parameter for studying interaction behaviour. Nickel phthalocyanine is found to exhibit anomalous behaviour after exposure of reducing vapour diethylamine due to alteration in its spectroscopic transitions and magnetic states. The observed sensitivities of copper phthalocyanine and nickel phthalcyanine films are different in spite of their similar bond numbers, indicating significant role of central metal atom in interaction mechanism. The variations in electronic transition levels after vapours exposure, studied using UV-Visible spectroscopy confirmed our electrical sensing results. Bromine exposure leads to significant changes in vibrational bands of metal phthalocyanines as compared to other vapours.

  17. Synthesis and characterization of Bi.sub.1-x./sub.Nd.sub.x./sub.FeO.sub.3./sub. thin films deposited using a high throughput physical vapour deposition technique

    Czech Academy of Sciences Publication Activity Database

    Darby, M.S.B.; Karpinsky, D.V.; Pokorný, Jan; Guerin, D.; Kholkin, A. L.; Miao, S.; Haydn, B.E.; Reaney, I. M.

    2013-01-01

    Roč. 531, MAR (2013), s. 56-60 ISSN 0040-6090 Institutional support: RVO:68378271 Keywords : bismuth ferrite * piezoelectric * neodymium doped * high throughput * combinatorial * physical vapour deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.867, year: 2013 http://www.sciencedirect.com/science/article/pii/S004060901201646X

  18. Manufacture of Bi-cuprate thin films on MgO single crystal substrates by chemical solution deposition

    DEFF Research Database (Denmark)

    Grivel, Jean-Claude; Bertelsen, Christian Vinther; Andersen, Niels Hessel

    2014-01-01

    Bi2Sr2CaCu2O8 thin films have been deposited on MgO single crystal substrates by spin-coating a solution based on 2-ethylhexanoate precursors dissolved in xylene. Pyrolysis takes place between 200°C and 450°C and is accompanied by the release of 2-ethylhexanoic acid, CO2 and H2O vapour. Highly c...

  19. Effect of temperature and relative humidity on the water vapour permeability and mechanical properties of cassava starch and soy protein concentrate based edible films.

    Science.gov (United States)

    Chinma, C E; Ariahu, C C; Alakali, J S

    2015-04-01

    The effect of temperature and relative humidity on the water vapour permeability (WVP) and mechanical properties of cassava starch and soy protein concentrate (SPC) based edible films containing 20 % glycerol level were studied. Tensile strength and elastic modulus of edible films increased with increase in temperature and decreased with increase in relative humidity, while elongation at break decreased. Water vapour permeability of the films increased (2.6-4.3 g.mm/m(2).day.kPa) with increase in temperature and relative humidity. The temperature dependence of water vapour permeation of cassava starch-soy protein concentrate films followed Arrhenius relationship. Activation energy (Ea) of water vapour permeation of cassava starch-soy protein concentrate edible films ranged from 1.9 to 5.3 kJ/mol (R (2)  ≥ 0.93) and increased with increase in SPC addition. The Ea values were lower for the bio-films than for polyvinylidene chloride, polypropylene and polyethylene which are an indication of low water vapour permeability of the developed biofilms compared to those synthetic films.

  20. Development of a new process for deposition of metallic vapours and ions

    International Nuclear Information System (INIS)

    Gabrielli, O. de.

    1989-01-01

    Surface treatment processes by deposition, enabling surface properties to be altered without altering the volume, are making rapid progress in industry. The description of these processes has led us to consider the role and the importance of methods using plasmas. The new plasma source we have developed is the subject of this experimental research: it is the basis of the deposition process (metallic ion and vapour deposition). The specifications and preliminary results enable us to compare this process with others in use. Fast deposition rates and excellent adhesion are the two main characteristics of this process [fr

  1. Atomic force microscopy indentation of fluorocarbon thin films fabricated by plasma enhanced chemical deposition at low radio frequency power

    International Nuclear Information System (INIS)

    Sirghi, L.; Ruiz, A.; Colpo, P.; Rossi, F.

    2009-01-01

    Atomic force microscopy (AFM) indentation technique is used for characterization of mechanical properties of fluorocarbon (CF x ) thin films obtained from C 4 F 8 gas by plasma enhanced chemical vapour deposition at low r.f. power (5-30 W) and d.c. bias potential (10-80 V). This particular deposition method renders films with good hydrophobic property and high plastic compliance. Commercially available AFM probes with stiff cantilevers (10-20 N/m) and silicon sharpened tips (tip radius < 10 nm) are used for indentations and imaging of the resulted indentation imprints. Force depth curves and imprint characteristics are used for determination of film hardness, elasticity modulus and plasticity index. The measurements show that the decrease of the discharge power results in deposition of films with decreased hardness and stiffness and increased plasticity index. Nanolithography based on AFM indentation is demonstrated on thin films (thickness of 40 nm) with good plastic compliance.

  2. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  3. Phosphorus doping and deposition pressure effects on optical and electrical properties of polysilicon

    International Nuclear Information System (INIS)

    Zaghdoudi, M.; Abdelkrim, M.M.; Fathallah, M.; Mohammed-Brahim, T.; Rogel, R.

    2006-01-01

    The optical and electrical properties of amorphously deposited and then post-crystallized silicon films are studied as a function of the deposition pressure and the phosphorus doping. Amorphous silicon films are deposited in a high pressure regime by SAPCVD (Sub-Atmospheric Pressure Chemical Vapour Deposition) to study the effect of the deposition pressure. They are also deposited in a low pressure regime by LPCVD (Low Pressure Chemical Vapour Deposition) to study the effect of a low phosphorus doping. Both types of amorphous films are then crystallized in the solid phase at 600 deg. C. Using different optical and electrical characterization techniques, the beneficial effect of a high pressure as well as of a weak phosphorus doping on the decrease of the defect density is highlighted. These results give some ways to improve the quality of polysilicon enough to be used in photovoltaic or in thin film electronic devices

  4. Physical and optical characterisation of carbon-silicon layers produced by rapid thermal chemical vapour deposition

    International Nuclear Information System (INIS)

    McBride, G.M.

    1994-04-01

    The Quplas II reactor is a novel chemical vapour deposition (CVD) system, which was recently designed and built at The Queen's University of Belfast. The system was intended to produce layers of Silicon (Si) for application in advanced bipolar transistor manufacture. It became clear that the system was capable of depositing novel materials such as Silicon-Carbon (Si-C) films which could have application as the emitter material in heterojunction bipolar transistors (HBT's) formed on silicon substrates. This work focuses mainly on the development of analytical techniques to allow characterisation of the deposited layers of Si-C and permit optimisation of both the process conditions and the deposition system. The techniques that were developed to characterise the Si-C films in terms of their physical and optical properties included: Secondary Ion Mass Spectroscopy (SIMS), X-Ray Diffractometry (XRD), Transmission and Scanning Electron Microscopy (TEM and SEM), Near Infrared (NIR) and Ultraviolet/Visible/Near Infrared (UV/VIS/NIR) Spectroscopy. From assessing the data obtained from the analysis of the samples using the techniques mentioned above, it was possible to characterise the Si-C films in terms of: stoichiometry, crystallinity, degree of oxygen contamination, thickness, optical roughness of the film/air and film/substrate interfaces, and energy bandgap. In the fabrication of Si-C films it was found to be necessary to use low process pressures in order to ensure that the film deposition was slow enough to allow for a more ordered growth process. This led to the formation of polycrystalline Si-C films which had greatly reduced levels of oxygen compared to earlier amorphous films. In addition the polycrystalline Si-C films tended to have optically rough film/air and film/substrate interfaces. For most samples it was possible to obtain the thickness of their Si-C films from their SIMS profiles. Based on the method of interferometry, the thickness of the Si-C films

  5. ZnO based nanowires grown by chemical vapour deposition for selective hydrogenation of acetylene alcohols

    NARCIS (Netherlands)

    Protasova, L.N.; Rebrov, E.; Choy, K.L.; Pung, S.Y.; Engels, V.; Cabaj, M.; Wheatley, A.E.H.; Schouten, J.C.

    2011-01-01

    Vertically aligned ZnO nanowires (NWs) with a length of 1.5–10 µm and a mean diameter of ca. 150 nm were grown by chemical vapour deposition onto a c-oriented ZnO seed layer which was deposited by atomic layer deposition on Si substrates. The substrates were then spin-coated with an ethanol solution

  6. Production and Characterization of Thin Film Materials for Indoor Optical Gas Sensing Applications

    International Nuclear Information System (INIS)

    Maggioni, Gianluigi; Carturan, Sara; Quaranta, Alberto; Vomiero, Alberto; Tonezzer, Michele; Mea, Gianantonio Della

    2006-01-01

    Pure and Nile-Red-doped polyimide and porphyrin films have been deposited and their optical response to different organic vapours has been tested. Polyimide films were obtained by spin coating a solution containing 4, 4'-4, 4'-(hexafluoroisopropylidene) diphthalic anhydride and 2, 3, 5, 6-tetramethyl-1, 4-phenylenediamine. Free, cobalt and iron chloride 5, 10, 15, 20 meso-tetraphenyl porphyrin films were deposited by spin coating and by high vacuum evaporation. Exposure to water, ethanol and isopropanol vapours produce reversible changes of the fluorescence features of both pure and doped polyimide films. Exposure to methanol, ethanol and isopropanol vapours gives rise to changes of the optical absorption of porphyrin films. The results of the optical measurements point out that the synthesized films can be used for the detection of volatile organic compounds

  7. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  8. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  9. Photoluminescence study of novel phosphorus-doped ZnO nanotetrapods synthesized by chemical vapour deposition

    International Nuclear Information System (INIS)

    Yu Dongqi; Hu Lizhong; Qiao Shuangshuang; Zhang Heqiu; Fu Qiang; Chen Xi; Sun Kaitong; Len, Song-En Andy; Len, L K

    2009-01-01

    Novel phosphorus-doped and undoped single crystal ZnO nanotetrapods were fabricated on sapphire by a simple chemical vapour deposition method, using phosphorus pentoxide (P 2 O 5 ) as the dopant source. The optical properties of the samples were investigated by photoluminescence (PL) spectroscopy. Low-temperature PL measurements of phosphorus-doped and undoped samples were compared, and the results indicated a decrease in deep level defects due to the incorporation of a phosphorus acceptor dopant. The PL spectrum of the phosphorus-doped sample at 10 K exhibited several acceptor-bound exciton related emission peaks. The effect of phosphorus doping on the optical characteristics of the samples was investigated by excitation intensity and temperature dependent PL spectra. The acceptor-binding energies of the phosphorus dopant were estimated to be about 120 meV, in good agreement with the corresponding theoretical and experimental values in phosphorus-doped ZnO films and nanowires.

  10. Nanostructured thin films as functional coatings

    Energy Technology Data Exchange (ETDEWEB)

    Lazar, Manoj A; Tadvani, Jalil K; Tung, Wing Sze; Lopez, Lorena; Daoud, Walid A, E-mail: Walid.Daoud@sci.monash.edu.au [School of Applied Sciences and Engineering, Monash University, Churchill, VIC 3842 (Australia)

    2010-06-15

    Nanostructured thin films is one of the highly exploiting research areas particularly in applications such as photovoltaics, photocatalysis and sensor technologies. Highly tuned thin films, in terms of thickness, crystallinity, porosity and optical properties, can be fabricated on different substrates using the sol-gel method, chemical solution deposition (CSD), electrochemical etching, along with other conventional methods such as chemical vapour deposition (CVD) and physical vapour deposition (PVD). The above mentioned properties of these films are usually characterised using surface analysis techniques such as XRD, SEM, TEM, AFM, ellipsometry, electrochemistry, SAXS, reflectance spectroscopy, STM, XPS, SIMS, ESCA, X-ray topography and DOSY-NMR. This article presents a short review of the preparation and characterisation of thin films of nanocrystalline titanium dioxide and modified silicon as well as their application in solar cells, water treatment, water splitting, self cleaning fabrics, sensors, optoelectronic devices and lab on chip systems.

  11. Investigation and application of microwave electron cyclotron resonance plasma physical vapour deposition

    International Nuclear Information System (INIS)

    Ren Zhaoxing; Sheng Yanya; Shi Yicai; Wen Haihu; Cao Xiaowen

    1991-06-01

    The evaporating deposition of Ti film and Cu film by using microwave electron cyclotron resonance (ECR) technique was investigated. It deposition rate was about 50 nm/min and the temperature of the substrate was 50∼150 deg C. The thin amorphous films with strong adherent force were obtained. The sputtering deposition with ECR plasma was studied by employing higher plasma density and ionicity and negative substrate potential to make YBaCuO superconducting film. Its film was compact and amorphous with a thickness of 1.0 μm and the deposition rate was about 10 nm/min. The results show that this technique can initiate a high density and high ionicity plasma at lower gas pressure (10 -2 ∼10 -3 Pa). This plasma is the most suitable plasma source in thin film deposition process and surface treatment technique

  12. Stabilization of Leidenfrost vapour layer by textured superhydrophobic surfaces

    KAUST Repository

    Vakarelski, Ivan Uriev

    2012-09-12

    In 1756, Leidenfrost observed that water drops skittered on a sufficiently hot skillet, owing to levitation by an evaporative vapour film. Such films are stable only when the hot surface is above a critical temperature, and are a central phenomenon in boiling. In this so-called Leidenfrost regime, the low thermal conductivity of the vapour layer inhibits heat transfer between the hot surface and the liquid. When the temperature of the cooling surface drops below the critical temperature, the vapour film collapses and the system enters a nucleate-boiling regime, which can result in vapour explosions that are particularly detrimental in certain contexts, such as in nuclear power plants. The presence of these vapour films can also reduce liquid-solid drag. Here we show how vapour film collapse can be completely suppressed at textured superhydrophobic surfaces. At a smooth hydrophobic surface, the vapour film still collapses on cooling, albeit at a reduced critical temperature, and the system switches explosively to nucleate boiling. In contrast, at textured, superhydrophobic surfaces, the vapour layer gradually relaxes until the surface is completely cooled, without exhibiting a nucleate-boiling phase. This result demonstrates that topological texture on superhydrophobic materials is critical in stabilizing the vapour layer and thus in controlling-by heat transfer-the liquid-gas phase transition at hot surfaces. This concept can potentially be applied to control other phase transitions, such as ice or frost formation, and to the design of low-drag surfaces at which the vapour phase is stabilized in the grooves of textures without heating. © 2012 Macmillan Publishers Limited. All rights reserved.

  13. Stabilization of Leidenfrost vapour layer by textured superhydrophobic surfaces

    KAUST Repository

    Vakarelski, Ivan Uriev; Patankar, Neelesh A.; Marston, Jeremy; Chan, Derek Y C; Thoroddsen, Sigurdur T

    2012-01-01

    In 1756, Leidenfrost observed that water drops skittered on a sufficiently hot skillet, owing to levitation by an evaporative vapour film. Such films are stable only when the hot surface is above a critical temperature, and are a central phenomenon in boiling. In this so-called Leidenfrost regime, the low thermal conductivity of the vapour layer inhibits heat transfer between the hot surface and the liquid. When the temperature of the cooling surface drops below the critical temperature, the vapour film collapses and the system enters a nucleate-boiling regime, which can result in vapour explosions that are particularly detrimental in certain contexts, such as in nuclear power plants. The presence of these vapour films can also reduce liquid-solid drag. Here we show how vapour film collapse can be completely suppressed at textured superhydrophobic surfaces. At a smooth hydrophobic surface, the vapour film still collapses on cooling, albeit at a reduced critical temperature, and the system switches explosively to nucleate boiling. In contrast, at textured, superhydrophobic surfaces, the vapour layer gradually relaxes until the surface is completely cooled, without exhibiting a nucleate-boiling phase. This result demonstrates that topological texture on superhydrophobic materials is critical in stabilizing the vapour layer and thus in controlling-by heat transfer-the liquid-gas phase transition at hot surfaces. This concept can potentially be applied to control other phase transitions, such as ice or frost formation, and to the design of low-drag surfaces at which the vapour phase is stabilized in the grooves of textures without heating. © 2012 Macmillan Publishers Limited. All rights reserved.

  14. Influence of deposition rate on the properties of tin coatings deposited on tool steels using arc method

    International Nuclear Information System (INIS)

    Akhtar, P.; Abbas, M.

    2007-01-01

    Titanium nitride (TiN) widely used as hard coating material, was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapour deposition method. The study concentrated on cathodic arc physical vapour deposition (CAPVD), a technique used for the deposition of hard coatings for tooling applications, and which has many advantages. The main drawback of this technique, however, is the formation of macrodroplets (MD's) during deposition, resulting in films with rougher morphology. Various standard characterization techniques and equipment, such as electron microscopy, atomic force microscopy, hardness testing machine, scratch tester and pin-on-disc machine, were used to analyze and quantify the following properties and parameters, surface morphology, thickness, hardness, adhesion and coefficient of friction (COF) of the deposited coatings. Surface morphology revealed that the MD's produced during the etching stage, protruded through the thin film, resulting in film with deteriorated surface features. Both coating thickness and indentation loads influenced the hardness of the deposited coatings. The coatings deposited on HSS exhibit better adhesion compared to those on D2 tool steel. Standard deviation indicates that the coating deposited with thickness around 6.7 macro m showed the most stable trend of COF versus sliding distance. (author)

  15. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    International Nuclear Information System (INIS)

    Kirchheim, Dennis; Jaritz, Montgomery; Hopmann, Christian; Dahlmann, Rainer; Mitschker, Felix; Awakowicz, Peter; Gebhard, Maximilian; Devi, Anjana; Brochhagen, Markus; Böke, Marc

    2017-01-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments. (paper)

  16. ZnO film deposition on Al film and effects of deposition temperature on ZnO film growth characteristics

    International Nuclear Information System (INIS)

    Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu

    2004-01-01

    The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications

  17. Type II textured molybdenum disulphide films produced by direct vapour transport and rf-magnetron sputtering

    International Nuclear Information System (INIS)

    Bohlken, S.F.; Lemon, K.D.; Jakovidis, G.; Taheri, E.H.

    1999-01-01

    Full text: Molybdenum disulphide (MoS 2 ) is one of the few naturally occurring Layered Transition Metal Dichalcogenides and is the primary source for elemental molybdenum. It displays exceptional lubrication performance in both vacuum and atmospheric conditions over a wide temperature range. An important emerging application of MoS 2 and related materials is photovoltaics. Films of MoS 2 exhibit several morphologies described by the orientation of platelets with respect to the substrate. Films with platelets perpendicular or parallel to the substrate are referred to by their morphology, which is type-I or type-II respectively. Production of exclusive type-II films is highly desirable in applications involving lubrication and photovoltaics. For example, type-II morphology reduces friction and minority carrier recombination centres, thus improving tribological and photovoltaic performance. We have successfully produced type-II films using both direct vapour transport and rf-magnetron sputtering Continuous polycrystalline films (∼ 10 μm thick) grown in our laboratory using vapour transport have typical areas 1000 mm 2 . A novel ejecta filtration technique was applied to rf-magnetron sputtering. Films produced using this approach retain exclusive type-II morphology at thicknesses where type-I would normally be observed (∼ 200nm)

  18. A new thin film deposition process by cathodic plasma electrolysis

    International Nuclear Information System (INIS)

    Paulmier, T.; Kiriakos, E.; Bell, J.; Fredericks, P.

    2004-01-01

    Full text: A new technique, called atmospheric pressure plasma deposition (APPD), has been developed since a few years for the deposition of carbon and DLC, Titanium or Silicon films on metal and metal alloys substrates. A high voltage (2kV) is applied in a liquid electrolytic solution between an anode and a cathode, both electrodes being cylindrical: a glow discharge is then produced and confined at the vicinity of the cathode. The physic of the plasma in the electrolytic solution near the cathode is very different form the other techniques of plasma deposition since the pressure is here close to the atmospheric pressure. We describe here the different physico-chemical processes occurring during the process. In this cathodic process, the anodic area is significantly larger than the cathode area. In a first step, the electrolytic solution is heated by Joule effect induced by the high voltage between the electrodes. Due to the high current density, the vaporization of the solution occurs near the cathode: a large amount of bubbles are produced which are stabilized at the electrode by hydrodynamic and electromagnetic forces, forming a vapour sheath. The electric field and voltage drop are then concentrated in this gas envelope, inducing the ionization of the gas and the ignition of a glow discharge at the surface of the material. This plasma induces the formation of ionized and reactive species which diffuse and are accelerated toward the cathode. These excited species are the precursors for the formation of the deposition material. At the same time, the glow discharge interacts with the electrolyte solution inducing also ionization, convection and polymerization processes in the liquid: the solution is therefore a second source of the deposition material. A wide range of films have been deposited with a thickness up to 10 micrometers. These films have been analyzed by SEM and Raman spectroscopy. The electrolytic solution has been characterized by GC-MS and the

  19. Influence of deposition conditions on electrical and mechanical properties of Sm2O3-doped CeO2 thin films prepared by EB-PVD (+IBAD) methods. Part 1: Effective relative permittivity

    Science.gov (United States)

    Hartmanová, Mária; Nádaždy, Vojtech; Kundracik, František; Mansilla, Catina

    2013-03-01

    Study is devoted to the effective relative permittivity ɛr of CeO2 + x. Sm2O3 thin films prepared by electron-beam physical vapour deposition and ionic beam-assisted deposition methods; ɛr was investigated by three independent ways from the bulk parallel capacitance Cp, impedance capacitance Cimp, and accumulation capacitance Cacc in dependence on the deposition conditions (deposition temperature, dopant amount x and Ar+ ion bombardment during the film deposition) used. Investigations were performed using impedance spectroscopy, capacitance-voltage and current-voltage characteristics as well as deep level transient spectroscopy. Results obtained are described and discussed.

  20. Laser deposition of HTSC films

    International Nuclear Information System (INIS)

    Sobol', Eh.N.; Bagratashvili, V.N.; Zherikhin, A.N.; Sviridov, A.P.

    1990-01-01

    Studies of the high-temperature superconducting (HTSC) films fabrication by the laser deposition are reviewed. Physical and chemical processes taking place during laser deposition are considered, such as the target evaporation, the material transport from the target to the substrate, the film growth on the substrate, thermochemical reactions and mass transfer within the HTSC films and their stability. The experimental results on the laser deposition of different HTSC ceramics and their properties investigations are given. The major technological issues are discussed including the deposition schemes, the oxygen supply, the target compositions and structure, the substrates and interface layers selection, the deposition regimes and their impact on the HTSC films properties. 169 refs.; 6 figs.; 2 tabs

  1. ITO thin films deposited by advanced pulsed laser deposition

    International Nuclear Information System (INIS)

    Viespe, Cristian; Nicolae, Ionut; Sima, Cornelia; Grigoriu, Constantin; Medianu, Rares

    2007-01-01

    Indium tin oxide thin films were deposited by computer assisted advanced PLD method in order to obtain transparent, conductive and homogeneous films on a large area. The films were deposited on glass substrates. We studied the influence of the temperature (room temperature (RT)-180 deg. C), pressure (1-6 x 10 -2 Torr), laser fluence (1-4 J/cm 2 ) and wavelength (266-355 nm) on the film properties. The deposition rate, roughness, film structure, optical transmission, electrical conductivity measurements were done. We deposited uniform ITO thin films (thickness 100-600 nm, roughness 5-10 nm) between RT and 180 deg. C on a large area (5 x 5 cm 2 ). The films have electrical resistivity of 8 x 10 -4 Ω cm at RT, 5 x 10 -4 Ω cm at 180 deg. C and an optical transmission in the visible range, around 89%

  2. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V. [Departamento de Fisica Aplicada y Electromagnetismo, Universitat de Valencia, C/Dr. Moliner 50, 46100 Burjassot (Spain)

    2005-02-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including {theta}-2{theta} scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    International Nuclear Information System (INIS)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V.

    2005-01-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including θ-2θ scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Strain and Structure Heterogeneity in MoS2 Atomic Layers Grown by Chemical Vapour Deposition

    Science.gov (United States)

    2014-11-18

    cture heterogeneity in MoS2 atomiclayers grown by chemical vapour deposition 6. AUTHORS Zheng Liu, Matin Amani, Sina Najmaei, Quan Xu, Xiaolong Zou...deposition Zheng Liu1•2•3·*, Matin Amani4·*, Sina Najmaei5·*, Quan Xu6•7, Xiaolong Zou5, Wu Zhou8, Ting Yu9, Caiyu Qiu9, A Glen Birdwell4, Frank J. Crowne4

  5. Recent advances in controlled synthesis of two-dimensional transition metal dichalcogenides via vapour deposition techniques

    KAUST Repository

    Shi, Yumeng; Li, Henan; Li, Lain-Jong

    2014-01-01

    In recent years there have been many breakthroughs in two-dimensional (2D) nanomaterials, among which the transition metal dichalcogenides (TMDs) attract significant attention owing to their unusual properties associated with their strictly defined dimensionalities. TMD materials with a generalized formula of MX2, where M is a transition metal and X is a chalcogen, represent a diverse and largely untapped source of 2D systems. Semiconducting TMD monolayers such as MoS2, MoSe2, WSe2 and WS2 have been demonstrated to be feasible for future electronics and optoelectronics. The exotic electronic properties and high specific surface areas of 2D TMDs offer unlimited potential in various fields including sensing, catalysis, and energy storage applications. Very recently, the chemical vapour deposition technique (CVD) has shown great promise to generate high-quality TMD layers with a scalable size, controllable thickness and excellent electronic properties. Wafer-scale deposition of mono to few layer TMD films has been obtained. Despite the initial success in the CVD synthesis of TMDs, substantial research studies on extending the methodology open up a new way for substitution doping, formation of monolayer alloys and producing TMD stacking structures or superlattices. In this tutorial review, we will introduce the latest development of the synthesis of monolayer TMDs by CVD approaches.

  6. Recent advances in controlled synthesis of two-dimensional transition metal dichalcogenides via vapour deposition techniques

    KAUST Repository

    Shi, Yumeng

    2014-10-20

    In recent years there have been many breakthroughs in two-dimensional (2D) nanomaterials, among which the transition metal dichalcogenides (TMDs) attract significant attention owing to their unusual properties associated with their strictly defined dimensionalities. TMD materials with a generalized formula of MX2, where M is a transition metal and X is a chalcogen, represent a diverse and largely untapped source of 2D systems. Semiconducting TMD monolayers such as MoS2, MoSe2, WSe2 and WS2 have been demonstrated to be feasible for future electronics and optoelectronics. The exotic electronic properties and high specific surface areas of 2D TMDs offer unlimited potential in various fields including sensing, catalysis, and energy storage applications. Very recently, the chemical vapour deposition technique (CVD) has shown great promise to generate high-quality TMD layers with a scalable size, controllable thickness and excellent electronic properties. Wafer-scale deposition of mono to few layer TMD films has been obtained. Despite the initial success in the CVD synthesis of TMDs, substantial research studies on extending the methodology open up a new way for substitution doping, formation of monolayer alloys and producing TMD stacking structures or superlattices. In this tutorial review, we will introduce the latest development of the synthesis of monolayer TMDs by CVD approaches.

  7. Vapour dynamics during magma-water interaction experiments: hydromagmatic origins of submarine volcaniclastic particles (limu o Pele)

    Science.gov (United States)

    Schipper, C. Ian; Sonder, Ingo; Schmid, Andrea; White, James D. L.; Dürig, Tobias; Zimanowski, Bernd; Büttner, Ralf

    2013-03-01

    Recent observations have shattered the long-held theory that deep-sea (>500 m) explosive eruptions are impossible; however, determining the dynamics of unobserved eruptions requires interpretation of the deposits they produce. For accurate interpretation to be possible, the relative abilities of explosive magmatic degassing and non-explosive magma-water interaction to produce characteristic submarine volcaniclastic particles such as `limu o Pele' (bubble wall shards of glass) must be established. We experimentally address this problem by pouring remelted basalt (1300 °C, anhydrous) into a transparent, water-filled reservoir, recording the interaction with a high-speed video camera and applying existing heat transfer models. We performed the experiments under moderate to high degrees of water subcooling (˜8 l of water at 58 and 3 °C), with ˜0.1 to 0.15 kg of melt poured at ˜10-2 kg s-1. Videos show the non-explosive, hydromagmatic blowing and bursting of isolated melt bubbles to form limu o Pele particles that are indistinguishable from those found in submarine volcaniclastic deposits. Pool boiling around growing melt bubbles progresses from metastable vapour film insulation, through vapour film retraction/collapse, to direct melt-water contact. These stages are linked to the evolution of melt-water heat transfer to verify the inverse relationship between vapour film stability and the degree of water subcooling. The direct contact stage in particular explains the extremely rapid quench rates determined from glass relaxation speedometry for natural limu. Since our experimentally produced limu is made entirely by the entrapping of ambient water in degassed basaltic melt, we argue that the presence of fast-quenched limu o Pele in natural deposits is not diagnostic of volatile-driven explosive eruptions. This must be taken into account if submarine eruption dynamics are to be accurately inferred from the deposits and particles they produce.

  8. Multilayer graphene growth on polar dielectric substrates using chemical vapour deposition

    Science.gov (United States)

    Karamat, S.; Çelik, K.; Shah Zaman, S.; Oral, A.

    2018-06-01

    High quality of graphene is necessary for its applications at industrial scale production. The most convenient way is its direct growth on dielectrics which avoid the transfer route of graphene from metal to dielectric substrate usually followed by graphene community. The choice of a suitable dielectric for the gate material which can replace silicon dioxide (SiO2) is in high demand. Various properties like permittivity, thermodynamic stability, film morphology, interface quality, bandgap and band alignment of other dielectrics with graphene needs more exploration. A potential dielectric material is required which could be used to grow graphene with all these qualities. Direct growth of graphene on magnesium oxide (MgO) substrates is an interesting idea and will be a new addition in the library of 2D materials. The present work is about the direct growth of graphene on MgO substrates by an ambient pressure chemical vapour deposition (CVD) method. We address the surface instability issue of the polar oxides which is the most challenging factor in MgO. Atomic force microscopy (AFM) measurements showed the topographical features of the graphene coated on MgO. X-ray photoelectron spectroscopy (XPS) study is carried out to extract information regarding the presence of necessary elements, their bonding with substrates and to confirm the sp-2 hybridization of carbon, which is a characteristic feature of graphene film. The chemical shift is due to the surface reconstruction of MgO in the prepared samples. For graphene-MgO interface, valence band offset (VBO) and conduction band offset (CBO) extracted from valence band spectra reported. Further, we predicted the energy band diagram for single layer and thin film of graphene. By using the room-temperature energy band gap values of MgO and graphene, the CBO is calculated to be 6.85 eV for single layer and 5.66 eV for few layer (1-3) of graphene layers.

  9. Liquid and vapour water transfer through whey protein/lipid emulsion films.

    Science.gov (United States)

    Kokoszka, Sabina; Debeaufort, Frederic; Lenart, Andrzej; Voilley, Andree

    2010-08-15

    Edible films and coatings based on protein/lipid combinations are among the new products being developed in order to reduce the use of plastic packaging polymers for food applications. This study was conducted to determine the effect of rapeseed oil on selected physicochemical properties of cast whey protein films. Films were cast from heated (80 degrees C for 30 min) aqueous solutions of whey protein isolate (WPI, 100 g kg(-1) of water) containing glycerol (50 g kg(-1) of WPI) as a plasticiser and different levels of added rapeseed oil (0, 1, 2, 3 and 4% w/w of WPI). Measurements of film microstructure, laser light-scattering granulometry, differential scanning calorimetry, wetting properties and water vapour permeability (WVP) were made. The emulsion structure in the film suspension changed significantly during drying, with oil creaming and coalescence occurring. Increasing oil concentration led to a 2.5-fold increase in surface hydrophobicity and decreases in WVP and denaturation temperature (T(max)). Film structure and surface properties explain the moisture absorption and film swelling as a function of moisture level and time and consequently the WVP behaviour. Small amounts of rapeseed oil favourably affect the WVP of WPI films, particularly at higher humidities. Copyright (c) 2010 Society of Chemical Industry.

  10. RF plasma deposition of thin SixGeyCz:H films using a combination of organometallic source materials

    International Nuclear Information System (INIS)

    Rapiejko, C.; Gazicki-Lipman, M.; Klimek, L.; Szymanowski, H.; Strojek, M.

    2004-01-01

    Elements of the IV group of periodic table have been strongly present in the fast development of PECVD techniques for the last two decades at least. As a result, deposition technologies of such materials as a-Si:H, a-C:H, mμ-C:H or DLC have been successfully established. What has followed is an ever growing interest in binary systems of the A x (IV)B y (IV):H kind. One possible way to deposit such systems is to use organosilicon compounds (to deposit Si x C y :H films) or organogermanium compounds (to deposit Ge x C y :H films), as source substances. The present paper reports on a RF plasma deposition of a Si x Ge y C z :H ternary system, using a combination of organosilicon and organogermanium compounds. Thin Si/Ge/C films have been fabricated in a small volume (ca. 2 dm 3 ) parallel plate RF plasma reactor using, as a source material, a combination of tetramethylsilane (TMS) and tetramethylgermanium (TMG) vapours carried by argon. SEM investigations reveal a continuous compact character of the coatings and their uniform thickness. The elemental composition of the films has been studied using EDX analysis. The results of the analysis show that the elemental composition of the films can be controlled by both the TMG/TMS ratio of the initial mixture and the RF power input. Ellipsometric measurements show good homogeneity of these materials. Chemical bonding in the films has been studied using the FTIR technique. Bandgap calculations have been carried out using ellipsometric data and by applying both the Tauc law and the Moss approach

  11. Influence of ion bombardment on structural and electrical properties of SiO2 thin films deposited from O2/HMDSO inductively coupled plasmas under continuous wave and pulsed modes

    International Nuclear Information System (INIS)

    Bousquet, A.; Goullet, A.; Leteinturier, C.; Granier, A.; Coulon, N.

    2008-01-01

    Low pressure Plasma Enhanced Chemical Vapour Deposition is commonly used to deposit insulators on temperature sensitive substrates. In these processes, the ion bombardment experienced by films during its growth is known to have benefits but also some disadvantages on material properties. In the present paper, we investigate the influence of this bombardment on the structure and the electrical properties of SiO 2 -like film deposited from oxygen/hexa-methyl-di-siloxane radiofrequency plasma in continuous and pulsed modes. First, we studied the ion kinetics thanks to time-resolved measurements by Langmuir probe. After, we showed the ion bombardment in such plasma controls the OH bond content in deposited films. Finally, we highlight the impressive reduction of fixed charge and interface state densities in films obtained in pulsed mode due to a lower ion bombardment. (authors)

  12. Atomic layer deposition for photovoltaics : applications and prospects for solar cell manufacturing

    NARCIS (Netherlands)

    van Delft, J.A.; Garcia-Alonso Garcia, D.; Kessels, W.M.M.

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells;

  13. Fluorine doped vanadium dioxide thin films for smart windows

    International Nuclear Information System (INIS)

    Kiri, Pragna; Warwick, Michael E.A.; Ridley, Ian; Binions, Russell

    2011-01-01

    Thermochromic fluorine doped thin films of vanadium dioxide were deposited from the aerosol assisted chemical vapour deposition reaction of vanadyl acetylacetonate, ethanol and trifluoroacetic acid on glass substrates. The films were characterised with scanning electron microscopy, variable temperature Raman spectroscopy and variable temperature UV/Vis spectroscopy. The incorporation of fluorine in the films led to an increase in the visible transmittance of the films whilst retaining the thermochromic properties. This approach shows promise for improving the aesthetic properties of vanadium dioxide thin films.

  14. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique; Etude et realisation de detecteurs de rayonnements a base de films de diamant polycristallin elabores par depot chimique en phase vapeur assiste par plasma micro-onde

    Energy Technology Data Exchange (ETDEWEB)

    Jany, Ch

    1998-10-29

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead {eta} to decrease. In contrast, {eta} was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp{sup 2} phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  15. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    Science.gov (United States)

    Gesheva, K.; Ivanova, T.; Bodurov, G.; Szilágyi, I. M.; Justh, N.; Kéri, O.; Boyadjiev, S.; Nagy, D.; Aleksandrova, M.

    2016-02-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing.

  16. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    International Nuclear Information System (INIS)

    Gesheva, K; Ivanova, T; Bodurov, G; Szilágyi, I M; Justh, N; Kéri, O; Boyadjiev, S; Nagy, D; Aleksandrova, M

    2016-01-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing. (paper)

  17. Accelerated growth of oxide film on aluminium alloys under steam: Part II: Effects of alloy chemistry and steam vapour pressure on corrosion and adhesion performance

    DEFF Research Database (Denmark)

    Din, Rameez Ud; Bordo, Kirill; Jellesen, Morten Stendahl

    2015-01-01

    The steam treatment of aluminium alloys with varying vapour pressure of steamresulted in the growth of aluminium oxyhydroxide films of thickness range between 450 - 825nm. The surface composition, corrosion resistance, and adhesion of the produced films was characterised by XPS, potentiodynamic p...... of the vapour pressure of the steam. The accelerated corrosion and adhesion tests on steam generated oxide films with commercial powder coating verified that the performance of the oxide coating is highly dependent on the vapour pressure of the steam....... polarization, acetic acid salt spray, filiform corrosion test, and tape test. The oxide films formed by steam treatment showed good corrosion resistance in NaCl solution by significantly reducing anodic and cathodic activities. The pitting potential of the surface treated with steam was a function...

  18. Networks of ultra-fine Ag nanocrystals in a Teflon AF (registered) matrix by vapour phase e-beam-assisted deposition

    International Nuclear Information System (INIS)

    Biswas, A; Bayer, I S; Marken, B; Pounds, T D; Norton, M G

    2007-01-01

    We have fabricated nanocomposite thin films comprising silver (Ag) nanoparticles dispersed in a Teflon AF (registered) polymer matrix using electron-beam-assisted physical vapour deposition. Four different Ag nanoparticle volume fillings (20%, 35%, 70% and 75%) were achieved by varying the relative metal-polymer evaporation rates with the formation of highly crystalline Ag nanoparticles regardless of the filling ratio. The present fabrication technique allowed full control over dispersion uniformity of nanoparticles in the polymer network. At 20% and 35% metal volume fillings, the nanocomposite film morphology consists of a uniformly dispersed assembly of equiaxed isolated Ag nanoparticles. At higher metal volume fractions the nanocomposite structures displayed two different and unique Ag nanoparticle arrangements within the polymer matrix. In particular, at 70% metal filling, the formation of irregularly shaped clusters of individually assembled nanocrystals was observed. At a slightly higher volume filling (75%), larger irregularly shaped Ag nanocrystals that appeared to be the result of coalescence and grain growth were observed. Finally, a composite theory developed by Tandon and Weng was used to estimate various elastic properties of the nanocomposite films. At high metal filling, the reinforcing effect of the Ag nanoparticles was reflected as approximately a sixfold increase in the elastic modulus compared to the virgin polymer film. Possible applications of such ultra-fine metal nanoparticles networks are discussed

  19. Passivation effect of water vapour on thin film polycrystalline Si solar cells

    Czech Academy of Sciences Publication Activity Database

    Pikna, Peter; Müller, Martin; Becker, C.; Fejfar, Antonín

    2016-01-01

    Roč. 213, č. 7 (2016), s. 1969-1975 ISSN 1862-6300 R&D Projects: GA MŠk LM2015087; GA ČR GA13-12386S Grant - others:AV ČR(CZ) DAAD-16-27 Program:Bilaterální spolupráce Institutional support: RVO:68378271 Keywords : passivation, * plasma hydrogenation * silicon * solar cells * thin films * water vapour Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.775, year: 2016

  20. A simple experimental arrangement for measuring the vapour pressures and sublimation enthalpies by the Knudsen effusion method: Application to DNA and RNA bases

    International Nuclear Information System (INIS)

    Barros, A.L.F. de; Medina, A.; Zappa, F.; Pereira, J.M.; Bessa, E.; Martins, M.H.P.; Coelho, L.F.S.; Wolff, W.; Castro Faria, N.V. de

    2006-01-01

    We measured the vapour pressure of several DNA and RNA bases-uracil, adenine, guanine, thymine and cytosine-in the 300-450 K range. In each case the sample mass loss rate was measured as function of temperature with a simple setup consisting of a commercial film deposition system and a homemade oven. Afterwards vapour pressure values were extracted from these data using the Knudsen effusion method. Sublimation enthalpy values, obtained from vapour pressure data by applying the Clausius-Clapeyron equation, are in very good agreement with literature values. The results suggest that crystal-based film thickness monitors may be useful in on-line cross-section measurements, monitoring the gas target thickness. They also show the viability of using this oven for producing a biomolecular gas target

  1. Film thickness determination by grazing incidence diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Battiston, G A; Gerbasi, R [CNR, Padua (Italy). Istituto di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati

    1996-09-01

    Thin films deposited via MOCVD (Metal Organic Chemical Vapour Deposition) are layers in the thickness range of a few manometers to about ten micrometers. An understanding of the physics and chemistry of films is necessary for a better comprehension of the phenomena involved in the film deposition procedure and its optimisation. Together with the crystalline phase a parameter that must be determined is the thickness of the layer. In this work the authors present a method for the measurement of the film thickness. This procedure, based on diffraction intensity absorption of the X-rays, both incident and diffracted in passing through the layers, resulted quite simple, rapid and non-destructive.

  2. Film thickness determination by grazing incidence diffraction

    International Nuclear Information System (INIS)

    Battiston, G. A.; Gerbasi, R.

    1996-01-01

    Thin films deposited via MOCVD (Metal Organic Chemical Vapour Deposition) are layers in the thickness range of a few manometers to about ten micrometers. An understanding of the physics and chemistry of films is necessary for a better comprehension of the phenomena involved in the film deposition procedure and its optimisation. Together with the crystalline phase a parameter that must be determined is the thickness of the layer. In this work the authors present a method for the measurement of the film thickness. This procedure, based on diffraction intensity absorption of the X-rays, both incident and diffracted in passing through the layers, resulted quite simple, rapid and non-destructive

  3. Characterization of nano-powder grown ultra-thin film p-CuO/n-Si hetero-junctions by employing vapour-liquid-solid method for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Sultana, Jenifar; Das, Anindita [Centre for Research in Nanoscience and Nanotechnology (CRNN), Kolkata 700098 (India); Das, Avishek [Department of Electronic Science, University of Calcutta, Kolkata 700009 (India); Saha, Nayan Ranjan [Department of Polymer Science and Technology, University of Calcutta, Kolkata 700009 (India); Karmakar, Anupam [Department of Electronic Science, University of Calcutta, Kolkata 700009 (India); Chattopadhyay, Sanatan, E-mail: scelc@caluniv.ac.in [Department of Electronic Science, University of Calcutta, Kolkata 700009 (India)

    2016-08-01

    In this work, the CuO nano-powder has been synthesized by employing chemical bath deposition technique for its subsequent use to grow ultrathin film (20 nm) of p-CuO on n-Si substrate for the fabrication of p-CuO/n-Si hetero-junction diodes. The thin CuO film has been grown by employing vapour-liquid-solid method. The crystalline structure and chemical phase of the film are characterized by employing field-emission scanning electron microscopy and X-ray diffraction studies. Chemical stoichiometry of the film has been confirmed by using energy dispersive X-ray spectroscopy. The potential for photovoltaic applications of such films is investigated by measuring the junction current-voltage characteristics and by extracting the relevant parameters such as open circuit photo-generated voltage, short circuit current density, fill-factor and energy conversion efficiency. - Highlights: • Synthesis of CuO nano-powder by CBD method • Growth of ultra-thin film of CuO by employing VLS method for the first time • Physical and electrical characterization of such films for photovoltaic applications • Estimation of energy conversion efficiency of the p-CuO/n-Si p-n junction solar cell.

  4. Characterisation of DLC films deposited using titanium isopropoxide (TIPOT) at different flow rates.

    Science.gov (United States)

    Said, R; Ali, N; Ghumman, C A A; Teodoro, O M N D; Ahmed, W

    2009-07-01

    In recent years, there has been growing interest in the search for advanced biomaterials for biomedical applications, such as human implants and surgical cutting tools. It is known that both carbon and titanium exhibit good biocompatibility and have been used as implants in the human body. It is highly desirable to deposit biocompatible thin films onto a range of components in order to impart biocompatibility and to minimise wear in implants. Diamond like carbon (DLC) is a good candidate material for achieving biocompatibility and low wear rates. In this study, thin films of diamond-like-carbon DLC were deposited onto stainless steel (316) substrates using C2H2, argon and titanium isopropoxide (TIPOT) precursors. Argon was used to generate the plasma in the plasma enhanced vapour deposition (PECVD) system. A critical coating feature governing the performance of the component during service is film thickness. The as-grown films were in the thickness range 90-100 nm and were found to be dependent on TIPOT flow rate. Atomic force microscopy (AFM) was used to characterise the surface roughness of the samples. As the flow rate of TIPOT increased the average roughness was found to increase in conjunction with the film thickness. Raman spectroscopy was used to investigate the chemical structure of amorphous carbon matrix. Surface tension values were calculated using contact angle measurements. In general, the trend of the surface tension results exhibited an opposite trend to that of the contact angle. The elemental composition of the samples was characterised using a VG ToF SIMS (IX23LS) instrument and X-ray photoelectron spectroscopy (XPS). Surprisingly, SIMS and XPS results showed that the DLC samples did not show evidence of titanium since no peaks representing to titanium appeared on the SIMS/XPS spectra.

  5. Deposition of copper coatings in a magnetron with liquid target

    Energy Technology Data Exchange (ETDEWEB)

    Tumarkin, A. V., E-mail: sanyahrustal@mail.ru; Kaziev, A. V.; Kolodko, D. V.; Pisarev, A. A.; Kharkov, M. M.; Khodachenko, G. V. [National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) (Russian Federation)

    2015-12-15

    Copper coatings were deposited on monocrystalline Si substrates using a magnetron discharge with a liquid cathode in the metal vapour plasma. During the deposition, the bias voltage in the range from 0 V to–400 V was applied to the substrate. The prepared films were investigated by a scanning electron microscope, and their adhesive properties were studied using a scratch tester. It was demonstrated that the adhesion of the deposited films strongly depends on the bias voltage and varies in a wide range.

  6. Probing the Gas-Phase Dynamics of Graphene Chemical Vapour Deposition using in-situ UV Absorption Spectroscopy

    DEFF Research Database (Denmark)

    Shivayogimath, Abhay; Mackenzie, David; Luo, Birong

    2017-01-01

    The processes governing multilayer nucleation in the chemical vapour deposition (CVD) of graphene are important for obtaining high-quality monolayer sheets, but remain poorly understood. Here we show that higher-order carbon species in the gas-phase play a major role in multilayer nucleation...

  7. Optical and mechanical properties of diamond like carbon films ...

    Indian Academy of Sciences (India)

    Diamond like carbon (DLC) films were deposited on Si (111) substrates by microwave electron cyclotron resonance (ECR) plasma chemical vapour deposition (CVD) process using plasma of argon and methane gases. During deposition, a d.c. self-bias was applied to the substrates by application of 13.56 MHz rf power.

  8. [Qualitative Determination of Organic Vapour Using Violet and Visible Spectrum].

    Science.gov (United States)

    Jiang, Bo; Hu, Wen-zhong; Liu, Chang-jian; Zheng, Wei; Qi, Xiao-hui; Jiang, Ai-li; Wang, Yan-ying

    2015-12-01

    Vapours of organic matters were determined qualitatively employed with ultraviolet-visible absorption spectroscopy. Vapours of organic matters were detected using ultraviolet-visible spectrophotometer employing polyethylene film as medium, the ultraviolet and visible absorption spectra of vegetable oil vapours of soybean oil, sunflower seed oil, peanut oil, rapeseed oil, sesame oil, cotton seed oil, tung tree seed oil, and organic compound vapours of acetone, ethyl acetate, 95% ethanol, glacial acetic acid were obtained. Experimental results showed that spectra of the vegetable oil vapour and the organic compound vapour could be obtained commendably, since ultra violet and visible spectrum of polyethylene film could be deducted by spectrograph zero setting. Different kinds of vegetable oils could been distinguished commendably in the spectra since the λ(max), λ(min), number of absorption peak, position, inflection point in the ultra violet and visible spectra obtained from the vapours of the vegetable oils were all inconsistent, and the vapours of organic compounds were also determined perfectly. The method had a good reproducibility, the ultraviolet and visible absorption spectra of the vapours of sunflower seed oil in 10 times determination were absolutely the same. The experimental result indicated that polyethylene film as a kind of medium could be used for qualitative analysis of ultraviolet and visible absorption spectroscopy. The method for determination of the vapours of the vegetable oils and organic compounds had the peculiarities of fast speed analysis, well reproducibility, accuracy and reliability and low cost, and so on. Ultraviolet and visible absorption spectrum of organic vapour could provide feature information of material vapour and structural information of organic compound, and provide a novel test method for identifying vapour of compound and organic matter.

  9. TPR system: a powerful technique to monitor carbon nanotube formation during chemical vapour deposition

    International Nuclear Information System (INIS)

    Tristao, Juliana Cristina; Moura, Flavia Cristina Camilo; Lago, Rochel Montero; Sapag, Karim

    2010-01-01

    In this work, a TPR (Temperature Programmed Reduction) system is used as a powerful tool to monitor carbon nanotubes production during CVD (Chemical Vapour Deposition), The experiments were carried out using catalyst precursors based on Fe-Mo supported on Al 2 O 3 and methane as carbon source. As methane reacts on the Fe metal surface, carbon is deposited and H2 is produced. TPR is very sensitive to the presence of H2 and affords information on the temperature where catalyst is active to form different forms of carbon, the reaction kinetics, the catalyst deactivation and carbon yields. (author)

  10. Chemical vapour deposition - a promising method for production of different kinds of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, A.; Ritschel, M.; Bartsch, K.; Graff, A.; Taeschner, C.; Fink, J. [Institut fuer Festkoerper- und Werkstofforschung Dresden e.V. (Germany)

    2001-08-01

    Carbon nanostructures (fibres, multi and single walled tubes) have been synthesized by catalytic chemical vapour deposition. The catalyst material, deposition temperature and the used hydrocarbon are the main parameters responsible for the formation of the desired structure. In dependence on these parameters and by optimising the deposition process nanofibres with herringbone structure and tubular multiwalled nanotubes were deposited in large amounts and high purity. In the case of single wall nanotubes synthesis an aftertreatment and process is absolutely necessary to obtain material with high percentage of tubes. Layers of disordered and aligned multiwalled nanotubes were deposited on oxidised silicon substrates coated with thin sputtered metal layers (Co, permalloy) by using the micro-wave assisted plasma CVD process or the bias supported hot filament CVD method. The latter method allows relatively low deposition temperatures (550 - 750 C). The obtained carbon modifications were characterised by scanning and transmission electron microscopy. Furthermore, the electron field emission of the CNT's layers were investigated. (orig.)

  11. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  12. In Situ GISAXS Study on Solvent Vapour Induced Orientation Switching in PS-b-P4VP Block Copolymer Thin Films

    International Nuclear Information System (INIS)

    Gowd, E Bhoje; Boehme, Marcus; Stamm, Manfred

    2010-01-01

    We investigated the orientation changes of cylindrical P4VP microdomains in PS-b-P4VP thin films upon annealing in different solvent vapours using the time-resolved in situ grazing-incidence small-angle X-ray scattering (GISAXS) for the first time. Swelling of perpendicular cylinders (C perpendicular) in a non-selective solvent vapours (chloroform) leads to the orientation change to in-plane cylinders (C//) and it occurs through a disordered state. On the other hand, swelling of perpendicular cylinders (C perpendicular) in a selective solvent vapours (1,4-dioxane) leads the morphological change from cylindrical to BCC spherical morphology. Solvent evaporation results in shrinkage of the matrix in the vertical direction and subsequently merges the spheres into the perpendicularly aligned cylinders. The selectivity of the solvent to constituting blocks and the solvent evaporation rate may be mainly responsible for such orientation change of cylindrical P4VP microdomains in PS-b-P4VP thin films.

  13. In Situ GISAXS Study on Solvent Vapour Induced Orientation Switching in PS-b-P4VP Block Copolymer Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Gowd, E Bhoje; Boehme, Marcus; Stamm, Manfred, E-mail: gowd@ipfdd.de, E-mail: bhojegowd@yahoo.com [Department of Nanostructured Materials Leibniz Institute of Polymer Research Dresden Hohe Strasse 6, 01069, Dresden (Germany)

    2010-11-15

    We investigated the orientation changes of cylindrical P4VP microdomains in PS-b-P4VP thin films upon annealing in different solvent vapours using the time-resolved in situ grazing-incidence small-angle X-ray scattering (GISAXS) for the first time. Swelling of perpendicular cylinders (C perpendicular) in a non-selective solvent vapours (chloroform) leads to the orientation change to in-plane cylinders (C//) and it occurs through a disordered state. On the other hand, swelling of perpendicular cylinders (C perpendicular) in a selective solvent vapours (1,4-dioxane) leads the morphological change from cylindrical to BCC spherical morphology. Solvent evaporation results in shrinkage of the matrix in the vertical direction and subsequently merges the spheres into the perpendicularly aligned cylinders. The selectivity of the solvent to constituting blocks and the solvent evaporation rate may be mainly responsible for such orientation change of cylindrical P4VP microdomains in PS-b-P4VP thin films.

  14. Precursors for use in vapour and solution phase thermolysis routes to II-VI thin films and nanodispersed oxide materials

    International Nuclear Information System (INIS)

    Chunggaze, M.

    1999-12-01

    Monothiocarbamates M(OSCNEt 2 ) 2 M = Cd (1) Zn (2) analogous to the dithiocarbamates (Et 2 NCS 2 ) 2 M which have been extensively studied for metal-organic chemical vapour deposition (MOCVD), have been prepared as alternative single-source precursors for depositing II-VI semiconducting materials. Structural analysis of (1) revealed a new, O-binucleating, bonding mode for the monothiocarbamato ligand resulting in polymeric chains which are co-aligned to give a distorted close-packed hexagonal array. The mixed alkyl zinc derivative [Et 4 Zn 4 (OSCNEt 2 ) 2 (NEt 2 ) 2 ] is formed as the only isolable product from the reaction of EtZnNEt 2 with carbonyl sulfide and also exhibits a second new bonding mode for the monothiocarbamato ligand in which both the oxygen and sulfur atoms are binucleating. Uniform adherent films of CdS films with various morphologies were grown on GaAs(100) and glass at substrate temperatures between 350-450 deg C. No oxygen incorporation within the films was observed. Mechanistic studies into the decompositional behaviour of the monothiocarbamate precursors in comparison to the dithiocarbamate precursors were investigated by using pyrolysis GC-MS and EI-MS; with GC-MS conditions comparable to those usually used in MOCVD reactors. GC-MS analysis showed that the major decomposition product during the deposition of CdS is Et 2 NC(O)SC(O)NEt 2 . Similar mechanistic studies into the deposition of MSe by MOCVD from the diselenocarbamates M(Se 2 CNEt 2 ) 2 M = Zn (3), Cd (4); M(Se 2 CNMe n Hex) 2 M = Zn (5), Cd (6); and EtZnSe 2 CNEt 2 (7) were carried out in an effort to determine why the symmetric selenocarbamates (3) and (4) deposit films heavily contaminated with selenium in comparison to the asymmetric analogues (5) and (6). The EI-MS of all five selenium compounds revealed similar decomposition pathways, which start with the loss of an alkyl group. However, studies of compounds (1)-(5) by pyrolysis GC-MS show that selenium clusters Se n n = 1

  15. Low resistance polycrystalline diamond thin films deposited by hot ...

    Indian Academy of Sciences (India)

    Administrator

    silicon wafers using a hydrocarbon gas (CH4) highly diluted with H2 at low pressure in a hot filament chemi- cal vapour ... the laser spot was focused on the sample surface using a ... tative spectra of diamond thin films with a typical dia-.

  16. Chemical vapour deposition synthetic diamond: materials, technology and applications

    International Nuclear Information System (INIS)

    Balmer, R S; Brandon, J R; Clewes, S L; Dhillon, H K; Dodson, J M; Friel, I; Inglis, P N; Madgwick, T D; Markham, M L; Mollart, T P; Perkins, N; Scarsbrook, G A; Twitchen, D J; Whitehead, A J; Wilman, J J; Woollard, S M

    2009-01-01

    Substantial developments have been achieved in the synthesis of chemical vapour deposition (CVD) diamond in recent years, providing engineers and designers with access to a large range of new diamond materials. CVD diamond has a number of outstanding material properties that can enable exceptional performance in applications as diverse as medical diagnostics, water treatment, radiation detection, high power electronics, consumer audio, magnetometry and novel lasers. Often the material is synthesized in planar form; however, non-planar geometries are also possible and enable a number of key applications. This paper reviews the material properties and characteristics of single crystal and polycrystalline CVD diamond, and how these can be utilized, focusing particularly on optics, electronics and electrochemistry. It also summarizes how CVD diamond can be tailored for specific applications, on the basis of the ability to synthesize a consistent and engineered high performance product.

  17. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  18. Optical thin film deposition

    International Nuclear Information System (INIS)

    Macleod, H.A.

    1979-01-01

    The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)

  19. The exchange reaction between deuterium and water vapour on platinum deposited over a hydrophobic support

    International Nuclear Information System (INIS)

    Itsuo, Iida; Junko, Kato; Kenzi, Tamuru

    1977-01-01

    Isotope exchange reaction between deuterium gas and water vapour at room temperature and below on platinum deposited on hydrophobic supports such as polytetrafluoroethylene (PTFE) or Porapak Q (copolymer of styrene and divinylbenzene) was studied and the results were compared with those of the exchange reaction on platinum over hydrophilic support such as alumina. It was demonstrated that the exchange reaction at temperatures below the boiling point of water is markedly retarded by the multilayer adsorption of water over the platinum catalyst deposited on hydrophilic support, whereas the platinum catalyst on hydrophobic support exhibited a high catalytic activity, being not retarded by the water, forming no multilayer of adsorbed water over platinum surface. Therefore in the case of the hydrogen isotope exchange reaction on platinum over hydrophobic support, the chemical exchange rate can be measured even under a saturated vapour pressure of water. The surface area of platinum was estimated by hydrogen chemisorption and hydrogen titration and specific activities of the catalyst were calculated at both room temperature and freezing point of water, which revealed that the specific rate of this reaction does not differ so much over various supports. (orig.) [de

  20. Electrical characterization of low temperature deposited oxide films ...

    Indian Academy of Sciences (India)

    Unknown

    electronics on the same substrate (Water and Chu 2002). Plasma enhanced chemical vapour deposition (PECVD) .... sion in depletion region is due to the presence of inter- face traps. The total capacitance in inversion ... The conductance technique is used to deter- mine the interface state density throughout the depletion.

  1. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  2. A dilute Cu(Ni) alloy for synthesis of large-area Bernal stacked bilayer graphene using atmospheric pressure chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Madito, M. J.; Bello, A.; Dangbegnon, J. K.; Momodu, D. Y.; Masikhwa, T. M.; Barzegar, F.; Manyala, N., E-mail: ncholu.manyala@up.ac.za [Department of Physics, Institute of Applied Materials, SARCHI Chair in Carbon Technology and Materials, University of Pretoria, Pretoria 0028 (South Africa); Oliphant, C. J.; Jordaan, W. A. [National Metrology Institute of South Africa, Private Bag X34, Lynwood Ridge, Pretoria 0040 (South Africa); Fabiane, M. [Department of Physics, Institute of Applied Materials, SARCHI Chair in Carbon Technology and Materials, University of Pretoria, Pretoria 0028 (South Africa); Department of Physics, National University of Lesotho, P.O. Roma 180 (Lesotho)

    2016-01-07

    A bilayer graphene film obtained on copper (Cu) foil is known to have a significant fraction of non-Bernal (AB) stacking and on copper/nickel (Cu/Ni) thin films is known to grow over a large-area with AB stacking. In this study, annealed Cu foils for graphene growth were doped with small concentrations of Ni to obtain dilute Cu(Ni) alloys in which the hydrocarbon decomposition rate of Cu will be enhanced by Ni during synthesis of large-area AB-stacked bilayer graphene using atmospheric pressure chemical vapour deposition. The Ni doped concentration and the Ni homogeneous distribution in Cu foil were confirmed with inductively coupled plasma optical emission spectrometry and proton-induced X-ray emission. An electron backscatter diffraction map showed that Cu foils have a single (001) surface orientation which leads to a uniform growth rate on Cu surface in early stages of graphene growth and also leads to a uniform Ni surface concentration distribution through segregation kinetics. The increase in Ni surface concentration in foils was investigated with time-of-flight secondary ion mass spectrometry. The quality of graphene, the number of graphene layers, and the layers stacking order in synthesized bilayer graphene films were confirmed by Raman and electron diffraction measurements. A four point probe station was used to measure the sheet resistance of graphene films. As compared to Cu foil, the prepared dilute Cu(Ni) alloy demonstrated the good capability of growing large-area AB-stacked bilayer graphene film by increasing Ni content in Cu surface layer.

  3. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  4. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  5. Preparation and characterization of Bi2Sr2CaCu2O8+δ thin films on MgO single crystal substrates by chemical solution deposition

    DEFF Research Database (Denmark)

    Grivel, Jean-Claude; Kepa, Katarzyna; Hlásek, T.

    2013-01-01

    Bi2Sr2CaCu2O8 thin films have been deposited on MgO single crystal substrates by spin-coating a solution based on 2-ethylhexanoate precursors. Pyrolysis takes place between 200°C and 450°C and is accompanied by the release of 2-ethylhexanoic acid, CO2 and H2O vapour. Highly c-axis oriented Bi2Sr2Ca...

  6. Direct current magnetron sputter-deposited ZnO thin films

    International Nuclear Information System (INIS)

    Hoon, Jian-Wei; Chan, Kah-Yoong; Krishnasamy, Jegenathan; Tou, Teck-Yong; Knipp, Dietmar

    2011-01-01

    Zinc oxide (ZnO) is a very promising electronic material for emerging transparent large-area electronic applications including thin-film sensors, transistors and solar cells. We fabricated ZnO thin films by employing direct current (DC) magnetron sputtering deposition technique. ZnO films with different thicknesses ranging from 150 nm to 750 nm were deposited on glass substrates. The deposition pressure and the substrate temperature were varied from 12 mTorr to 25 mTorr, and from room temperature to 450 deg. C, respectively. The influence of the film thickness, deposition pressure and the substrate temperature on structural and optical properties of the ZnO films was investigated using atomic force microscopy (AFM) and ultraviolet-visible (UV-Vis) spectrometer. The experimental results reveal that the film thickness, deposition pressure and the substrate temperature play significant role in the structural formation and the optical properties of the deposited ZnO thin films.

  7. Synthesis of ultrathin polymer insulating layers by initiated chemical vapour deposition for low-power soft electronics.

    Science.gov (United States)

    Moon, Hanul; Seong, Hyejeong; Shin, Woo Cheol; Park, Won-Tae; Kim, Mincheol; Lee, Seungwon; Bong, Jae Hoon; Noh, Yong-Young; Cho, Byung Jin; Yoo, Seunghyup; Im, Sung Gap

    2015-06-01

    Insulating layers based on oxides and nitrides provide high capacitance, low leakage, high breakdown field and resistance to electrical stresses when used in electronic devices based on rigid substrates. However, their typically high process temperatures and brittleness make it difficult to achieve similar performance in flexible or organic electronics. Here, we show that poly(1,3,5-trimethyl-1,3,5-trivinyl cyclotrisiloxane) (pV3D3) prepared via a one-step, solvent-free technique called initiated chemical vapour deposition (iCVD) is a versatile polymeric insulating layer that meets a wide range of requirements for next-generation electronic devices. Highly uniform and pure ultrathin films of pV3D3 with excellent insulating properties, a large energy gap (>8 eV), tunnelling-limited leakage characteristics and resistance to a tensile strain of up to 4% are demonstrated. The low process temperature, surface-growth character, and solvent-free nature of the iCVD process enable pV3D3 to be grown conformally on plastic substrates to yield flexible field-effect transistors as well as on a variety of channel layers, including organics, oxides, and graphene.

  8. Optical monitoring of surface processes relevant to thin film growth by chemical vapour deposition

    International Nuclear Information System (INIS)

    Simcock, Michael Neil

    2002-01-01

    This thesis reports on the investigation of the use of reflectance anisotropy spectroscopy (RAS) as an in-situ monitor for the preparation and oxidation of GaAs(100) c(4x4) surfaces using a CVD 2000 MOCVD reactor. These surfaces were oxidised using air. It was found that it was possible to follow surface degradation using RA transients at 2.6eV and 4eV. From this data it was possible to speculate on the nature of the surface oxidation process. A study was performed into the rate of surface degradation under different concentrations of air, it was found that the relation between the air concentration and the surface degradation was complicated but that the behaviour of the first third of the degradation approximated a first order behaviour. An estimation of the activation energy of the process was then made, and an assessment of the potential use of the glove-box for STM studies which is an integral part of the MOCVD equipment was also made. Following this, a description is given of the construction of an interferometer for monitoring thin film growth. An investigation is also described into two techniques designed to evaluate the changes in reflected intensity as measured by an interferometer. The first technique uses an iteration procedure to determine the film thickness from the reflection data. This is done using a Taylor series expansion of the thin film reflection function to iterate for the thickness. Problems were found with the iteration when applied to noisy data, these were solved by using a least squares fit to smooth the data. Problems were also found with the iteration at the turning points these were solved using the derivative of the function and by anticipating the position of the turning points. The second procedure uses the virtual interface method to determine the optical constants of the topmost deposited material, the virtual substrate, and the growth rate. This method is applied by using a Taylor series expansion of the thin film reflection

  9. TL and OSL studies on undoped diamond films grown by hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Soni, Anuj, E-mail: anujsoni.phy@gmail.com [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Choudhary, R.K. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Polymeris, G.S. [Ankara University, Institute of Nuclear Sciences (Turkey); Mishra, D.R. [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Mishra, P. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Kulkarni, M.S. [Radiation Safety Systems Division, Bhabha Atomic Research Center, Mumbai 400 085 (India)

    2016-09-15

    In this work, approximately 0.5 µm thick diamond films were grown on a silicon substrate by hot filament chemical vapour deposition (HFCVD) method in a gas mixture of hydrogen and methane. The batch to batch reproducibility of the sample using this technique was found to be very good. The obtained film was characterized by micro laser Raman spectroscopy (MLRS), grazing incidence X-ray diffractometry (GIXRD), scanning electron microscopy (SEM) and atomic force miscroscopy (AFM) techniques. MLRS and GIXRD results confirmed the formation of diamond whereas SEM and AFM analyses indicated uniform morphology of the film with an average grain size of 200 nm. The deposited film was studied for ionizing radiation dosimetry applications using the thermoluminescence (TL) and optically stimulated luminescence (OSL) techniques after irradiating the film by a calibrated 5 mCi, {sup 90}Sr/{sup 90}Y beta source. In the TL measurement, for a heating rate of 4 K/s, broad glow curve was obtained which was deconvoluted into seven TL peaks. The integrated TL counts were found to vary linearly with increasing the radiation dose up to 10 kGy. The characteristic TL output seen in the temperature range 200–300 °C, may be considered good for thermal stability of the film and it could also avoid TL fading during storage and non-interference of any black body radiation during the measurement. However, in comparison to TL output, the OSL response for 470 nm LED stimulation was found to be lesser. The CW–OSL decay curve has shown two components contributing to the OSL signal, having photoionization cross-section 1.5×10{sup −18} and 5.2×10{sup −19} cm{sup 2} respectively. The studies have revealed the possibility of using diamond film for high dose radiation dosimetry with TL/OSL method.

  10. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  11. Chromium carbide thin films deposited by ultra-short pulse laser deposition

    International Nuclear Information System (INIS)

    Teghil, R.; Santagata, A.; De Bonis, A.; Galasso, A.; Villani, P.

    2009-01-01

    Pulsed laser deposition performed by a laser with a pulse duration of 250 fs has been used to deposit films from a Cr 3 C 2 target. Due to the different processes involved in the laser ablation when it is performed by an ultra-short pulse source instead of a conventional short pulse one, it has been possible to obtain in vacuum films containing only one type of carbide, Cr 3 C 2 , as shown by X-ray photoelectron spectroscopy. On the other hand, Cr 3 C 2 is not the only component of the films, since a large amount of amorphous carbon is also present. The films, deposited at room temperature, are amorphous and seem to be formed by the coalescence of a large number of particles with nanometric size. The film composition can be explained in terms of thermal evaporation from particles ejected from the target.

  12. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  13. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  14. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  15. RF plasma deposition of thin Si{sub x}Ge{sub y}C{sub z}:H films using a combination of organometallic source materials

    Energy Technology Data Exchange (ETDEWEB)

    Rapiejko, C. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland); Gazicki-Lipman, M. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland)]. E-mail: gazickim@p.lodz.pl; Klimek, L. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland); Szymanowski, H. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland); Strojek, M. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland)

    2004-12-22

    Elements of the IV group of periodic table have been strongly present in the fast development of PECVD techniques for the last two decades at least. As a result, deposition technologies of such materials as a-Si:H, a-C:H, m{mu}-C:H or DLC have been successfully established. What has followed is an ever growing interest in binary systems of the A{sub x}(IV)B{sub y}(IV):H kind. One possible way to deposit such systems is to use organosilicon compounds (to deposit Si{sub x}C{sub y}:H films) or organogermanium compounds (to deposit Ge{sub x}C{sub y}:H films), as source substances. The present paper reports on a RF plasma deposition of a Si{sub x}Ge{sub y}C{sub z}:H ternary system, using a combination of organosilicon and organogermanium compounds. Thin Si/Ge/C films have been fabricated in a small volume (ca. 2 dm{sup 3}) parallel plate RF plasma reactor using, as a source material, a combination of tetramethylsilane (TMS) and tetramethylgermanium (TMG) vapours carried by argon. SEM investigations reveal a continuous compact character of the coatings and their uniform thickness. The elemental composition of the films has been studied using EDX analysis. The results of the analysis show that the elemental composition of the films can be controlled by both the TMG/TMS ratio of the initial mixture and the RF power input. Ellipsometric measurements show good homogeneity of these materials. Chemical bonding in the films has been studied using the FTIR technique. Bandgap calculations have been carried out using ellipsometric data and by applying both the Tauc law and the Moss approach.

  16. Long distance spin communication in chemical vapour deposited graphene

    Science.gov (United States)

    Kamalakar, M. Venkata; Groenveld, Christiaan; Dankert, André; Dash, Saroj P.

    2015-04-01

    Graphene is an ideal medium for long-distance spin communication in future spintronic technologies. So far, the prospect is limited by the smaller sizes of exfoliated graphene flakes and lower spin transport properties of large-area chemical vapour-deposited (CVD) graphene. Here we demonstrate a high spintronic performance in CVD graphene on SiO2/Si substrate at room temperature. We show pure spin transport and precession over long channel lengths extending up to 16 μm with a spin lifetime of 1.2 ns and a spin diffusion length ~6 μm at room temperature. These spin parameters are up to six times higher than previous reports and highest at room temperature for any form of pristine graphene on industrial standard SiO2/Si substrates. Our detailed investigation reinforces the observed performance in CVD graphene over wafer scale and opens up new prospects for the development of lateral spin-based memory and logic applications.

  17. Macro stress mapping on thin film buckling

    Energy Technology Data Exchange (ETDEWEB)

    Goudeau, P.; Villain, P.; Renault, P.-O.; Tamura, N.; Celestre, R.S.; Padmore, H.A.

    2002-11-06

    Thin films deposited by Physical Vapour Deposition techniques on substrates generally exhibit large residual stresses which may be responsible of thin film buckling in the case of compressive stresses. Since the 80's, a lot of theoretical work has been done to develop mechanical models but only a few experimental work has been done on this subject to support these theoretical approaches and nothing concerning local stress measurement mainly because of the small dimension of the buckling (few 10th mm). This paper deals with the application of micro beam X-ray diffraction available on synchrotron radiation sources for stress mapping analysis of gold thin film buckling.

  18. Vapour trap development and operational experience

    International Nuclear Information System (INIS)

    Jansing, W.; Kirchner, G.; Menck, J.

    1977-01-01

    Sodium aerosols have the unpleasant characteristic that they deposit at places with low temperature level. This effect can be utilized when sodium aerosols are to be trapped at places which are determined beforehand. Thus vapour traps were developed which can filter sodium vapour from the cover gas. By this means the necessity was eliminated to heat all gas lines and gas systems with trace heaters just as all sodium lines are heated. It was of special interest for the INTERATOM to develop vapour traps which must not be changed or cleaned after a certain limited operating period. The vapour traps were supposed to enable maintenance free operation, i.e. they were to operate 'self cleaning'

  19. Chemical Vapour Deposition of Large Area Graphene

    DEFF Research Database (Denmark)

    Larsen, Martin Benjamin Barbour Spanget

    Chemical Vapor Deposition (CVD) is a viable technique for fabrication of large areas of graphene. CVD fabrication is the most prominent and common way of fabricating graphene in industry. In this thesis I have attempted to optimize a growth recipe and catalyst layer for CVD fabrication of uniform......, single layer, and high carrier mobility large area graphene. The main goals of this work are; (1) explore the graphene growth mechanics in a low pressure cold-wall CVD system on a copper substrate, and (2) optimize the process of growing high quality graphene in terms of carrier mobility, and crystal...... structure. Optimization of a process for graphene growth on commercially available copper foil is limited by the number of aluminium oxide particles on the surface of the catalyst. By replacing the copper foil with a thin deposited copper film on a SiO2/Si or c-plane sapphire wafer the particles can...

  20. Hydrogen termination of CVD diamond films by high-temperature annealing at atmospheric pressure

    NARCIS (Netherlands)

    Seshan, V.; Ullien, D.; Castellanos-Gomez, A.; Sachdeva, S.; Murthy, D.H.K.; Savenije, T.J.; Ahmad, H.A.; Nunney, T.S.; Janssens, S.D.; Haenen, K.; Nesládek, M.; Van der Zant, H.S.J.; Sudhölter, E.J.R.; De Smet, L.C.P.M.

    2013-01-01

    A high-temperature procedure to hydrogenate diamond films using molecular hydrogen at atmospheric pressure was explored. Undoped and doped chemical vapour deposited (CVD) polycrystalline diamond films were treated according to our annealing method using a H2 gas flow down to ?50 ml/min (STP) at

  1. Chemical vapour deposition of freestanding sub-60 nm graphene gyroids

    Science.gov (United States)

    Cebo, Tomasz; Aria, Adrianus I.; Dolan, James A.; Weatherup, Robert S.; Nakanishi, Kenichi; Kidambi, Piran R.; Divitini, Giorgio; Ducati, Caterina; Steiner, Ullrich; Hofmann, Stephan

    2017-12-01

    The direct chemical vapour deposition of freestanding graphene gyroids with controlled sub-60 nm unit cell sizes is demonstrated. Three-dimensional (3D) nickel templates were fabricated through electrodeposition into a selectively voided triblock terpolymer. The high temperature instability of sub-micron unit cell structures was effectively addressed through the early introduction of the carbon precursor, which stabilizes the metallized gyroidal templates. The as-grown graphene gyroids are self-supporting and can be transferred onto a variety of substrates. Furthermore, they represent the smallest free standing periodic graphene 3D structures yet produced with a pore size of tens of nm, as analysed by electron microscopy and optical spectroscopy. We discuss generality of our methodology for the synthesis of other types of nanoscale, 3D graphene assemblies, and the transferability of this approach to other 2D materials.

  2. Microwave plasma-enhanced chemical vapour deposition growth of carbon nanostructures

    Directory of Open Access Journals (Sweden)

    Shivan R. Singh

    2010-05-01

    Full Text Available The effect of various input parameters on the production of carbon nanostructures using a simple microwave plasma-enhanced chemical vapour deposition technique has been investigated. The technique utilises a conventional microwave oven as the microwave energy source. The developed apparatus is inexpensive and easy to install and is suitable for use as a carbon nanostructure source for potential laboratory-based research of the bulk properties of carbon nanostructures. A result of this investigation is the reproducibility of specific nanostructures with the variation of input parameters, such as carbon-containing precursor and support gas flow rate. It was shown that the yield and quality of the carbon products is directly controlled by input parameters. Transmission electron microscopy and scanning electron microscopy were used to analyse the carbon products; these were found to be amorphous, nanotubes and onion-like nanostructures.

  3. Plasma Enhanced Chemical Vapour Deposition of Horizontally Aligned Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Matthew T. Cole

    2013-05-01

    Full Text Available A plasma-enhanced chemical vapour deposition reactor has been developed to synthesis horizontally aligned carbon nanotubes. The width of the aligning sheath was modelled based on a collisionless, quasi-neutral, Child’s law ion sheath where these estimates were empirically validated by direct Langmuir probe measurements, thereby confirming the proposed reactors ability to extend the existing sheath fields by up to 7 mm. A 7 mbar growth atmosphere combined with a 25 W plasma permitted the concurrent growth and alignment of carbon nanotubes with electric fields of the order of 0.04 V μm−1 with linear packing densities of up to ~5 × 104 cm−1. These results open up the potential for multi-directional in situ alignment of carbon nanotubes providing one viable route to the fabrication of many novel optoelectronic devices.

  4. Controllable deposition of gadolinium doped ceria electrolyte films by magnetic-field-assisted electrostatic spray deposition

    International Nuclear Information System (INIS)

    Ksapabutr, Bussarin; Chalermkiti, Tanapol; Wongkasemjit, Sujitra; Panapoy, Manop

    2013-01-01

    This paper describes a simple and low-temperature approach to fabrication of dense and crack-free gadolinium doped ceria (GDC) thin films with controllable deposition by a magnetic-field-assisted electrostatic spray deposition technique. The influences of external permanent magnets on the deposition of GDC films were investigated. The coating area deposited using two magnets with the same pole arrangement decreased in comparison with the case of no magnets, whereas the largest deposition area was obtained in the system of the opposite poles. Analysis of as-deposited films at 450 °C indicated the formation of uniform, smooth and dense thin films with a single-phase fluorite structure. The films produced in the system using same poles were thicker, smaller in crystallite size and smoother than those fabricated under other conditions. Additionally, the GDC film deposited using the same pole arrangement showed the maximum in electrical conductivity of about 2.5 × 10 −2 S/cm at a low operating temperature of 500 °C. - Highlights: • Magnetic-field-assisted electrostatic spray allows a controllable coating. • Dense, crack-free thin films were obtained at low process temperature of 450 °C. • Control of deposition, thickness and uniformity is easy to achieve simultaneously. • Films from the same pole were thicker, smaller in crystal size and smoother. • The maximum conductivity of doped ceria film was 2.5 × 10 −2 S/cm at 500 °C

  5. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    Energy Technology Data Exchange (ETDEWEB)

    Deen, I. [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada); Zhitomirsky, I., E-mail: zhitom@mcmaster.ca [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada)

    2014-02-15

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties.

  6. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    International Nuclear Information System (INIS)

    Deen, I.; Zhitomirsky, I.

    2014-01-01

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties

  7. Effect of surfactants on the morphology of FeSe films fabricated from ...

    Indian Academy of Sciences (India)

    tants in gas phase, aerosol- assisted chemical vapour deposition (AACVD) ... temperature, flow rate, concentration of the precursor, ... chemical sensing and photocatalytic activity in FeSe films ... having ultrasonic system on glass substrates.

  8. Excimer Laser Deposition of PLZT Thin Films

    National Research Council Canada - National Science Library

    Petersen, GAry

    1991-01-01

    .... In order to integrate these devices into optical systems, the production of high quality thin films with high transparency and perovskite crystal structure is desired. This requires development of deposition technologies to overcome the challenges of depositing and processing PLZT thin films.

  9. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  10. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  11. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  12. Plasma-polymerized SiOx deposition on polymer film surfaces for preparation of oxygen gas barrier polymeric films

    International Nuclear Information System (INIS)

    Inagaki, N.

    2003-01-01

    SiOx films were deposited on surfaces of three polymeric films, PET, PP, and Nylon; and their oxygen gas barrier properties were evaluated. To mitigate discrepancies between the deposited SiOx and polymer film, surface modification of polymer films was done, and how the surface modification could contribute to was discussed from the viewpoint of apparent activation energy for the permeation process. The SiOx deposition on the polymer film surfaces led to a large decrease in the oxygen permeation rate. Modification of polymer film surfaces by mans of the TMOS or Si-COOH coupling treatment in prior to the SiOx deposition was effective in decreasing the oxygen permeation rate. The cavity model is proposed as an oxygen permeation process through the SiOx-deposited Nylon film. From the proposed model, controlling the interface between the deposited SiOx film and the polymer film is emphasized to be a key factor to prepare SiOx-deposited polymer films with good oxygen gas barrier properties. (author)

  13. Electroluminescence Spectrum Shift with Switching Behaviour of Diamond Thin Films

    Institute of Scientific and Technical Information of China (English)

    王小平; 王丽军; 张启仁; 姚宁; 张兵临

    2003-01-01

    We report a special phenomenon on switching behaviour and the electroluminescence (EL) spectrum shift of doped diamond thin films. Nitrogen and cerium doped diamond thin films were deposited on a silicon substrate by microwave plasma-assisted chemical vapour deposition system and other special techniques. An EL device with a three-layer structure of nitrogen doped diamond/cerium doped diamond/SiO2 thin films was made. The EL device was driven by a direct-current power supply. Its EL character has been investigated, and a switching behaviour was observed. The EL light emission colour of diamond films changes from yellow (590nm) to blue (454 nm) while the switching behaviour appears.

  14. Detection of chemical substances in water using an oxide nanowire transistor covered with a hydrophobic nanoparticle thin film as a liquid-vapour separation filter

    Directory of Open Access Journals (Sweden)

    Taekyung Lim

    2016-08-01

    Full Text Available We have developed a method to detect the presence of small amounts of chemical substances in water, using a Al2O3 nanoparticle thin film covered with phosphonic acid (HDF-PA self-assembled monolayer. The HDF-PA self-assembled Al2O3 nanoparticle thin film acts as a liquid-vapour separation filter, allowing the passage of chemical vapour while blocking liquids. Prevention of the liquid from contacting the SnO2 nanowire and source-drain electrodes is required in order to avoid abnormal operation. Using this characteristic, the concentration of chemical substances in water could be evaluated by measuring the current changes in the SnO2 nanowire transistor covered with the HDF-PA self-assembled Al2O3 nanoparticle thin film.

  15. Influence of deposition time on the properties of chemical bath deposited manganese sulfide thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-12-01

    Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.

  16. Deposition of antimony telluride thin film by ECALE

    Institute of Scientific and Technical Information of China (English)

    GAO; Xianhui; YANG; Junyou; ZHU; Wen; HOU; Jie; BAO; Siqian; FAN; Xi'an; DUAN; Xingkai

    2006-01-01

    The process of Sb2Te3 thin film growth on the Pt substrate by electrochemical atomic layer epitaxy (ECALE) was studied. Cyclic voltammetric scanning was performed to analyze the electrochemical behavior of Te and Sb on the Pt substrate. Sb2Te3 film was formed using an automated flow deposition system by alternately depositing Te and Sb atomic layers for 400 circles. The deposited Sb2Te3 films were characterized by XRD, EDX, FTIR and FESEM observation. Sb2Te3 compound structure was confirmed by XRD pattern and agreed well with the results of EDX quantitative analysis and coulometric analysis. FESEM micrographs showed that the deposit was composed of fine nano particles with size of about 20 nm. FESEM image of the cross section showed that the deposited films were very smooth and dense with thickness of about 190 nm. The optical band gap of the deposited Sb2Te3 film was determined as 0.42 eV by FTIR spectroscopy, and it was blue shifted in comparison with that of the bulk Sb2Te3 single crystal due to its nanocrystalline microstructure.

  17. Development of molecular precursors for deposition of indium sulphide thin film electrodes for photoelectrochemical applications.

    Science.gov (United States)

    Ehsan, Muhammad Ali; Peiris, T A Nirmal; Wijayantha, K G Upul; Olmstead, Marilyn M; Arifin, Zainudin; Mazhar, Muhammad; Lo, K M; McKee, Vickie

    2013-08-14

    Symmetrical and unsymmetrical dithiocarbamato pyridine solvated and non-solvated complexes of indium(III) with the general formula [In(S2CNRR')3]·n(py) [where py = pyridine; R,R' = Cy, n = 2 (1); R,R' = (i)Pr, n = 1.5 (2); NRR' = Pip, n = 0.5 (3) and R = Bz, R' = Me, n = 0 (4)] have been synthesized. The compositions, structures and properties of these complexes have been studied by means of microanalysis, IR and (1)H-NMR spectroscopy, X-ray single crystal and thermogravimetric (TG/DTG) analyses. The applicability of these complexes as single source precursors (SSPs) for the deposition of β-In2S3 thin films on fluorine-doped SnO2 (FTO) coated conducting glass substrates by aerosol-assisted chemical vapour deposition (AACVD) at temperatures of 300, 350 and 400 °C is studied. All films have been characterized by powder X-ray diffraction (PXRD) and energy dispersive X-ray analysis (EDX) for the detection of phase and stoichiometry of the deposit. Scanning electron microscopy (SEM) studies reveal that precursors (1)-(4), irrespective of different metal ligand design, generate comparable morphologies of β-In2S3 thin films at different temperatures. Direct band gap energies of 2.2 eV have been estimated from the UV-vis spectroscopy for the β-In2S3 films fabricated from precursors (1) and (4). The photoelectrochemical (PEC) properties of β-In2S3 were confirmed by recording the current-voltage plots under light and dark conditions. The plots showed anodic photocurrent densities of 1.25 and 0.65 mA cm(-2) at 0.23 V vs. Ag/AgCl for the β-In2S3 films made at 400 and 350 °C from the precursors (1) and (4), respectively. The photoelectrochemical performance indicates that the newly synthesised precursors are highly useful in fabricating β-In2S3 electrodes for solar energy harvesting and optoelectronic application.

  18. Quantitative Auger depth profiling of LPCVD and PECVD silicon nitride films

    International Nuclear Information System (INIS)

    Keim, E.G.; Aite, K.

    1989-01-01

    Thin silicon nitride films (100-210 nm) with refractive indices varying from 1.90 to 2.10 were deposited on silicon substrates by low pressure chemical vapour deposition (LPCVD) and plasma enhanced chemical vapour deposition (PECVD). Rutherford backscattering spectrometry (RBS), ellipsometry, surface profiling measurements and Auger electron spectroscopy (AES) in combination with Ar + sputtering were used to characterize these films. We have found that the use of (p-p)heights of the Si LVV and N KLL Auger transitions in the first derivative of the energy distribution (dN(E)/dE) leads to an accurate determination of the silicon nitride composition in Auger depth profiles over a wide range of atomic Si/N ratios. Moreover, we have shown that the Si KLL Auger transition, generally considered to be a better probe than the low energy Si LVV Auger transition in determining the chemical composition of silicon nitride layers, leads to deviating results. (orig.)

  19. Processing and structural characterization of porous reforming catalytic films

    International Nuclear Information System (INIS)

    Hou Xianghui; Williams, Jey; Choy, Kwang-Leong

    2006-01-01

    Nickel-based catalysts are often used to reform methanol into hydrogen. The preparation and installation of these catalysts are costly and laborious. As an alternative, directly applying catalytic films onto the separator components can improve the manufacturing efficiency. This paper reports the successful deposition of adherent porous NiO-Al 2 O 3 -based catalytic films with well-controlled stoichiometry, using a single-step Aerosol Assisted Chemical Vapour Deposition (AACVD) method. The microstructure, composition and crystalline phase of the as-deposited catalytic films are characterized using a combination of X-ray diffraction (XRD), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier Transform Infrared (FTIR) Spectrometer. The results have demonstrated the capability of AACVD to produce porous NiO-Al 2 O 3 -based catalytic films

  20. Effects of the oxygen fraction and substrate bias power on the electrical and optical properties of silicon oxide films by plasma enhanced chemical vapour deposition using TMOS/O2 gas

    International Nuclear Information System (INIS)

    Bang, S B; Chung, T H; Kim, Y; Kang, M S; Kim, J K

    2004-01-01

    Thin oxide films are deposited from tetramethoxysilane in an inductively coupled oxygen glow discharge supplied with radio frequency power. The chemical bonding states of deposited films are analysed by Fourier transform infrared spectroscopy. The deposition rate and optical properties are determined from spectroscopic ellipsometry. Capacitance-voltage measurements are performed in MOS capacitors to obtain the electrical properties of the deposited films. With these tools, the effects of the substrate bias power and the oxygen mole fraction in the gas on the properties of the film are investigated. The refractive index first decreases with an increase in the oxygen mole fraction, and then increases again, showing a behaviour opposite to that of the deposition rate. The deposition rate increases with increasing substrate bias power and then saturates, while the refractive index increases slightly with an increase in the substrate bias power. The fixed oxide charge density decreases with increasing oxygen fraction and with increasing substrate bias power, while the interface trap density increases with increasing oxygen fraction and with increasing substrate bias power

  1. Pulsed laser deposition of Tl-Ca-Ba-Cu-O films

    International Nuclear Information System (INIS)

    Ianno, N.J.; Liou, S.H.; Woollam, J.A.; Thompson, D.; Johs, B.

    1990-01-01

    Pulsed laser deposition is a technique commonly used to deposit high quality thin films of high temperature superconductors. This paper discusses the results obtained when this technique is applied to the deposition of Tl-Ca-Ba-Cu-O thin films using a frequency doubled Nd:YAG laser operating at 532 nm and an excimer laser operating at 248 nm. Films with onset temperatures of 125 K and zero resistance temperatures of 110 K deposited on (100) oriented MgO from a composite Tl2Ca2Ba2Cu3Ox target were obtained at both wavelengths upon appropriate post deposition annealing. Films deposited at 532 nm exhibit a rough surface, while those deposited at 248 nm are smooth and homogeneous. Upon annealing, films deposited at both wavelengths are single phase Tl2Ca2Ba2Cu3Ox. 12 refs

  2. Plasma-deposited a-C(N) H films

    CERN Document Server

    Franceschini, D E

    2000-01-01

    The growth behaviour, film structure and mechanical properties of plasma-deposited amorphous hydrogenated carbon-nitrogen films are shortly reviewed. The effect of nitrogen-containing gas addition to the deposition to the hydrocarbon atmospheres used is discussed, considering the modifications observed in the chemical composition growth kinetics, carbon atom hybridisation and chemical bonding arrangements of a-C(N):H films. The overall structure behaviour is correlated to the variation of the mechanical properties.

  3. Nanocoatings and ultra-thin films technologies and applications

    CERN Document Server

    Tiginyanu, Ion

    2011-01-01

    Gives a comprehensive account of the developments of nanocoatings and ultra-thin films. This book covers the fundamentals, processes of deposition and characterisation of nanocoatings, as well as the applications. It is suitable for the glass and glazing, automotive, electronics, aerospace, construction and biomedical industries in particular.$bCoatings are used for a wide range of applications, from anti-fogging coatings for glass through to corrosion control in the aerospace and automotive industries. Nanocoatings and ultra-thin films provides an up-to-date review of the fundamentals, processes of deposition, characterisation and applications of nanocoatings. Part one covers technologies used in the creation and analysis of thin films, including chapters on current and advanced coating technologies in industry, nanostructured thin films from amphiphilic molecules, chemical and physical vapour deposition methods and methods for analysing nanocoatings and ultra-thin films. Part two focuses on the applications...

  4. Nickel films: Nonselective and selective photochemical deposition and properties

    International Nuclear Information System (INIS)

    Smirnova, N.V.; Boitsova, T.B.; Gorbunova, V.V.; Alekseeva, L.V.; Pronin, V.P.; Kon'uhov, G.S.

    2006-01-01

    Nickel films deposited on quartz surfaces by the photochemical reduction of a chemical nickel plating solution were studied. It was found that the deposition of the films occurs after an induction period, the length of which depends on the composition of the photolyte and the light intensity. Ni particles with a mean diameter of 20-30 nm were detected initially by transmission electron microscopy. The particles then increased in size (50 nm) upon irradiation and grouped into rings consisting of 4-5 particles. Irradiation with high-intensity light produces three-dimensional films. The calculated extinction coefficient of the nickel film was found to be 4800 L mol -1 cm -1 . Electron diffraction revealed that the prepared amorphous nickel films crystallize after one day of storage. It was determined that the films exhibit catalytic activity in the process of nickel deposition from nickel plating solution. The catalytic action remains for about 5-7 min after exposure of the films to air. The processes of selective and nonselective deposition of the nickel films are discussed. The use of poly(butoxy titanium) in the process of selective photochemical deposition enables negative and positive images to be prepared on quartz surfaces

  5. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  6. Adhesion analysis of polycrystalline diamond films on molybdenum by means of scratch, indentation and sand abrasion testing

    NARCIS (Netherlands)

    Buijnsters, J.G.; Shankar, P.; Enckevort, W.J.P. van; Schermer, J.J.; Meulen, J.J. ter

    2005-01-01

    Diamond films have been grown by hot-filament chemical vapour deposition (CVD) on molybdenum substrates under different growth conditions. The films grown with increasing substrate temperatures show a higher interconnection of diamond grains, whereas increasing methane concentrations in the 0.5-4.0%

  7. Modelling of vapour explosion in a stratified geometry

    International Nuclear Information System (INIS)

    Brayer, Claude

    1994-01-01

    A vapour explosion is the explosive vaporisation of a volatile liquid in contact with another hotter liquid. Such a violent vaporisation requires an intimate mixing and a fine fragmentation of both liquids. Based on a synthesis of published experimental results, the author of this research thesis reports the development of a new physical model which describes the explosion. In this model, the explosion propagation is due to the propagation of the pressure wave associated with this this explosion, all along the vapour film which initially separates both liquids. The author takes the presence of water in the liquid initially located over the film into account. This presence of vapour explains experimental propagation rates. Another consequence, when the pressure wave passes, is an acceleration of liquids at different rates below and above the film. The author considers that a mixture layer then forms from the point of disappearance of the film, between both liquids, and that fragmentation is due to the turbulence in this mixture layer. This fragmentation model is then introduced into an Euler thermodynamic, three-dimensional and multi-constituents code of calculation, MC3D, to study the influence of fragmentation on thermal exchanges between the various constituents on the volatile liquid vaporisation [fr

  8. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  9. The influences of target properties and deposition times on pulsed laser deposited hydroxyapatite films

    International Nuclear Information System (INIS)

    Bao Quanhe; Chen Chuanzhong; Wang Diangang; Liu Junming

    2008-01-01

    Hydroxyapatite films were produced by pulsed laser deposition from three kinds of hydroxyapatite targets and with different deposition times. A JXA-8800R electron probe microanalyzer (EPMA) with a Link ISIS300 energy spectrum analyzer was used to give the secondary electron image (SE) and determine the element composition of the films. The phases of thin film were analyzed by a D/max-γc X-ray diffractometer (XRD). The Fourier-transform infrared spectroscopy (FT-IR) was used to characterize the hydroxyl, phosphate and other functional groups. The results show that deposited films were amorphous which mainly composed of droplet-like particles and vibration of PO 4 3- groups. With the target sintering temperature deposition times increasing, the density of droplets is decreased. While with deposition times increasing, the density of droplets is increased. With the target sintering temperature and deposition time increasing, the ratio of Ca/P is increasing and higher than that of theoretical value of HA

  10. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  11. ZnSe thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Patil, P.S.; Tributsch, H. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CS, Glienicker Strasse-100, D-14109 Berlin (Germany); Ennaoui, A. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CG, Glienicker Strasse-100, D-14109 Berlin (Germany)

    1998-09-04

    The ZnSe thin films have been deposited onto glass substrates by the simple chemical bath deposition method using selenourea as a selenide ion source from an aqueous alkaline medium. The effect of Zn ion concentration, bath temperature and deposition time period on the quality and thickness of ZnSe films has been studied. The ZnSe films have been characterized by XRD, TEM, EDAX, TRMC (time-resolved microwave conductivity), optical absorbance and RBS techniques for their structural, compositional, electronic and optical properties. The as-deposited ZnSe films are found to be amorphous, Zn rich with optical band gap, Eg, equal to 2.9 eV

  12. Deposition of plasmon gold-fluoropolymer nanocomposites

    Science.gov (United States)

    Safonov, Alexey I.; Sulyaeva, Veronica S.; Timoshenko, Nikolay I.; Kubrak, Konstantin V.; Starinskiy, Sergey V.

    2016-12-01

    Degradation-resistant two-dimensional metal-fluoropolymer composites consisting of gold nanoparticles coated with a thin fluoropolymer film were deposited on a substrate by hot wire chemical vapour deposition (HWCVD) and ion sputtering. The morphology and optical properties of the obtained coatings were determined. The thickness of the thin fluoropolymer film was found to influence the position of the surface plasmon resonance peak. Numerical calculations of the optical properties of the deposited materials were performed using Mie theory and the finite-difference time-domain (FDTD) method. The calculation results are consistent with the experimental data. The study shows that the position of the resonance peak can be controlled by changing the surface concentration of particles and the thickness of the fluoropolymer coating. The protective coating was found to prevent the plasmonic properties of the nanoparticles from changing for several months.

  13. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  14. Zinc phthalocyanine thin film and chemical analyte interaction studies by density functional theory and vibrational techniques

    International Nuclear Information System (INIS)

    Saini, G S S; Singh, Sukhwinder; Kumar, Ranjan; Tripathi, S K; Kaur, Sarvpreet; Sathe, Vasant

    2009-01-01

    Thin films of zinc phthalocyanine have been deposited on KBr and glass substrates by the thermal evaporation method and characterized by the x-ray diffraction, optical, infrared and Raman techniques. The observed x-ray diffraction and infrared absorption spectra of as-deposited thin films suggest the presence of an α crystalline phase. Infrared and Raman spectra of thin films after exposure to vapours of ammonia and methanol have also been recorded. Shifts in the position of some IR and Raman bands in the spectra of exposed films have been observed. Some bands also show changes in their intensity on exposure. Increased charge on the phthalocyanine ring and out-of-plane distortion of the core due to interaction between zinc phthalocyanine and vapour molecules involving the fifth coordination site of the central metal ion may be responsible for the band shifts. Changes in the intensity of bands are interpreted in terms of the lowering of molecular symmetry from D 4h to C 4v due to doming of the core. Molecular parameters and Mulliken atomic charges of zinc phthalocyanine and its complexes with methanol and ammonia have been calculated from density functional theory. The binding energy of the complexes have also been calculated. Calculated values of the energy for different complexes suggest that axially coordinated vapour molecules form the most stable complex. Calculated Mulliken atomic charges show net charge transfer from vapour molecules to the phthalocyanine ring for the most stable complex.

  15. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez-Como, N.; Martinez-Landeros, V.; Mejia, I.; Aguirre-Tostado, F.S.; Nascimento, C.D.; Azevedo, G. de M; Krug, C.; Quevedo-Lopez, M.A.

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10 −1 to 10 4 Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10 19 to 10 13 cm −3 and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm 2 /V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10 19 to 10 13 cm −3 . • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied

  16. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  17. Nucleation and growth microstructural study of ti films on 304 SS substrates

    Directory of Open Access Journals (Sweden)

    Rogério de Almeida Vieira

    2004-09-01

    Full Text Available Coating of steel surfaces with titanium films has been studied with the objective to protect them against corrosion, and to create an intermediate film for CVD diamond and TiN film deposition. In this work, the nucleation, growth mechanisms and microstructural formation of the titanium films deposited on 304 stainless steel (304 SS substrate are presented and discussed. The titanium films of variable thickness were obtained by vapour phase deposition produced by electron beam. The surfaces of these samples were observed by scanning electron microscopy. The cross sections of these samples were observed by using an atomic force microscope. The Ti film-304 SS interfaces were analyzed by X-ray diffraction. The results showed that titanium films have a columnar growth. The Ti film-304 SS interface had a residual compression stress at room temperature due to the inter-diffusion process.

  18. Construction of conductive multilayer films of biogenic triangular gold nanoparticles and their application in chemical vapour sensing

    Science.gov (United States)

    Singh, Amit; Chaudhari, Minakshi; Sastry, Murali

    2006-05-01

    Metal nanoparticles are interesting building blocks for realizing films for a number of applications that include bio- and chemical sensing. To date, spherical metal nanoparticles have been used to generate functional electrical coatings. In this paper we demonstrate the synthesis of electrically conductive coatings using biologically prepared gold nanotriangles as the building blocks. The gold nanotriangles are prepared by the reduction of aqueous chloroaurate ions using an extract of the lemongrass plant (Cymbopogon flexuosus) which are thereafter assembled onto a variety of substrates by simple solution casting. The conductivity of the film shows a drastic fall upon mild heat treatment, leading to the formation of electrically conductive thin films of nanoparticles. We have also investigated the possibility of using the gold nanotriangle films in vapour sensing. A large fall in film resistance is observed upon exposure to polar molecules such as methanol, while little change occurs upon exposure to weakly polar molecules such as chloroform.

  19. Progress in thin film techniques

    International Nuclear Information System (INIS)

    Weingarten, W.

    1996-01-01

    Progress since the last Workshop is reported on superconducting accelerating RF cavities coated with thin films. The materials investigated are Nb, Nb 3 Sn, NbN and NbTiN, the techniques applied are diffusion from the vapour phase (Nb 3 Sn, NbN), the bronze process (Nb 3 Sn), and sputter deposition on a copper substrate (Nb, NbTiN). Specially designed cavities for sample evaluation by RF methods have been developed (triaxial cavity). New experimental techniques to assess the RF amplitude dependence of the surface resistance are presented (with emphasis on niobium films sputter deposited on copper). Evidence is increasing that they are caused by magnetic flux penetration into the surface layer. (R.P.)

  20. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  1. Optical and infrared spectroscopic studies of chemical sensing by copper phthalocyanine thin films

    International Nuclear Information System (INIS)

    Singh, Sukhwinder; Tripathi, S.K.; Saini, G.S.S.

    2008-01-01

    Thin films of copper phthalocyanine have been deposited on KBr and glass substrates by thermal evaporation method and characterized by the X-ray diffraction and optical absorption techniques. The observed X-ray pattern suggests the presence of α crystalline phase of copper phthalocyanine in the as-deposited thin films. Infrared spectra of thin films on the KBr pallet before and after exposure to the vapours of ammonia and methanol have been recorded in the wavenumber region of 400-1650 cm -1 . The observed infrared bands also confirm the α crystalline phase. On exposure, change in the intensity of some bands is observed. A new band at 1385 cm -1 , forbidden under ideal D 4h point group symmetry, is also observed in the spectra of exposed thin films. These changes in the spectra are interpreted in terms of the lowering of molecular symmetry from D 4h to C 4v . Axial ligation of the vapour molecules on fifth coordination site of the metal ion is responsible for lowering of the molecular symmetry

  2. Magnetic and cytotoxic properties of hot-filament chemical vapour deposited diamond

    Energy Technology Data Exchange (ETDEWEB)

    Zanin, Hudson, E-mail: hudsonzanin@gmail.com [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Peterlevitz, Alfredo Carlos; Ceragioli, Helder Jose [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Rodrigues, Ana Amelia; Belangero, William Dias [Laboratorio de Biomateriais em Ortopedia, Faculdade de Ciencias Medicas, Universidade Estadual de Campinas, Rua Cinco de Junho 350 CEP 13083970, Campinas, Sao Paulo (Brazil); Baranauskas, Vitor [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil)

    2012-12-01

    Microcrystalline (MCD) and nanocrystalline (NCD) magnetic diamond samples were produced by hot-filament chemical vapour deposition (HFCVD) on AISI 316 substrates. Energy Dispersive X-ray Spectroscopy (EDS) measurements indicated the presence of Fe, Cr and Ni in the MCD and NCD samples, and all samples showed similar magnetisation properties. Cell viability tests were realised using Vero cells, a type of fibroblastic cell line. Polystyrene was used as a negative control for toxicity (NCT). The cells were cultured under standard cell culture conditions. The proliferation indicated that these magnetic diamond samples were not cytotoxic. - Highlights: Black-Right-Pointing-Pointer Polycrystalline diamonds doped with Fe, Cr and Ni acquire ferromagnetic properties. Black-Right-Pointing-Pointer CVD diamonds have been prepared with magnetic and semiconductor properties. Black-Right-Pointing-Pointer Micro/nanocrystalline diamonds show good cell viability with fibroblast proliferation.

  3. Behaviour of gas conditions during vacuum arc discharges used for deposition of thin films

    International Nuclear Information System (INIS)

    Strzyzewski, J.; Langner, J.; Sadowski, M.; Witkowski, J.; Mirowski, R.; Catani, L.; Cianchi, A.; Russo, R.; Tazzari, S.

    2005-01-01

    The vacuum arc, which is one of the oldest techniques used for the deposition of thin films, is now widely used for the Plasma Immersion Ion Implantation and Deposition (PIII and D) in laboratory and industry. Despite of high progress in this field observed during last three decades, involving e.g. magnetic filters for the elimination of micro-droplets, some problems have not been resolved so far. The paper concerns an important problem which is connected with the inclusion of some impurities in the deposited metal film. It was found that appearance of contaminants in the film is induced mainly by water vapour remnants inside the vacuum chamber. The high adsorption of such contaminants by the deposited thin films is observed particularly in so-called getter materials, as niobium and titanium. Such materials can absorb impurities from the surrounding and dissolve them inside the layer. In order to eliminate this problem, in 2000 a new approach was proposed to perform arc discharges at the ultra-high vacuum (UHV) conditions. It was demonstrated experimentally that the deposited pure Nb-films have similar properties to the bulk-Nb samples. These results are very promising from the point of the application of such coating processes in super-conducting RF cavities of future charged-particle accelerators. The paper describes different methods used for the reduction of the background pressure in the UHV stand below 10 -10 hPa. The most important methods involve the selection of appropriate materials and the backing of whole vacuum system. In order to reduce the contaminants a laser triggering system has been applied instead of a common system, which used high-voltage discharges along the surface of an insulated trigger electrode. Particular attention is paid to a comparison of different gas conditions during arc discharges at high-vacuum conditions (background pressure in the range of 10 -8 -10 -7 hPa) and at UHV experiments (background pressure within the range of 10 -11

  4. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  5. Sudden contact of a hot liquid with a volatile coolant: instability of the created vapour film

    International Nuclear Information System (INIS)

    Pion, Agnes

    1983-01-01

    As the sudden contact of a hot body with a coolant which may evaporate, results, after some delay, in an explosive evaporation, this research thesis proposes an interpretation based on the study of the destabilization of the vapour film which forms at the surface of the hot body. The author reports the modelling of the evolution of the average thickness of the film before the explosion. The possible chemical reactions at the surface of the hot body are taken into account. A base flow is obtained which allows the calculation of the evolution of Rayleigh-Taylor instabilities which may occur at the gas-coolant interface. This study is applied to the interaction between liquid sodium and water [fr

  6. ZnO film deposition by DC magnetron sputtering: Effect of target configuration on the film properties

    Energy Technology Data Exchange (ETDEWEB)

    Arakelova, E.; Khachatryan, A.; Kteyan, A.; Avjyan, K.; Grigoryan, S.

    2016-08-01

    Ballistic transport model for target-to-substrate atom transfer during magnetron sputter deposition was used to develop zinc target (cathode) configuration that enabled growth of uniform zinc oxide films on extensive surfaces and provided reproducibility of films characteristics irrespective of the cathode wear-out. The advantage of the developed target configuration for high-quality ZnO film deposition was observed in the sputtering pressure range of 5− 50 mTorr, and in the range of cathode-to-substrate distances 7–20 cm. Characteristics of the deposited films were demonstrated by using X-ray diffraction analysis, as well as optical and electrical measurements. - Highlights: • Change of target configuration for optimization of magnetron sputtering deposition is proposed. • Improvement of ZnO film properties due to use of this target is demonstrated. • This configuration provided reproducibility of the deposited films properties.

  7. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  8. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Many thin film deposition techniques involve some form of energetic particle bombardment of the growing film. The degree of bombardment greatly influences the film composition, structure and other properties. While in some techniques the degree of bombardment is secondary to the original process design, in recent years more deposition systems are being designed with the capability for controlled ion bombardment of thin films during deposition. The highest degree of control is obtained with ion beam sources which operate independently of the vapor source providing the thin film material. Other plasma techniques offer varying degrees of control of energetic particle bombardment. Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. (Auth.)

  9. Engineering stress in thin films for the field of bistable MEMS

    International Nuclear Information System (INIS)

    Ratnayake, Dilan; Gowrishetty, Usha R; McNamara, Shamus P; Walsh, Kevin M; Martin, Michael D; Porter, Daniel A; Berfield, Thomas A

    2015-01-01

    While stress-free and tensile films are well-suited for released in-plane MEMS designs, compressive films are needed for released out-of-plane MEMS structures such as buckled beams and diaphragms. This study presents a characterization of stress on a variety of sputtered and plasma-enhanced chemical vapour deposition (PECVD)-deposited films, including titanium tungsten, invar, silicon nitride and amorphous silicon, appropriate for the field of bistable MEMS. Techniques and strategies are presented (including varying substrate bias, pressure, temperature, and frequency multiplexing) for tuning internal stress across the spectrum from highly compressive (−2300 MPa) to highly tensile (1500 MPa). Conditions for obtaining stress-free films are also presented in this work. Under certain conditions during the PECVD deposition of amorphous silicon, interesting ‘micro-bubbles’ formed within the deposited films. Strategies to mitigate their formation are presented, resulting in a dramatic improvement in surface roughness quality from 667 nm root mean square (RMS) to 16 nm RMS. All final deposited films successfully passed the traditional ‘tape test’ for adhesion. (paper)

  10. Thermodynamic investigation of the MOCVD of copper films from bis ...

    Indian Academy of Sciences (India)

    Equilibrium concentrations of various condensed and gaseous phases have been thermodynamically calculated, using the free energy minimization criterion, for the metalorganic chemical vapour deposition (MOCVD) of copper films using bis(2,2,6,6-tetramethyl-3,5-heptadionato)copper(II) as the precursor material.

  11. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  12. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  13. Aluminosilicate glass thin films elaborated by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Carlier, Thibault [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Saitzek, Sébastien [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Méar, François O., E-mail: francois.mear@univ-lille1.fr [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Blach, Jean-François; Ferri, Anthony [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Huvé, Marielle; Montagne, Lionel [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France)

    2017-03-01

    Highlights: • Successfully deposition of a glassy thin film by PLD. • A good homogeneity and stoichiometry of the coating. • Influence of the deposition temperature on the glassy thin-film structure. - Abstract: In the present work, we report the elaboration of aluminosilicate glass thin films by Pulsed Laser Deposition at various temperatures deposition. The amorphous nature of glass thin films was highlighted by Grazing Incidence X-Ray Diffraction and no nanocristallites were observed in the glassy matrix. Chemical analysis, obtained with X-ray Photoelectron Spectroscopy and Time of Flight Secondary Ion Mass Spectroscopy, showed a good transfer and homogeneous elementary distribution with of chemical species from the target to the film a. Structural studies performed by Infrared Spectroscopy showed that the substrate temperature plays an important role on the bonding configuration of the layers. A slight shift of Si-O modes to larger wavenumber was observed with the synthesis temperature, assigned to a more strained sub-oxide network. Finally, optical properties of thins film measured by Spectroscopic Ellipsometry are similar to those of the bulk aluminosilicate glass, which indicate a good deposition of aluminosilicate bulk glass.

  14. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Como, N. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Martinez-Landeros, V. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Mejia, I. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Aguirre-Tostado, F.S. [Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Nascimento, C.D.; Azevedo, G. de M; Krug, C. [Instituto de Física, Universidade Federal do Rio Grande do Sul, Porto Alegre, 91509-900 (Brazil); Quevedo-Lopez, M.A., E-mail: mquevedo@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States)

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10{sup −1} to 10{sup 4} Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10{sup 19} to 10{sup 13} cm{sup −3} and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm{sup 2}/V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10{sup 19} to 10{sup 13} cm{sup −3}. • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied.

  15. A high-efficiency solution-deposited thin-film photovoltaic device

    Energy Technology Data Exchange (ETDEWEB)

    Mitzi, David B; Yuan, Min; Liu, Wei; Chey, S Jay; Schrott, Alex G [IBM T. J. Watson Research Center, Yorktown Heights, NY (United States); Kellock, Andrew J; Deline, Vaughn [IBM Almaden Research Center, San Jose, CA (United States)

    2008-10-02

    High-quality Cu(In,Ga)Se{sub 2} (CIGS) films are deposited from hydrazine-based solutions and are employed as absorber layers in thin-film photovoltaic devices. The CIGS films exhibit tunable stoichiometry and well-formed grain structure without requiring post-deposition high-temperature selenium treatment. Devices based on these films offer power conversion efficiencies of 10% (AM1.5 illumination). (Abstract Copyright [2008], Wiley Periodicals, Inc.)

  16. Chemical bath ZnSe thin films: deposition and characterisation

    Science.gov (United States)

    Lokhande, C. D.; Patil, P. S.; Ennaoui, A.; Tributsch, H.

    1998-01-01

    The zinc selenide (ZnSe) thin films have been deposited by a simple and inexpensive chemical bath deposition (CBD) method. The selenourea was used as a selenide ion source. The ZnSe films have been characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDAX), Rutherford back scattering (RBS), and optical absorption. The as-deposited ZnSe films on various substrates are found to be amorphous and contain O2 and N2 in addition to Zn and Se. The optical band gap of the film is estimated to be 2.9 eV. The films are photoactive as evidenced by time resolved microwave conductivity (TRMC).

  17. Sputter deposition of BSCCO films from a hollow cathode

    International Nuclear Information System (INIS)

    Lanagan, M.T.; Kampwirth, R.T.; Doyle, K.; Kowalski, S.; Miller, D.; Gray, K.E.

    1991-01-01

    High-T c superconducting thin films were deposited onto MgO single crystal substrates from a hollow cathode onto ceramic targets with the nominal composition of Bi 2 Sr 2 CaCu 2 O x . Films similar in composition to those used for the targets were deposited on MgO substrates by rf sputtering. The effects of sputtering time, rf power, and post-annealing on film microstructure and properties were studied in detail. Substrate temperature was found to have a significant influence on the film characteristics. Initial results show that deposition rates from a hollow cathode are an order of magnitude higher than those of a planar magnetron source at equivalent power levels. Large deposition rates allow for the coating of long lengths of wire

  18. Porous nanostructured ZnO films deposited by picosecond laser ablation

    International Nuclear Information System (INIS)

    Sima, Cornelia; Grigoriu, Constantin; Besleaga, Cristina; Mitran, Tudor; Ion, Lucian; Antohe, Stefan

    2012-01-01

    Highlights: ► We deposite porous nanostructured ZnO films by picoseconds laser ablation (PLA). ► We examine changes of the films structure on the experimental parameter deposition. ► We demonstrate PLA capability to produce ZnO nanostructured films free of particulates. - Abstract: Porous nanostructured polycrystalline ZnO films, free of large particulates, were deposited by picosecond laser ablation. Using a Zn target, zinc oxide films were deposited on indium tin oxide (ITO) substrates using a picosecond Nd:YVO 4 laser (8 ps, 50 kHz, 532 nm, 0.17 J/cm 2 ) in an oxygen atmosphere at room temperature (RT). The morpho-structural characteristics of ZnO films deposited at different oxygen pressures (150–900 mTorr) and gas flow rates (0.25 and 10 sccm) were studied. The post-deposition influence of annealing (250–550 °C) in oxygen on the film characteristics was also investigated. At RT, a mixture of Zn and ZnO formed. At substrate temperatures above 350 °C, the films were completely oxidized, containing a ZnO wurtzite phase with crystallite sizes of 12.2–40.1 nm. At pressures of up to 450 mTorr, the porous films consisted of well-distinguished primary nanoparticles with average sizes of 45–58 nm, while at higher pressures, larger clusters (3.1–14.7 μm) were dominant, leading to thicker films; higher flow rates favored clustering.

  19. Electrical Conductivity of CUXS Thin Film Deposited by Chemical ...

    African Journals Online (AJOL)

    Thin films of CuxS have successfully been deposited on glass substrates using the Chemical Bath Deposition (CBD) technique. The films were then investigated for their electrical properties. The results showed that the electrical conductivities of the CuxS films with different molarities (n) of thiourea (Tu), determined using ...

  20. Thermally stimulated currents in α-HgI2 polycrystalline films

    International Nuclear Information System (INIS)

    Shiu, Y.-T.; Huang, T.-J.; Shih, C.-T.; Su, C.-F.; Lan, S.-M.; Chiu, K.-C.

    2007-01-01

    A study of thermally stimulated currents (TSC) is applied to α-HgI 2 polycrystalline films grown by physical vapour deposition with various thermal boundary conditions. Five TSC peaks are clearly observed and numerically fitted. The activation energy and the density of the trapping centre that corresponds to each TSC peak are then calculated. Finally, the effects of the deposition conditions on the TSC results are discussed

  1. Properties of electrophoretically deposited single wall carbon nanotube films

    International Nuclear Information System (INIS)

    Lim, Junyoung; Jalali, Maryam; Campbell, Stephen A.

    2015-01-01

    This paper describes techniques for rapidly producing a carbon nanotube thin film by electrophoretic deposition at room temperature and determines the film mass density and electrical/mechanical properties of such films. The mechanism of electrophoretic deposition of thin layers is explained with experimental data. Also, film thickness is measured as a function of time, electrical field and suspension concentration. We use Rutherford backscattering spectroscopy to determine the film mass density. Films created in this manner have a resistivity of 2.14 × 10 −3 Ω·cm, a mass density that varies with thickness from 0.12 to 0.54 g/cm 3 , and a Young's modulus between 4.72 and 5.67 GPa. The latter was found to be independent of thickness from 77 to 134 nm. We also report on fabricating free-standing films by removing the metal seed layer under the CNT film, and selectively etching a sacrificial layer. This method could be extended to flexible photovoltaic devices or high frequency RF MEMS devices. - Highlights: • We explain the electrophoretic deposition process and mechanism of thin SWCNT film deposition. • Characterization of the SWCNT film properties including density, resistivity, transmittance, and Young's modulus. • The film density and resistivity are found to be a function of the film thickness. • Techniques developed to create free standing layers of SW-CNTs for flexible electronics and mechanical actuators

  2. Defect studies of thin ZnO films prepared by pulsed laser deposition

    International Nuclear Information System (INIS)

    Vlček, M; Čížek, J; Procházka, I; Novotný, M; Bulíř, J; Lančok, J; Anwand, W; Brauer, G; Mosnier, J-P

    2014-01-01

    Thin ZnO films were grown by pulsed laser deposition on four different substrates: sapphire (0 0 0 1), MgO (1 0 0), fused silica and nanocrystalline synthetic diamond. Defect studies by slow positron implantation spectroscopy (SPIS) revealed significantly higher concentration of defects in the studied films when compared to a bulk ZnO single crystal. The concentration of defects in the films deposited on single crystal sapphire and MgO substrates is higher than in the films deposited on amorphous fused silica substrate and nanocrystalline synthetic diamond. Furthermore, the effect of deposition temperature on film quality was investigated in ZnO films deposited on synthetic diamond substrates. Defect studies performed by SPIS revealed that the concentration of defects firstly decreases with increasing deposition temperature, but at too high deposition temperatures it increases again. The lowest concentration of defects was found in the film deposited at 450° C.

  3. UV laser deposition of metal films by photogenerated free radicals

    Science.gov (United States)

    Montgomery, R. K.; Mantei, T. D.

    1986-01-01

    A novel photochemical method for liquid-phase deposition of metal films is described. In the liquid phase deposition scheme, a metal containing compound and a metal-metal bonded carbonyl complex are dissolved together in a polar solvent and the mixture is irradiated using a UV laser. The optical arrangement consists of a HeCd laser which provides 7 mW of power at a wavelength of 325 nm in the TEM(OO) mode. The beam is attenuated and may be expanded to a diameter of 5-20 mm. Experiments with photochemical deposition of silver films onto glass and quartz substrates are described in detail. Mass spectrometric analysis of deposited silver films indicated a deposition rate of about 1 A/s at incident power levels of 0.01 W/sq cm. UV laser-induced copper and palladium films have also been obtained. A black and white photograph showing the silver Van Der Pauw pattern of a solution-deposited film is provided.

  4. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  5. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  6. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  7. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    Science.gov (United States)

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  8. Amorphous Terfenol-D films using nanosecond pulsed laser deposition

    International Nuclear Information System (INIS)

    Ma, James; O'Brien, Daniel T.; Kovar, Desiderio

    2009-01-01

    Thin films of Terfenol-D were produced by nanosecond pulsed laser deposition (PLD) at two fluences. Electron dispersive spectroscopy conducted using scanning electron and transmission electron microscopes showed that the film compositions were similar to that of the PLD target. Contrary to previous assertions that suggested that nanosecond PLD results in crystalline films, X-ray diffraction and transmission electron microscopy analysis showed that the films produced at both fluences were amorphous. Splatters present on the film had similar compositions to the overall film and were also amorphous. Magnetic measurements showed that the films had high saturation magnetization and magnetostriction, similar to high quality films produced using other physical vapor deposition methods.

  9. Influence of composition and structure on the mechanical properties of BCN coatings deposited by thermal CVD

    Energy Technology Data Exchange (ETDEWEB)

    Stoeckel, S.; Weise, K.; Dietrich, D.; Thamm, T.; Braun, M.; Cremer, R.; Neuschuetz, D.; Marx, G

    2002-12-02

    BCN films were deposited by isothermal chemical vapour deposition from gaseous mixtures of trimethylborazine, toluene and ammonia. The films were analysed with respect to chemical state, composition, morphology and microstructure on the one side oxidation behaviour and hardness on the other side. X-ray spectroscopy (WDX), Raman and infrared spectroscopy, differential thermal analysis, X-ray diffraction and transmission electron spectroscopy were employed for film characterization. A microhardness of maximum 20 GPa was achieved, affected by carbon content, by the way of its incorporation into the hexagonal turbostratic lattice as well as by the crystallite size and its texture.

  10. Influence of composition and structure on the mechanical properties of BCN coatings deposited by thermal CVD

    International Nuclear Information System (INIS)

    Stoeckel, S.; Weise, K.; Dietrich, D.; Thamm, T.; Braun, M.; Cremer, R.; Neuschuetz, D.; Marx, G.

    2002-01-01

    BCN films were deposited by isothermal chemical vapour deposition from gaseous mixtures of trimethylborazine, toluene and ammonia. The films were analysed with respect to chemical state, composition, morphology and microstructure on the one side oxidation behaviour and hardness on the other side. X-ray spectroscopy (WDX), Raman and infrared spectroscopy, differential thermal analysis, X-ray diffraction and transmission electron spectroscopy were employed for film characterization. A microhardness of maximum 20 GPa was achieved, affected by carbon content, by the way of its incorporation into the hexagonal turbostratic lattice as well as by the crystallite size and its texture

  11. Ellipsometric study of nanostructured carbon films deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Bereznai, M.; Budai, J.; Hanyecz, I.; Kopniczky, J.; Veres, M.; Koos, M.; Toth, Z.

    2011-01-01

    When depositing carbon films by plasma processes the resulting structure and bonding nature strongly depends on the plasma energy and background gas pressure. To produce different energy plasma, glassy carbon targets were ablated by laser pulses of different excimer lasers: KrF (248 nm) and ArF (193 nm). To modify plume characteristics argon atmosphere was applied. The laser plume was directed onto Si substrates, where the films were grown. To evaluate ellipsometric measurements first a combination of the Tauc-Lorentz oscillator and the Sellmeier formula (TL/S) was applied. Effective Medium Approximation models were also used to investigate film properties. Applying argon pressures above 10 Pa the deposits became nanostructured as indicated by high resolution scanning electron microscopy. Above ∼ 100 and ∼ 20 Pa films could not be deposited by KrF and ArF laser, respectively. Our ellipsometric investigations showed, that with increasing pressure the maximal refractive index of both series decreased, while the optical band gap starts with a decrease, but shows a non monotonous course. Correlation between the size of the nanostructures, bonding structure, which was followed by Raman spectroscopy and optical properties were also investigated.

  12. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  13. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    International Nuclear Information System (INIS)

    Chen Huawei; Tieu, A. Kiet; Liu Qiang; Hagiwara, Ichiro; Lu Cheng

    2007-01-01

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters

  14. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen Huawei [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China) and Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)]. E-mail: chen_hua_wei@yahoo.com; Tieu, A. Kiet [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia); Liu Qiang [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China); Hagiwara, Ichiro [Department of Mechanical Sciences and Engineering, Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro-ku, Tokyo (Japan); Lu Cheng [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)

    2007-07-15

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters.

  15. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  16. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  17. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  18. Lanthanoid titanate film structure deposited at different temperatures in vacuum

    International Nuclear Information System (INIS)

    Kushkov, V.D.; Zaslavskij, A.M.; Mel'nikov, A.V.; Zverlin, A.V.; Slivinskaya, A.Eh.

    1991-01-01

    Influence of deposition temperature on the structure of lanthanoid titanate films, prepared by the method of high-rate vacuum condensation. It is shown that formation of crystal structure, close to equilibrium samples, proceeds at 1100-1300 deg C deposition temperatures. Increase of temperature in this range promotes formation of films with higher degree of structural perfection. Amorphous films of lanthanoid titanates form at 200-1000 deg C. Deposition temperature shouldn't exceed 1400 deg C to prevent the formation of perovskite like phases in films

  19. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  20. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  1. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  2. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    International Nuclear Information System (INIS)

    Purohit, Viswas; Mielczarski, Ela; Mielczarski, Jerzy A.; Akesso, Laurent

    2013-01-01

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O

  3. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Purohit, Viswas, E-mail: vishwas.purohit@gmail.com [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Mielczarski, Ela; Mielczarski, Jerzy A. [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Akesso, Laurent [Teer Coatings Ltd., Droitwich, Worcestershire WR9 9AS (United Kingdom)

    2013-09-16

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O.

  4. LiF enhanced nucleation of the low temperature microcrystalline silicon prepared by plasma enhanced chemical vapour deposition

    Czech Academy of Sciences Publication Activity Database

    Stuchlík, Jiří; Ledinský, Martin; Honda, Shinya; Drbohlav, Ivo; Mates, Tomáš; Fejfar, Antonín; Hruška, Karel; Stuchlíková, The-Ha; Kočka, Jan

    2009-01-01

    Roč. 517, č. 24 (2009), s. 6829-6832 ISSN 0040-6090 R&D Projects: GA AV ČR KAN400100701; GA ČR(CZ) GD202/05/H003; GA MŠk LC510; GA AV ČR IAA1010413 Institutional research plan: CEZ:AV0Z10100521 Keywords : amorphous hydrogenated silicon * atomic force microscopy * plasma-enhanced chemical vapour deposition, * nucleation * Raman scattering * lithium fluoride Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.727, year: 2009

  5. Preparation and physical properties of vapour-deposited carbon-carbon composites

    International Nuclear Information System (INIS)

    Loll, Philippe

    1976-01-01

    In its first part, this research thesis reports a bibliographical study on methods of preparation of various types of vapour-deposited (CVD) carbons, and the author notices that only structure and texture properties of these macroscopically homogeneous pyro-carbons have been studied in detail. For a better understanding of the behaviour of carbon-carbon composites, this thesis thus reports the study of the relationships between physical properties, macroscopic texture and microscopic structure. A densification installation and methods of characterisation have been developed. The fabrication process and its installation are presented (oven with its temperature and gas rate controls, study of its thermal gradient, substrate, heat treatments), and the study and characterisation of carbon-carbon composites are reported: structure and texture properties (studied by optic and scanning electronic microscopy, density measurements, and X-ray diffraction), physical properties (electronic paramagnetic resonance, static magnetism, electric and thermal conductivity). In the last part, the author comments and discusses the obtained results: conditions of preparation, existence, physical properties of the different observed microstructures [fr

  6. Structural surprises in friction-deposited films of poly(tetrafluoroethylene)

    DEFF Research Database (Denmark)

    Breiby, Dag Werner; Sølling, Theis Ivan; Bunk, Oliver

    2005-01-01

    Thin films of poly(tetrafluoroethylene) (PTFE) produced by friction deposition were studied using grazing incidence X-ray diffraction as the principal tool. The structure of the deposited thin films was compared with that of the surface of the PTFE bar used for depositing the films. Both exhibited...... the 15/7 helix conformation characteristic of crystal PTFE phase IV. A high degree of biaxial orientation was found for the highly crystalline thin films. Whereas the unit cell of the bar surface material appeared to be single-stem hexagonal, the film displayed diffraction characteristics consistent...... the possibility of a continuous transition between the low-order single-stem hexagonal and the multistem high-order unit cell. The degree of chain orientation was much lower at the surface of the bar than in the thin film. A modification of the commonly accepted mechanism for the transfer of material from the bar...

  7. Catalytic Palladium Film Deposited by Scalable Low-Temperature Aqueous Combustion.

    Science.gov (United States)

    Voskanyan, Albert A; Li, Chi-Ying Vanessa; Chan, Kwong-Yu

    2017-09-27

    This article describes a novel method for depositing a dense, high quality palladium thin film via a one-step aqueous combustion process which can be easily scaled up. Film deposition of Pd from aqueous solutions by conventional chemical or electrochemical methods is inhibited by hydrogen embrittlement, thus resulting in a brittle palladium film. The method outlined in this work allows a direct aqueous solution deposition of a mirror-bright, durable Pd film on substrates including glass and glassy carbon. This simple procedure has many advantages including a very high deposition rate (>10 cm 2 min -1 ) and a relatively low deposition temperature (250 °C), which makes it suitable for large-scale industrial applications. Although preparation of various high-quality oxide films has been successfully accomplished via solution combustion synthesis (SCS) before, this article presents the first report on direct SCS production of a metallic film. The mechanism of Pd film formation is discussed with the identification of a complex formed between palladium nitrate and glycine at low temperature. The catalytic properties and stability of films are successfully tested in alcohol electrooxidation and electrochemical oxygen reduction reaction. It was observed that combustion deposited Pd film on a glassy carbon electrode showed excellent catalytic activity in ethanol oxidation without using any binder or additive. We also report for the first time the concept of a reusable "catalytic flask" as illustrated by the Suzuki-Miyaura cross-coupling reaction. The Pd film uniformly covers the inner walls of the flask and eliminates the catalyst separation step. We believe the innovative concept of a reusable catalytic flask is very promising and has the required features to become a commercial product in the future.

  8. Photoluminescence characteristics of low indium composition InGaN thin films grown on sapphire by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng, Z.C.; Liu, W.; Chua, S.J.; Yu, J.W.; Yang, C.C.; Yang, T.R.; Zhao, J.

    2006-01-01

    The wavelength shifts in the photoluminescence (PL) from low indium composition (∼ 3%) InGaN epitaxial thin films, grown on sapphire substrates by metalorganic chemical vapour deposition, has been studied by a combination of experiment and theory. As temperature increases from 6 K, the PL peak energy red-shifts very slightly first, then blue-shifts to reach a maximum at near 100 K, and red-shifts again till room temperature. This unique PL behaviour, indicating the existence of the phase separation, is interpreted qualitatively from the spatial variation of band structure due to the In-compositional fluctuation. Theoretical calculation, based upon a model involving the band-tail states in the radiative recombination, explains the experimental data successfully

  9. Morphology evolution in spinel manganite films deposited from an aqueous solution

    International Nuclear Information System (INIS)

    Ko, Song Won; Li, Jing; Trolier-McKinstry, Susan

    2012-01-01

    Spinel manganite films were deposited by the spin spray technique at low deposition temperatures ( 1000, agglomeration of small particles was dominant, which suggests that homogeneous nucleation is dominant during deposition. Heterogeneous nucleation was critical to obtain dense films. - Highlights: ► Film microstructure depends on supersaturation. ► Heterogeneous nucleation induces dense and continuous films. ► The spin spray technique enables use of a variety of substrates.

  10. Ultrashort pulse laser deposition of thin films

    Science.gov (United States)

    Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.

    2002-01-01

    Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.

  11. CdS films deposited by chemical bath under rotation

    International Nuclear Information System (INIS)

    Oliva-Aviles, A.I.; Patino, R.; Oliva, A.I.

    2010-01-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl 2 , KOH, NH 4 NO 3 and CS(NH 2 ) 2 as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  12. CdS films deposited by chemical bath under rotation

    Energy Technology Data Exchange (ETDEWEB)

    Oliva-Aviles, A.I., E-mail: aoliva@mda.cinvestav.mx [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico); Patino, R.; Oliva, A.I. [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico)

    2010-08-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl{sub 2}, KOH, NH{sub 4}NO{sub 3} and CS(NH{sub 2}){sub 2} as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  13. The structure of ultrathin iron films on tungsten single-crystal surfaces

    International Nuclear Information System (INIS)

    Gardiner, T.M.

    1983-01-01

    Ultrathin iron films vapour deposited onto the surface of a cylindrical tungsten single crystal are discussed. Results from work function change, Auger electron spectroscopic and low energy electron diffraction techniques are combined for a comparison of the initial stages of film growth on four low index planes. Advantage is taken of the opportunity to evaporate onto and simultaneously to make measurements on all surface orientations of the zone. (Auth.)

  14. Picosecond and subpicosecond pulsed laser deposition of Pb thin films

    Directory of Open Access Journals (Sweden)

    F. Gontad

    2013-09-01

    Full Text Available Pb thin films were deposited on Nb substrates by means of pulsed laser deposition (PLD with UV radiation (248 nm, in two different ablation regimes: picosecond (5 ps and subpicosecond (0.5 ps. Granular films with grain size on the micron scale have been obtained, with no evidence of large droplet formation. All films presented a polycrystalline character with preferential orientation along the (111 crystalline planes. A maximum quantum efficiency (QE of 7.3×10^{-5} (at 266 nm and 7 ns pulse duration was measured, after laser cleaning, demonstrating good photoemission performance for Pb thin films deposited by ultrashort PLD. Moreover, Pb thin film photocathodes have maintained their QE for days, providing excellent chemical stability and durability. These results suggest that Pb thin films deposited on Nb by ultrashort PLD are a noteworthy alternative for the fabrication of photocathodes for superconductive radio-frequency electron guns. Finally, a comparison with the characteristics of Pb films prepared by ns PLD is illustrated and discussed.

  15. Effect of substrate bias on deposition behaviour of charged silicon nanoparticles in ICP-CVD process

    International Nuclear Information System (INIS)

    Yoo, Seung-Wan; Kim, Jung-Hyung; Seong, Dae-Jin; You, Shin-Jae; Seo, Byong-Hoon; Hwang, Nong-Moon

    2017-01-01

    The effect of a substrate bias on the deposition behaviour of crystalline silicon films during inductively coupled plasma chemical vapour deposition (ICP-CVD) was analysed by consideration of non-classical crystallization, in which the building block is a nanoparticle rather than an individual atom or molecule. The coexistence of positively and negatively charged nanoparticles in the plasma and their role in Si film deposition are confirmed by applying bias voltages to the substrate, which is sufficiently small as not to affect the plasma potential. The sizes of positively and negatively charged nanoparticles captured on a carbon membrane and imaged using TEM are, respectively, 2.7–5.5 nm and 6–13 nm. The film deposited by positively charged nanoparticles has a typical columnar structure. In contrast, the film deposited by negatively charged nanoparticles has a structure like a powdery compact with the deposition rate about three times higher than that for positively charged nanoparticles. All the films exhibit crystallinity even though the substrate is at room temperature, which is attributed to the deposition of crystalline nanoparticles formed in the plasma. The film deposited by negatively charged nanoparticles has the highest crystalline fraction of 0.84. (paper)

  16. Recent progress of obliquely deposited thin films for industrial applications

    Science.gov (United States)

    Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori

    1999-06-01

    More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.

  17. Morphological Characteristics of Au Films Deposited on Ti: A Combined SEM-AFM Study

    Directory of Open Access Journals (Sweden)

    Francesco Ruffino

    2018-03-01

    Full Text Available Deposited Au films and coatings are, nowadays, routinely used as active or passive elements in several innovative electronic, optoelectronic, sensing, and energy devices. In these devices, the physical properties of the Au films are strongly determined by the films nanoscale structure. In addition, in these devices, often, a layer of Ti is employed to promote adhesion and, so, influencing the nanoscale structure of the deposited Au film. In this work, we present experimental analysis on the nanoscale cross-section and surface morphology of Au films deposited on Ti. In particular, we sputter-deposited thick (>100 nm thickness Au films on Ti foils and we used Scanning Electron Microscopy to analyze the films cross-sectional and surface morphology as a function of the Au film thickness and deposition angle. In addition, we analyzed the Au films surface morphology by Atomic Force Microscopy which allowed quantifying the films surface roughness versus the film thickness and deposition angle. The results establish a relation between the Au films cross-sectional and surface morphologies and surface roughness to the film thickness and deposition angle. These results allow setting a general working framework to obtain Au films on Ti with specific morphological and topographic properties for desired applications in which the Ti adhesion layer is needed for Au.

  18. Fabrication and characterization of vacuum deposited fluorescein thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jalkanen, Pasi, E-mail: pasi.jalkanen@gmail.co [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kulju, Sampo, E-mail: sampo.j.kulju@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Arutyunov, Konstantin, E-mail: konstantin.arutyunov@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Antila, Liisa, E-mail: liisa.j.antila@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Myllyperkioe, Pasi, E-mail: pasi.myllyperkio@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Ihalainen, Teemu, E-mail: teemu.o.ihalainen@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kaeaeriaeinen, Tommi, E-mail: tommi.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Kaeaeriaeinen, Marja-Leena, E-mail: marja-leena.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Korppi-Tommola, Jouko, E-mail: jouko.korppi-tommola@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland)

    2011-03-31

    Simple vacuum evaporation technique for deposition of dyes on various solid surfaces has been developed. The method is compatible with conventional solvent-free nanofabrication processing enabling fabrication of nanoscale optoelectronic devices. Thin films of fluorescein were deposited on glass, fluorine-tin-oxide (FTO) coated glass with and without atomically layer deposited (ALD) nanocrystalline 20 nm thick anatase TiO{sub 2} coating. Surface topology, absorption and emission spectra of the films depend on their thickness and the material of supporting substrate. On a smooth glass surface the dye initially forms islands before merging into a uniform layer after 5 to 10 monolayers. On FTO covered glass the absorption spectra are similar to fluorescein solution in ethanol. Absorption spectra on ALD-TiO{sub 2} is red shifted compared to the film deposited on bare FTO. The corresponding emission spectra at {lambda} = 458 nm excitation show various thickness and substrate dependent features, while the emission of films deposited on TiO{sub 2} is quenched due to the effective electron transfer to the semiconductor conduction band.

  19. Pulsed laser deposition and characterization of multilayer metal-carbon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Siraj, K., E-mail: khurram.uet@gmail.com [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z. [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Naseem, S.; Riaz, S. [Center for Solid State Physics, University of Punjab, Lahore (Pakistan)

    2011-05-15

    Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.

  20. Pulsed laser deposition and characterization of multilayer metal-carbon thin films

    International Nuclear Information System (INIS)

    Siraj, K.; Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z.; Naseem, S.; Riaz, S.

    2011-01-01

    Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.

  1. Iron, nitrogen and silicon doped diamond like carbon (DLC) thin films: A comparative study

    International Nuclear Information System (INIS)

    Ray, Sekhar C.; Pong, W.F.; Papakonstantinou, P.

    2016-01-01

    The X-ray absorption near edge structure (XANES), X-ray photoelectron spectroscopy (XPS), valence band photoemission (VB-PES) and Raman spectroscopy results show that the incorporation of nitrogen in pulsed laser deposited diamond like carbon (DLC) thin films, reverts the sp"3 network to sp"2 as evidenced by an increase of the sp"2 cluster and I_D/I_G ratio in C K-edge XANES and Raman spectra respectively which reduces the hardness/Young's modulus into the film network. Si-doped DLC film deposited in a plasma enhanced chemical vapour deposition process reduces the sp"2 cluster and I_D/I_G ratio that causes the decrease of hardness/Young's modulus of the film structure. The Fe-doped DLC films deposited by dip coating technique increase the hardness/Young's modulus with an increase of sp"3-content in DLC film structure. - Highlights: • Fe, N and Si doped DLC films deposited by dip, PLD and PECVD methods respectively • DLC:Fe thin films have higher hardness/Young's modulus than DLC:N(:Si) thin films. • sp"3 and sp"2 contents are estimated from C K-edge XANES and VB-PES measurements.

  2. Plasma deposition of polymer composite films incorporating nanocellulose whiskers

    Science.gov (United States)

    Samyn, P.; Airoudj, A.; Laborie, M.-P.; Mathew, A. P.; Roucoules, V.

    2011-11-01

    In a trend for sustainable engineering and functionalization of surfaces, we explore the possibilities of gas phase processes to deposit nanocomposite films. From an analysis of pulsed plasma polymerization of maleic anhydride in the presence of nanocellulose whiskers, it seems that thin nanocomposite films can be deposited with various patterns. By specifically modifying plasma parameters such as total power, duty cycle, and monomer gas pressure, the nanocellulose whiskers are either incorporated into a buckled polymer film or single nanocellulose whiskers are deposited on top of a polymeric film. The density of the latter can be controlled by modifying the exact positioning of the substrate in the reactor. The resulting morphologies are evaluated by optical microscopy, AFM, contact angle measurements and ellipsometry.

  3. Deposition of Au/TiO2 film by pulsed laser

    International Nuclear Information System (INIS)

    Zhao Chongjun; Zhao Quanzhong; Zhao Qitao; Qiu Jianrong; Zhu Congshan

    2006-01-01

    Au nanoparticles, which were photoreduced by a Nd:YAG laser in HAuCl 4 solution containing TiO 2 colloid and accompanied by the TiO 2 particles, were deposited on the substrate surface. The film consisting of Au/TiO 2 particles was characterized by the absorption spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD) analysis. The adhesion between the film and substrate was evaluated by using adhesive tape test. It was found that the presence of TiO 2 dramatically enhanced the adhesion strength between the film and the substrate, as well as the deposition rate of film. The mechanism for the deposition of Au/TiO 2 film was also discussed

  4. Induced Recrystallization of CdTe Thin Films Deposited by Close-Spaced Sublimation

    International Nuclear Information System (INIS)

    Mayo, B.

    1998-01-01

    We have deposited CdTe thin films by close-spaced sublimation at two different temperature ranges. The films deposited at the lower temperature partially recrystallized after CdCl2 treatment at 350C and completely recrystallized after the same treatment at 400C. The films deposited at higher temperature did not recrystallize at these two temperatures. These results confirmed that the mechanisms responsible for changes in physical properties of CdTe films treated with CdCl2 are recrystallization and grain growth, and provided an alternative method to deposit CSS films using lower temperatures

  5. Sorbent Film-Coated Passive Samplers for Explosives Vapour Detection Part A: Materials Optimisation and Integration with Analytical Technologies.

    Science.gov (United States)

    McEneff, Gillian L; Murphy, Bronagh; Webb, Tony; Wood, Dan; Irlam, Rachel; Mills, Jim; Green, David; Barron, Leon P

    2018-04-11

    A new thin-film passive sampler is presented as a low resource dependent and discrete continuous monitoring solution for explosives-related vapours. Using 15 mid-high vapour pressure explosives-related compounds as probes, combinations of four thermally stable substrates and six film-based sorbents were evaluated. Meta-aramid and phenylene oxide-based materials showed the best recoveries from small voids (~70%). Analysis was performed using liquid chromatography-high resolution accurate mass spectrometry which also enabled tentative identification of new targets from the acquired data. Preliminary uptake kinetics experiments revealed plateau concentrations on the device were reached between 3-5 days. Compounds used in improvised explosive devices, such as triacetone triperoxide, were detected within 1 hour and were stably retained by the sampler for up to 7 days. Sampler performance was consistent for 22 months after manufacture. Lastly, its direct integration with currently in-service explosives screening equipment including ion mobility spectrometry and thermal desorption mass spectrometry is presented. Following exposure to several open environments and targeted interferences, sampler performance was subsequently assessed and potential interferences identified. High-security building and area monitoring for concealed explosives using such cost-effective and discrete passive samplers can add extra assurance to search routines while minimising any additional burden on personnel or everyday site operation.

  6. Characterization of thin CeO{sub 2} films electrochemically deposited on HOPG

    Energy Technology Data Exchange (ETDEWEB)

    Faisal, Firas [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Toghan, Arafat, E-mail: arafat.toghan@yahoo.com [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Chemistry Department, Faculty of Science, South Valley University, 83523 Qena (Egypt); Khalakhan, Ivan; Vorokhta, Mykhailo; Matolin, Vladimír [Department of Surface and Plasma Science, Charles University in Prague, V Holešovičkách 747/2, 180 00 Prague 8 (Czech Republic); Libuda, Jörg [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Erlangen Catalysis Resource Center, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany)

    2015-09-30

    Graphical abstract: - Highlights: • Preparation of proton exchange membrane fuel cells catalyst using electrochemical thin film deposition. • Electrodeposition thin films of CeO{sub 2} on HOPG substrates. • The samples were characterized by in-situ AFM and ex-situ XPS. • XPS results reveal that the electrochemically deposited cerium oxide films are stoichiometric. • Exposing the films to ambient air, cracking structures are formed. - Abstract: Electrodeposition is widely used for industrial applications to deposit thin films, coatings, and adhesion layers. Herein, CeO{sub 2} thin films were deposited on a highly oriented pyrolytic graphite (HOPG) substrate by cathodic electrodeposition. The influence of the deposition parameters on the yield and on the film morphology is studied and discussed. Morphology and composition of the electrodeposited films were characterized by in-situ atomic force microscopy (AFM), scanning electron microscopy (SEM), Energy Dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). By AFM we show that the thickness of CeO{sub 2} films can be controlled via the Ce{sup 3+} concentration in solution and the deposition time. After exposing the films to ambient air, cracking structures are formed, which were analyzed by AFM in detail. The chemical composition of the deposits was analyzed by XPS indicating the formation of nearly stoichiometric CeO{sub 2}.

  7. Hydroxyapatite thin films synthesized by pulsed laser deposition and magnetron sputtering on PMMA substrates for medical applications

    International Nuclear Information System (INIS)

    Socol, G.; Macovei, A.M.; Miroiu, F.; Stefan, N.; Duta, L.; Dorcioman, G.; Mihailescu, I.N.; Petrescu, S.M.; Stan, G.E.; Marcov, D.A.; Chiriac, A.; Poeata, I.

    2010-01-01

    Functionalized implants represent an advanced approaching in implantology, aiming to improve the biointegration and the long-term success of surgical procedures. We report on the synthesis of hydroxyapatite (HA) thin films on polymethylmetacrylate (PMMA) substrates - used as cranio-spinal implant-type structures - by two alternative methods: pulsed laser deposition (PLD) and radio-frequency magnetron sputtering (MS). The deposition parameters were optimized in order to avoid the substrate overheating. Stoichiometric HA structures were obtained by PLD with incident laser fluences of 1.4-2.75 J/cm 2 , pressures of 30-46.66 Pa and 10 Hz pulses repetition rate. The MS depositions were performed at constant pressure of 0.3 Pa in inert and reactive atmospheres. SEM-EDS, XRD, FTIR and pull-out measurements were performed assessing the apatitic-type structure of the prepared films along with their satisfactory mechanical adhesion. Cell viability, proliferation and adhesion tests in osteosarcoma SaOs2 cell cultures were performed to validate the bioactive behaviour of the structures and to select the most favourable deposition regimes. For PLD, this requires a low fluence of 1.4 J/cm 2 , reduced pressure of water vapours and a 100 o C/4 h thermal treatment. For MS, the best results were obtained for 80% Ar + 20% O 2 reactive atmosphere at low RF power (∼75 W). Cells grown on these coatings exhibit behaviour similar to those grown on the standard borosilicate glass control: increased viability, good proliferation, and optimal cell adhesion. In vitro tests proved that HA/PMMA neurosurgical structures prepared by PLD and MS are compatible for the interaction with human bone cells.

  8. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  9. Iron films deposited on porous alumina substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Yasuhiro, E-mail: yyasu@rs.kagu.tus.ac.jp; Tanabe, Kenichi; Nishida, Naoki [Tokyo University of Science (Japan); Kobayashi, Yoshio [The University of Electro-Communications (Japan)

    2016-12-15

    Iron films were deposited on porous alumina substrates using an arc plasma gun. The pore sizes (120 – 250 nm) of the substrates were controlled by changing the temperature during the anodic oxidation of aluminum plates. Iron atoms penetrated into pores with diameters of less than 160 nm, and were stabilized by forming γ-Fe, whereas α-Fe was produced as a flat plane covering the pores. For porous alumina substrates with pore sizes larger than 200 nm, the deposited iron films contained many defects and the resulting α-Fe had smaller hyperfine magnetic fields. In addition, only a very small amount of γ-Fe was obtained. It was demonstrated that the composition and structure of an iron film can be affected by the surface morphology of the porous alumina substrate on which the film is grown.

  10. Aerosol deposition of (Cu,Ti) substituted bismuth vanadate films

    Energy Technology Data Exchange (ETDEWEB)

    Exner, Jörg, E-mail: Functional.Materials@Uni-Bayreuth.de [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany); Fuierer, Paul [Materials and Metallurgical Engineering Department, New Mexico Institute of Mining and Technology, Socorro, NM 87801 (United States); Moos, Ralf [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany)

    2014-12-31

    Bismuth vanadate, Bi{sub 4}V{sub 2}O{sub 11}, and related compounds with various metal (Me) substitutions, Bi{sub 4}(Me{sub x}V{sub 1−x}){sub 2}O{sub 11−δ}, show some of the highest ionic conductivities among the known solid oxide electrolytes. Films of Cu and Ti substituted bismuth vanadate were prepared by an aerosol deposition method, a spray coating process also described as room temperature impact consolidation. Resultant films, several microns in thickness, were dense with good adhesion to the substrate. Scanning electron microscopy and high temperature X-ray diffraction were used to monitor the effects of temperature on the structure and microstructure of the film. The particle size remained nano-scale while microstrain decreased rapidly up to 500 °C, above which coarsening and texturing increased rapidly. Impedance measurements of films deposited on inter-digital electrodes revealed an annealing effect on the ionic conductivity, with the conductivity exceeding that of a screen printed film, and approaching that of bulk ceramic. - Highlights: • Cu and Ti doped bismuth vanadate films were prepared by aerosol deposition (AD). • Dense 3–5 μm thick films were deposited on alumina, silicon and gold electrodes. • Annealing of the AD-layer increases the conductivity by 1.5 orders of magnitude. • Effect of temperature on structure and microstructure was investigated.

  11. Defect prevention in silica thin films synthesized using AP-PECVD for flexible electronic encapsulation

    NARCIS (Netherlands)

    Elam, F.M.; Starostin, S.A.; Meshkova, A.S.; Van Der Velden-Schuermans, B.C.A.M.; Van De Sanden, M.C.M.; De Vries, H.W.

    2017-01-01

    Industrially and commercially relevant roll-to-roll atmospheric pressure-plasma enhanced chemical vapour deposition was used to synthesize smooth, 80 nm silica-like bilayer thin films comprising a dense 'barrier layer' and comparatively porous 'buffer layer' onto a flexible polyethylene 2,6

  12. Thermionic vacuum arc (TVA) technique for magnesium thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Balbag, M.Z., E-mail: zbalbag@ogu.edu.t [Eskisehir Osmangazi University, Education Faculty, Primary Education, Meselik Campus, Eskisehir 26480 (Turkey); Pat, S.; Ozkan, M.; Ekem, N. [Eskisehir Osmangazi University, Art and Science Faculty, Physics Department, Eskisehir 26480 (Turkey); Musa, G. [Ovidius University, Physics Department, Constanta (Romania)

    2010-08-15

    In this study, magnesium thin films were deposited on glass substrate by the Thermionic Vacuum Arc (TVA) technique for the first time. We present a different technique for deposition of high-quality magnesium thin films. By means of this technique, the production of films is achieved by condensing the plasma of anode material generated using Thermionic Vacuum Arc (TVA) under high vacuum conditions onto the surface to be coated. The crystal orientation and morphology of the deposited films were investigated by using XRD, EDX, SEM and AFM. The aim of this study is to search the use of TVA technique to coat magnesium thin films and to determine some of the physical properties of the films generated. Furthermore, this study will contribute to the scientific studies which search the thin films of magnesium or the compounds containing magnesium. In future, this study will be preliminary work to entirely produce magnesium diboride (MgB{sub 2}) superconductor thin film with the TVA technique.

  13. Metal-doped diamond-like carbon films synthesized by filter-arc deposition

    International Nuclear Information System (INIS)

    Weng, K.-W.; Chen, Y.-C.; Lin, T.-N.; Wang, D.-Y.

    2006-01-01

    Diamond-like carbon (DLC) thin films are extensively utilized in the semiconductor, electric and cutting machine industries owing to their high hardness, high elastic modulus, low friction coefficients and high chemical stability. DLC films are prepared by ion beam-assisted deposition (BAD), sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), cathodic arc evaporation (CAE), and filter arc deposition (FAD). The major drawbacks of these methods are the degraded hardness associated with the low sp 3 /sp 2 bonding ratio, the rough surface and poor adhesion caused by the presence of particles. In this study, a self-developed filter arc deposition (FAD) system was employed to prepare metal-containing DLC films with a low particle density. The relationships between the DLC film properties, such as film structure, surface morphology and mechanical behavior, with variation of substrate bias and target current, are examined. Experimental results demonstrate that FAD-DLC films have a lower ratio, suggesting that FAD-DLC films have a greater sp 3 bonding than the CAE-DLC films. FAD-DLC films also exhibit a low friction coefficient of 0.14 and half of the number of surface particles as in the CAE-DLC films. Introducing a CrN interfacial layer between the substrate and the DLC films enables the magnetic field strength of the filter to be controlled to improve the adhesion and effectively eliminate the contaminating particles. Accordingly, the FAD system improves the tribological properties of the DLC films

  14. Thickness and structure of the water film deposited from vapour on calcite surfaces

    DEFF Research Database (Denmark)

    Bohr, Jakob; Wogelius, Roy A.; Morris, Peter M.

    2010-01-01

    Synchrotron X-ray reflectivity (SXR) was used to measure the thickness of the water film that adsorbs on a {10¯14} cleavage surface of calcite (CaCO3) in a sample chamber where relative humidity could be controlled within the range from......Synchrotron X-ray reflectivity (SXR) was used to measure the thickness of the water film that adsorbs on a {10¯14} cleavage surface of calcite (CaCO3) in a sample chamber where relative humidity could be controlled within the range from...

  15. Structure and Properties of Diamond-Like Carbon Films Deposited by PACVD Technique on Light Alloys

    Directory of Open Access Journals (Sweden)

    Tański T.

    2016-09-01

    Full Text Available The investigations presented in this paper describe surface treatment performed on samples of heat-treated cast magnesium and aluminium alloy. The structure and chemical composition as well as the functional and mechanical properties of the obtained gradient/monolithic films were analysed by high resolution transmission electron microscopy and scanning electron microscopy, Raman spectroscopy, the ball-on-disk tribotester and scratch testing. Moreover, investigation of the electrochemical corrosion behaviour of the samples was carried out by means of potentiodynamic polarisation curves in 1-M NaCl solution. The coatings produced by chemical vapour deposition did not reveal any delamination or defects and they adhere closely to the substrate. The coating thickness was in a range of up to 2.5 microns. Investigations using Raman spectra of the DLC films confirmed a multiphase character of the diamond-like carbon layer, revealing the sp2 and sp3 electron hybridisation responsible for both the hardness and the friction coefficient. The best wear resistance test results were obtained for the magnesium alloy substrate - AZ61, for which the measured value of the friction path length was equal to 630 m.

  16. Cracking and delamination of vapor-deposited tantalum films

    International Nuclear Information System (INIS)

    Fisher, R.M.; Duan, J.Z.; Liu, J.B.

    1990-01-01

    This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films

  17. Effect of argon addition into oxygen atmosphere on YBCO thin films deposition

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Borisenko, I. V.; Ovsyannikov, G. A.; Kuehle, A.; Bindslev-Hansen, J.; Johannes, L.; Skov, J. L.

    2002-01-01

    Multicomponent nature of the YBa 2 Cu 3 O x (YBCO) high-temperature superconductor makes difficult fabrication of smooth thin films: every local deviation from stoichiometry can result in seeding of a non-superconducting oxide particle. High density of such particles on typical YBCO thin film surface, however, presumes overall non-stoichiometry of the film. Such an effect can result from (i) non-uniform material transport from target to substrate, and (ii) re-evaporation or re-sputtering from the growing film surface. The first reason is more usual for laser ablation deposition technique, the second is typical for long sputtering deposition processes. Substitution of oxygen with argon in the deposition atmosphere improves surface quality of YBCO thin films deposited both by laser ablation and DC-sputtering at high pressure techniques. In the first case, the ablated species are scattered different ways in the oxygen atmosphere. Addition of argon decreases the inelastic scattering of barium; the proper part of Ar in the deposition atmosphere makes scattering and, hence, transport of all atoms uniform. The YBCO films deposited by DC-sputtering at high pressure technique are Ba-deficient also, but the reason is re-sputtering of Ba from the growing film as a result of negative oxygen ions bombardment. Such bombardment can lead also to chemical interaction of the deposited material with the substrate, as in the case of deposition of YBCO thin film on the CeO 2 buffer layer on sapphire. Substitution of oxygen with argon not only suppresses ion bombardment of the film, but also increases discharge stability due to presence of positive Ar + ions. The limiting factor of argon substitution is sufficient oxygenation of the growing oxide film. When oxygen partial pressure is too small, the superconducting quality of the YBCO thin film decreases and such a decrease cannot be overcome by prolonged oxygenation after deposition. (Authors)

  18. Ga–Ge–Te amorphous thin films fabricated by pulsed laser deposition

    International Nuclear Information System (INIS)

    Němec, P.; Nazabal, V.; Dussauze, M.; Ma, H.-L.; Bouyrie, Y.; Zhang, X.-H.

    2013-01-01

    UV pulsed laser deposition was employed for the fabrication of amorphous Ga–Ge–Te thin films. The local structure of the bulk glasses as well as corresponding thin films was studied using Raman scattering spectroscopy; the main structural motifs were found to be [GeTe 4 ], eventually [GaTe 4 ] corner-sharing tetrahedra and disordered Te chains. Optical functions of the films (refractive index, extinction coefficient) were characterized by variable angle spectroscopic ellipsometry. Photostability experiments showed all Ga–Ge–Te laser deposited films to be stable against 1550 nm laser irradiation in an as-deposited state. In an annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75 . This particular composition was further studied from the point of view of thermal stability and stability against ageing in as-deposited state. - Highlights: ► Pulsed laser deposition was used for fabrication of amorphous Ga–Ge–Te thin films. ► GeTe 4 , eventually GaTe 4 tetrahedra and disordered Te chains form the film structure. ► Optical functions of Ge–Ga–Te films were characterized by spectroscopic ellipsometry. ► All as-deposited Ga–Ge–Te thin films are stable against 1550 nm irradiation. ► In annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75

  19. Electron-beam deposition of vanadium dioxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Marvel, R.E.; Appavoo, K. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Choi, B.K. [Vanderbilt University, Department of Electrical Engineering and Computer Science, Nashville, TN (United States); Nag, J. [Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States); Haglund, R.F. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Vanderbilt University, Institute for Nanoscale Science and Engineering, Nashville, TN (United States); Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States)

    2013-06-15

    Developing a reliable and efficient fabrication method for phase-transition thin-film technology is critical for electronic and photonic applications. We demonstrate a novel method for fabricating polycrystalline, switchable vanadium dioxide thin films on glass and silicon substrates and show that the optical switching contrast is not strongly affected by post-processing annealing times. The method relies on electron-beam evaporation of a nominally stoichiometric powder, followed by fast annealing. As a result of the short annealing procedure we demonstrate that films deposited on silicon substrates appear to be smoother, in comparison to pulsed laser deposition and sputtering. However, optical performance of e-beam evaporated film on silicon is affected by annealing time, in contrast to glass. (orig.)

  20. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  1. Diamond-coated three-dimensional GaN micromembranes: effect of nucleation and deposition techniques

    Czech Academy of Sciences Publication Activity Database

    Ižák, Tibor; Vanko, G.; Babchenko, Oleg; Potocký, Štěpán; Marton, M.; Vojs, M.; Choleva, P.; Kromka, Alexander

    2015-01-01

    Roč. 252, č. 11 (2015), s. 2585-2590 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GP14-16549P Institutional support: RVO:68378271 Keywords : diamond film * GaN micromembranes * microwave chemical vapour deposition * polymer-based nucleation Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.522, year: 2015

  2. Formation of aluminum films on silicon by ion beam deposition: a comparison with ionized cluster beam deposition

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Haynes, T.E.; Galloway, M.D.; Tanaka, S.; Yamada, A.; Yamada, I.

    1991-01-01

    The direct ion beam deposition (IBD) technique has been used to study the formation of oriented aluminum films on single crystal silicon substrates. In the IBD process, thin film growth is accomplished by decelerating a magnetically analyzed ion beam to low energies (10-200 eV) for direct deposition onto the substrate under UHV conditions. The aluminum-on-silicon system is one which has been studied extensively by ionized cluster beam (ICB) deposition. This technique has produced intriguing results for aluminum, with oriented crystalline films being formed at room temperature in spite of the 25% mismatch in lattice constant between aluminum and silicon. In this work, we have studied the formation of such films by IBD, with emphasis on the effects of ion energy, substrate temperature, and surface cleanliness. Oriented films have been grown on Si(111) at temperatures from 40 to 300degC and with ion energies of 30-120 eV per ion. Completed films were analyzed by ion scattering, X-ray diffraction, scanning-electron microscopy, and optical microscopy. Results achieved for thin films grown by IBD are comparable to those for similar films grown by ICB deposition. (orig.)

  3. Study on the electrical properties of ITO films deposited by facing target sputter deposition

    International Nuclear Information System (INIS)

    Kim, Youn J; Jin, Su B; Kim, Sung I; Choi, Yoon S; Choi, In S; Han, Jeon G

    2009-01-01

    This study examined the mechanism for the change in the electrical properties (carrier concentration (n) and mobility (μ)) of tin-doped indium oxide (ITO) films deposited by magnetron sputtering in a confined facing magnetic field. The relationship between the carrier concentration and the mobility was significantly different from the results reported for ITO films deposited by other magnetron sputtering processes. The lowest resistivity obtained for ITO films deposited in a confined facing magnetic field at low substrate temperatures (approximately 120 0 C) was 4.26 x 10 -4 Ω cm at a power density of 3 W cm -2 . Crystalline ITO films were obtained at a low power density range from 3 to 5 W cm -2 due to the increase in the substrate temperature from 120 to 162 0 C. This contributed to the increased carrier concentration and decreased electrical resistivity. X-ray photoelectron spectroscopy revealed an increase in the concentration of the Sn 4+ states. This was attributed to the formation of a crystalline ITO film, which effectively enhanced the carrier concentration and reduced the carrier mobility.

  4. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    Science.gov (United States)

    Pigois-Landureau, E.; Nicolau, Y. F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3-4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces.

  5. Short review on chemical bath deposition of thin film and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com [Depertment of Physics, Shri Chhatrapati Shivaji College, Omerga-413606 (India)

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  6. The thermodynamic approach to boron chemical vapour deposition based on a computer minimization of the total Gibbs free energy

    International Nuclear Information System (INIS)

    Naslain, R.; Thebault, J.; Hagenmuller, P.; Bernard, C.

    1979-01-01

    A thermodynamic approach based on the minimization of the total Gibbs free energy of the system is used to study the chemical vapour deposition (CVD) of boron from BCl 3 -H 2 or BBr 3 -H 2 mixtures on various types of substrates (at 1000 < T< 1900 K and 1 atm). In this approach it is assumed that states close to equilibrium are reached in the boron CVD apparatus. (Auth.)

  7. Structural characterization of the nickel thin film deposited by glad technique

    Directory of Open Access Journals (Sweden)

    Potočnik J.

    2013-01-01

    Full Text Available In this work, a columnar structure of nickel thin film has been obtained using an advanced deposition technique known as Glancing Angle Deposition. Nickel thin film was deposited on glass sample at the constant emission current of 100 mA. Glass sample was positioned 15 degrees with respect to the nickel vapor flux. The obtained nickel thin film was characterized by Force Modulation Atomic Force Microscopy and by Scanning Electron Microscopy. Analysis indicated that the formation of the columnar structure occurred at the film thickness of 1 μm, which was achieved for the deposition time of 3 hours. [Projekat Ministarstva nauke Republike Srbije, br. III45005

  8. Film growth kinetics and electric field patterning during electrospray deposition of block copolymer thin films

    Science.gov (United States)

    Toth, Kristof; Hu, Hanqiong; Choo, Youngwoo; Loewenberg, Michael; Osuji, Chinedum

    The delivery of sub-micron droplets of dilute polymer solutions to a heated substrate by electrospray deposition (ESD) enables precisely controlled and continuous growth of block copolymer (BCP) thin films. Here we explore patterned deposition of BCP films by spatially varying the electric field at the substrate using an underlying charged grid, as well as film growth kinetics. Numerical analysis was performed to examine pattern fidelity by considering the trajectories of charged droplets during flight through imposed periodic field variations in the vicinity of the substrate. Our work uncovered an unexpected modality for improving the resolution of the patterning process via stronger field focusing through the use of a second oppositely charged grid beneath a primary focusing array, with an increase in highly localized droplet deposition on the intersecting nodes of the grid. Substrate coverage kinetics are considered for homopolymer deposition in the context of simple kinetic models incorporating temperature and molecular weight dependence of diffusivity. By contrast, film coverage kinetics for block copolymer depositions are additionally convoluted with preferential wetting and thickness-periodicity commensurability effects. NSF GRFP.

  9. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  10. Laser-assisted deposition of thin C60 films

    DEFF Research Database (Denmark)

    Schou, Jørgen; Canulescu, Stela; Fæster, Søren

    Metal and metal oxide films with controlled thickness from a fraction of a monolayer up more than 1000 nm and known stoichiometry can be produced by pulsed laser deposition (PLD) relatively easily, and (PLD) is now a standard technique in all major research laboratories within materials science...... of the matrix material, anisole, with a concentration of 0.67 wt% C60. At laser fluences below 1.5 J/cm2, a dominant fraction of the film molecules are C60 transferred to the substrate without any fragmentation. High-resolution SEM images of MAPLE deposited films reveal large circular features on the surface...

  11. Defect prevention in silica thin films synthesized using AP-PECVD for flexible electronic encapsulation

    NARCIS (Netherlands)

    Elam, F. M.; Starostin, S. A.; Meshkova, A. S.; van der Velden, B. C. A. M.; van de Sanden, M. C. M.; de Vries, H. W.

    2017-01-01

    Industrially and commercially relevant roll-to-roll atmospheric pressure-plasma enhanced chemical vapour deposition was used to synthesize smooth, 80 nm silica-like bilayer thin films comprising a dense ‘barrier layer’ and comparatively porous ‘buffer layer’ onto a flexible polyethylene 2,6

  12. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  13. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    International Nuclear Information System (INIS)

    Pigois-Landureau, E.; Nicolau, Y.F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3 endash 4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces. copyright 1996 American Institute of Physics

  14. Mechanisms of oxygen permeation through plastic films and barrier coatings

    Science.gov (United States)

    Wilski, Stefan; Wipperfürth, Jens; Jaritz, Montgomery; Kirchheim, Dennis; Mitschker, Felix; Awakowicz, Peter; Dahlmann, Rainer; Hopmann, Christian

    2017-10-01

    Oxygen and water vapour permeation through plastic films in food packaging or other applications with high demands on permeation are prevented by inorganic barrier films. Most of the permeation occurs through small defects (visualized by etching with reactive oxygen in a capacitively coupled plasma and subsequent SEM imaging. In this work, defects in SiO x -coatings deposited by plasma-enhanced chemical vapour deposition on polyethylene terephthalate (PET) are investigated and the mass transport through the polymer is simulated in a 3D approach. Calculations of single defects showed that there is no linear correlation between the defect area and the resulting permeability. The influence of adjacent defects in different distances was observed and led to flow reduction functions depending on the defect spacing and defect area. A critical defect spacing where no interaction between defects occurs was found and compared to other findings. According to the superposition principle, the permeability of single defects was added up and compared to experimentally determined oxygen permeation. The results showed the same trend of decreasing permeability with decreasing defect densities.

  15. Tribological behavior of in situ Ag nanoparticles/polyelectrolyte composite molecular deposition films

    International Nuclear Information System (INIS)

    Guo Yanbao; Wang Deguo; Liu Shuhai

    2010-01-01

    Multilayer polyelectrolyte films containing silver ions were obtained by molecular deposition method on a glass plate or a quartz substrate. The in situ Ag nanoparticles were synthesized in the multilayer polyelectrolyte films which were put into fresh NaBH 4 aqueous solution. The structure and surface morphology of composite molecular deposition films were observed by UV-vis spectrophotometer, X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). Tribological characteristic was investigated by AFM and micro-tribometer. It was found that the in situ Ag nanoparticles/polyelectrolyte composite molecular deposition films have lower coefficient of friction and higher anti-wear life than pure polyelectrolyte molecular deposition films.

  16. PVD processes of thin films deposition using Hall-current discharge

    International Nuclear Information System (INIS)

    Svadkovskij, I.V.

    2007-01-01

    Results of research and developments in the field of PVD processes of thin films deposition using Hall-current discharge have been summarized. Effects of interaction of ions with surface during deposition have been considered. Also features of application and prospects of devices based on ion beam and magnetron sputtering systems in thin films technologies have been analyzed. The aspects in the field plasma physics, technology and equipment plasma PVD processes of thin films deposition have been systematized, on the base of investigations made by author and other scientists. (authors)

  17. Characterization of superconducting thin films deposited by laser ablation. Caracterisation de films minces supraconducteurs deposes par ablation laser

    Energy Technology Data Exchange (ETDEWEB)

    Sentis, M; Delaporte, P [I.M.F.M., 13 - Marseille (FR); Gerri, M; Marine, W [Aix-Marseille-2 Univ., 13-Marseille (FR). Centre Universitaire de Luminy

    1991-05-01

    Thin films of YBa{sub 2}Cu{sub 3}O{sub 7} are deposited by laser ablation on MgO and YSZ substrates. Deposits by infrared (I.R.) Nd: YAG are non stoechiometric. The films having the best superconductor qualities are deposited by ablation with an excimer U.V. laser ({lambda} = 308 nm). These films are epitaxiated with the c axis perpendicular to the substrate. The film quality depends on the substrate temperature, oxygen pressure and cooling speed.

  18. Aerosol deposition of Ba0.8Sr0.2TiO3 thin films

    Directory of Open Access Journals (Sweden)

    Branković Zorica

    2009-01-01

    Full Text Available In this work we optimized conditions for aerosol deposition of homogeneous, nanograined, smooth Ba0.8Sr0.2TiO3 thin films. Investigation involved optimization of deposition parameters, namely deposition time and temperature for different substrates. Solutions were prepared from titanium isopropoxide, strontium acetate and barium acetate. Films were deposited on Si (1 0 0 or Si covered by platinum (Pt (1 1 1 /Ti/SiO2/Si. Investigation showed that the best films were obtained at substrate temperature of 85ºC. After deposition films were slowly heated up to 650ºC, annealed for 30 min, and slowly cooled. Grain size of BST films deposited on Si substrate were in the range 40-70 nm, depending on deposition conditions, while the same films deposited on Pt substrates showed mean grain size in the range 35-50 nm. Films deposited under optimal conditions were very homogeneous, crackfree, and smooth with rms roughness lower than 4 nm for both substrates.

  19. Characterization of TiO{sub 2} thin films obtained by metal-organic chemical vapour deposition; Caracterizacao de filmes finos de TiO{sub 2} obtidos por deposicao quimica em fase vapor

    Energy Technology Data Exchange (ETDEWEB)

    Carriel, Rodrigo Crociati

    2015-07-01

    Titanium dioxide (TiO{sub 2}) thin films were grown on silicon substrate (100) by MOCVD process (chemical deposition of organometallic vapor phase). The films were grown at 400, 500, 600 and 700 ° C in a conventional horizontal equipment. Titanium tetraisopropoxide was used as source of both oxygen and titanium. Nitrogen was used as carrier and purge gas. X-ray diffraction technique was used for the characterization of the crystalline structure. Scanning electron microscopy with field emission gun was used to evaluate the morphology and thickness of the films. The films grown at 400 and 500°C presented anatase phase. The film grown at 600ºC presented rutile besides anatase phase, while the film grown at 700°C showed, in addition to anatase and rutile, brookite phase. In order to evaluate the electrochemical behavior of the films cyclic voltammetry technique was used. The tests revealed that the TiO2 films formed exclusively by the anatase phase exhibit strong capacitive character. The anodic current peak is directly proportional to the square root of the scanning rate for films grown at 500ºC, suggesting that linear diffusion is the predominant mechanism of cations transport. It was observed that in the film grown during 60 minutes the Na+ ions intercalation and deintercalation easily. The films grown in the other conditions did not present the anodic current peak, although charge was accumulated in the film. (author)

  20. Direct-current substrate bias effects on amorphous silicon sputter-deposited films for thin film transistor fabrication

    International Nuclear Information System (INIS)

    Jun, Seung-Ik; Rack, Philip D.; McKnight, Timothy E.; Melechko, Anatoli V.; Simpson, Michael L.

    2005-01-01

    The effect that direct current (dc) substrate bias has on radio frequency-sputter-deposited amorphous silicon (a-Si) films has been investigated. The substrate bias produces a denser a-Si film with fewer defects compared to unbiased films. The reduced number of defects results in a higher resistivity because defect-mediated conduction paths are reduced. Thin film transistors (TFTs) that were completely sputter deposited were fabricated and characterized. The TFT with the biased a-Si film showed lower leakage (off-state) current, higher on/off current ratio, and higher transconductance (field effect mobility) than the TFT with the unbiased a-Si film

  1. Sputter deposition of tantalum-nitride films on copper using an rf-plasma

    International Nuclear Information System (INIS)

    Walter, K.C.; Fetherston, R.P.; Sridharan, K.; Chen, A.; Shamim, M.M.; Conrad, J.R.

    1994-01-01

    A tantalum-nitride film was successfully deposited at ambient temperature on copper with a modified ion-assisted-deposition (IAD) technique. The process uses an argon and nitrogen plasma to sputter deposit from a tantalum rf-cathode and ion implant the deposited film simultaneously. Both argon and nitrogen ions are used for sputtering and ion implantation. Auger spectroscopy and x-ray diffraction were used to characterize the resulting film

  2. Properties of pulsed laser deposited NiO/MWCNT thin films

    CSIR Research Space (South Africa)

    Yalisi, B

    2011-05-01

    Full Text Available Pulsed laser deposition (PLD) is a thin-film deposition technique, which uses short and intensive laser pulses to evaporate target material. The technique has been used in this work to produce selective solar absorber (SSA) thin film composites...

  3. Stress anisotropy and stress gradient in magnetron sputtered films with different deposition geometries

    International Nuclear Information System (INIS)

    Zhao, Z.B.; Yalisove, S.M.; Bilello, J.C.

    2006-01-01

    Mo films were deposited via magnetron sputtering with two different deposition geometries: dynamic deposition (moving substrate) and static deposition (fixed substrate). The residual stress and structural morphologies of these films were investigated, with particular focus on in-plane anisotropy of the biaxial stress and stress gradient across the film thickness. The results revealed that the Mo films developed distinct states of residual stress, which depended on both deposition geometry and film thickness. With the dynamic geometry, the Mo films generally exhibited anisotropic stress. Both the degree of anisotropy and the magnitude of stress varied as functions of film thickness. The variation of stress was linked to the evolution of anisotropic microstructures in the films. The Mo films from the static geometry developed isotropic residual stress, which was more compressive and noticeably larger in magnitude than that of the Mo films from the dynamic geometry. Aside from these disparities, the two types of Mo films (i.e., anisotropic and isotropic) exhibited notably similar trends of stress variation with film thickness. Depth profiling indicated the presence of large stress gradients for the Mo films, irrespective of the deposition geometries. This observation seems to be consistent with the premise that Mo films develop a zone T structure, which is inherently inhomogeneous along the film thickness. Moreover, the largest stress gradient for both types of deposition geometries arises at roughly the same film depth (∼240 nm from substrate), where the stresses sharply transits from highly compressive to less compressive or even tensile. This appears to correspond to the boundary region that separates two distinct stages of microstructural evolution, a feature unique to zone T-type structure

  4. Structure and properties of TiC, VC, and TiC/VC thin films deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Krzanowski, J.E.; Leuchtner, R.E.

    1996-01-01

    A study has been conducted on the mechanical, tribological and chemical properties of pulsed laser deposited (PLD) TiC, VC and TiC/VC thin films. The TiC films were deposited at 375 C and 5 mTorr Ar, while the TiC/VC films were deposited from a composite target at 475 C at pressures of base vacuum and 50 mTorr Ar. XRD analysis revealed the films had the expected B1 structure, although XPS analysis showed a significant oxygen content. Tribological studies were conducted using a ball-on-disk test, and the wear behavior depended on the surface condition and film composition. One TiC/VC film exhibited little wear but caused significant ball wear, indicating mixed carbide films are promising candidates for wear-resistant coatings

  5. Polymorphous silicon thin films produced in dusty plasmas: application to solar cells

    International Nuclear Information System (INIS)

    Roca i Cabarrocas, Pere; Chaabane, N; Kharchenko, A V; Tchakarov, S

    2004-01-01

    We summarize our current understanding of the optimization of PIN solar cells produced by plasma enhanced chemical vapour deposition from silane-hydrogen mixtures. To increase the deposition rate, the discharge is operated under plasma conditions close to powder formation, where silicon nanocrystals contribute to the deposition of so-called polymorphous silicon thin films. We show that the increase in deposition rate can be achieved via an accurate control of the plasma parameters. However, this also results in a highly defective interface in the solar cells due to the bombardment of the P-layer by positively charged nanocrystals during the deposition of the I-layer. We show that decreasing the ion energy by increasing the total pressure or by using silane-helium mixtures allows us to increase both the deposition rate and the solar cells efficiency, as required for cost effective thin film photovoltaics

  6. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  7. Polycrystalline thin films of antimony selenide via chemical bath deposition and post deposition treatments

    International Nuclear Information System (INIS)

    Rodriguez-Lazcano, Y.; Pena, Yolanda; Nair, M.T.S.; Nair, P.K.

    2005-01-01

    We report a method for obtaining thin films of polycrystalline antimony selenide via chemical bath deposition followed by heating the thin films at 573 K in selenium vapor. The thin films deposited from chemical baths containing one or more soluble complexes of antimony, and selenosulfate initially did not show X-ray diffraction (XRD) patterns corresponding to crystalline antimony selenide. Composition of the films, studied by energy dispersive X-ray analyses indicated selenium deficiency. Heating these films in presence of selenium vapor at 573 K under nitrogen (2000 mTorr) resulted in an enrichment of Se in the films. XRD peaks of such films matched Sb 2 Se 3 . Evaluation of band gap from optical spectra of such films shows absorption due to indirect transition occurring in the range of 1-1.2 eV. The films are photosensitive, with dark conductivity of about 2 x 10 -8 (Ω cm) -1 and photoconductivity, about 10 -6 (Ω cm) -1 under tungsten halogen lamp illumination with intensity of 700 W m -2 . An estimate for the mobility life time product for the film is 4 x 10 -9 cm 2 V -1

  8. Magnetism variations and susceptibility hysteresis at the metal-insulator phase transition temperature of VO2 in a composite film containing vanadium and tungsten oxides

    CSIR Research Space (South Africa)

    Akande, AA

    2015-02-01

    Full Text Available We report on the magnetic property of 0.67–WO3+0.33–VOx mixture film deposit on the corning glass substrate using the chemical sol–gel and atmospheric pressure chemical vapour deposition (APCVD) methods. The XRD and Raman spectroscopy confirm...

  9. Characterization of chemically deposited Ag/sub 2/S thin films

    International Nuclear Information System (INIS)

    Choudhury, M.G.M.; Rahman, M.M; Shahjahan, M.; Hossain, M.S.; Muhibbullah, M.; Uddin, M.A.; Banu, D.A.

    2001-01-01

    Silver Sulphide (Ag/sub 2/S) thin films were prepared by the chemical deposition method on glass substrates. Films of different thickness were deposited at room temperature. The films obtained were found to the uniform, pin-hole free and strongly adherent to the substrates. Films were characterized by X-$D, Hall effect, dc conductivity, thermoelectric power and optical measurements. X-RD revealed that as deposited films are amorphous with some microcrystalline structure. Hall effect measurement shows that the material deposited is n-type semiconductor with carrier concentration of the order of 10/sup 14/ cm/sup -3/. The dc dark conductivity shows two distinct conduction regions. The conductivity increases quite sharply above a transition temperature. Tt and below Tt the conductivity is weakly activated process with hopping via localized states. Above Tt the activation energy is quite high and the conduction may be due to impurity states to extended states. From the nature of variation of thermoelectric power with temperature it was found that in this material the position of Fermi level lie above the conduction band for thicker films and below the conduction band for relatively thinner films. The optical band gap of the films has been calculated from the transmittance spectra. The evaluated optical band gap E/sup opt/ was found to be about 1.1 eV and the value do not change much with film thickness. The refractive index, extinction coefficient and dielectric constants have also been evaluated from the transmission measurements. (author)

  10. Structural and electrical properties of Ta2O5 thin films prepared by ...

    Indian Academy of Sciences (India)

    The dielectric constant and leakage current density of the Ta2O5 thin films increase with increasing powers of the UV- lamps. Effects of UV- lamp powers on the structural and electrical properties were discussed. Keywords. Chemical vapour deposition processes; oxides; dielectric material; MOS capacitor. 1. Introduction.

  11. Coating material innovation in conjunction with optimized deposition technologies

    International Nuclear Information System (INIS)

    Stolze, M.; Leitner, K.

    2009-01-01

    Concentrating on physical vapour deposition methods several examples of recently developed coating materials for optical applications were studied for film deposition with optimized coating technologies: mixed evaporation materials for ion assisted deposition with modern plasma ion sources, planar metal and oxide sputter targets for Direct Current (DC) and Mid-Frequency (MF) pulsed sputter deposition and planar and rotatable sputter targets of transparent conductive oxides (TCO) for large-area sputter deposition. Films from specially designed titania based mixed evaporation materials deposited with new plasma ion sources and possible operation with pure oxygen showed extended ranges of the ratio between refractive index and structural film stress, hence there is an increased potential for the reduction of the total coating stress in High-Low alternating stacks and for coating plastics. DC and MF-pulsed sputtering of niobium metal and suboxide targets for optical coatings yielded essential benefits of the suboxide targets in a range of practical coating conditions (for absent in-situ post-oxidation ability): higher refractive index and deposition rate, better reproducibility and easier process control, and the potential for co-deposition of several targets. Technological progress in the manufacture of rotatable indium tin oxide (ITO) targets with regard to higher wall-thickness and density was shown to be reflected in higher material stock and coater up-time, economical deposition rates and stable process behaviour. Both for the rotatable ITO targets and higher-dense aluminum-doped zinc oxide (AZO) planar targets values of film transmittance and resistivity were in the range of the best values industrially achieved for films from the respective planar targets. The results for the rotatable ITO and planar AZO targets point to equally optimized process and film properties for the optimized rotatable AZO targets currently in testing

  12. Effects of surface deposition and droplet injection on film cooling

    International Nuclear Information System (INIS)

    Wang, Jin; Cui, Pei; Vujanović, Milan; Baleta, Jakov; Duić, Neven; Guzović, Zvonimir

    2016-01-01

    Highlights: • Cooling effectiveness is significantly affected by the deposition size. • Coverage area for model without mist is reduced by increasing the deposition height. • Wall temperature is decreased by 15% with 2% mist injection. • Cooling coverage is increased by more than three times with 2% mist injection. • Cooling effectiveness for mist models is improved by increasing deposition height. - Abstract: In the present research, the influence of the particle dispersion onto the continuous phase in film cooling application was analysed by means of numerical simulations. The interaction between the water droplets and the main stream plays an important role in the results. The prediction of two-phase flow is investigated by employing the discrete phase model (DPM). The results present heat transfer characteristics in the near-wall region under the influence of mist cooling. The local wall temperature distribution and film cooling effectiveness are obtained, and results show that the film cooling characteristics on the downstream wall are affected by different height of surface deposits. It is also found that smaller deposits without mist injection provide a lower wall temperature and a better cooling performance. With 2% mist injection, evaporation of water droplets improves film cooling effectiveness, and higher deposits cause lateral and downstream spread of water droplets. The results indicate that mist injection can significantly enhance film cooling performance.

  13. Physical properties of chemically deposited Bi{sub 2}S{sub 3} thin films using two post-deposition treatments

    Energy Technology Data Exchange (ETDEWEB)

    Moreno-García, H., E-mail: hamog@ier.unam.mx [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico)

    2014-08-30

    Highlights: • The post-deposition treatment by Ar plasma is a viable alternative to enhance the optical, electrical, morphological and structural properties of Bi{sub 2}S{sub 3} semiconductor thin films. • The plasma treatment avoids the loss in thickness of the chemically deposited Bi{sub 2}S{sub 3} thin films. • The E{sub g} values were 1.60 eV for the thermally annealed samples and 1.56 eV for the Ar plasma treated samples. • The highest value obtained for the electrical conductivity was 7.7 × 10{sup −2} (Ω cm){sup −1} in plasma treated samples. - Abstract: As-deposited bismuth sulfide (Bi{sub 2}S{sub 3}) thin films prepared by chemical bath deposition technique were treated with thermal annealed in air atmosphere and argon AC plasma. The as-deposited, thermally annealing and plasma treatment Bi{sub 2}S{sub 3} thin films have been characterized by X-ray diffraction (XRD) analysis, atomic force microscopy analysis (AFM), transmission, specular reflectance and electrical measurements. The structural, morphological, optical and electrical properties of the films are compared. The XRD analysis showed that both post-deposition treatments, transform the thin films from amorphous to a crystalline phase. The atomic force microscopy (AFM) measurement showed a reduction of roughness for the films treated in plasma. The energy band gap value of the as-prepared film was E{sub g} = 1.61 eV, while for the film thermally annealed was E{sub g} = 1.60 eV and E{sub g} = 1.56 eV for film treated with Plasma. The electrical conductivity under illumination of the as-prepared films was 3.6 × 10{sup −5} (Ω cm){sup −1}, whereas the conductivity value for the thermally annealed films was 2.0 × 10{sup −3} (Ω cm){sup −1} and for the plasma treated films the electrical conductivity increases up to 7.7 × 10{sup −2} (Ω cm){sup −1}.

  14. Pulsed laser deposition of YBCO films on ISD MgO buffered metal tapes

    CERN Document Server

    Ma, B; Koritala, R E; Fisher, B L; Markowitz, A R; Erck, R A; Baurceanu, R; Dorris, S E; Miller, D J; Balachandran, U

    2003-01-01

    Biaxially textured magnesium oxide (MgO) films deposited by inclined-substrate deposition (ISD) are desirable for rapid production of high-quality template layers for YBCO-coated conductors. High-quality YBCO films were grown on ISD MgO buffered metallic substrates by pulsed laser deposition (PLD). Columnar grains with a roof-tile surface structure were observed in the ISD MgO films. X-ray pole figure analysis revealed that the (002) planes of the ISD MgO films are tilted at an angle from the substrate normal. A small full-width at half maximum (FWHM) of approx 9deg was observed in the phi-scan for ISD MgO films deposited at an inclination angle of 55deg . In-plane texture in the ISD MgO films developed in the first approx 0.5 mu m from the substrate surface, and then stabilized with further increases in film thickness. Yttria-stabilized zirconia and ceria buffer layers were deposited on the ISD MgO grown on metallic substrates prior to the deposition of YBCO by PLD. YBCO films with the c-axis parallel to the...

  15. Deposition and characterization of aluminum magnesium boride thin film coatings

    Science.gov (United States)

    Tian, Yun

    Boron-rich borides are a special group of materials possessing complex structures typically comprised of B12 icosahedra. All of the boron-rich borides sharing this common structural unit exhibit a variety of exceptional physical and electrical properties. In this work, a new ternary boride compound AlMgB14, which has been extensively studied in bulk form due to its novel mechanical properties, was fabricated into thin film coatings by pulsed laser deposition (PLD) technology. The effect of processing conditions (laser operating modes, vacuum level, substrate temperature, and postannealing, etc.) on the composition, microstructure evolution, chemical bonding, and surface morphology of AlMgB14 thin film coatings has been investigated by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), atomic force microscopy (AFM) and Fourier transform infrared (FTIR) spectrometry; the mechanical, electrical, and optical properties of AlMgB14 thin films have been characterized by nanoindentation, four-point probe, van der Pauw Hall measurement, activation energy measurement, and UV-VIS-NIR spectrophotometer. Experimental results show that AlMgB14 films deposited in the temperature range of 300 K - 873 K are amorphous. Depositions under a low vacuum level (5 x 10-5 Torr) can introduce a significant amount of C and O impurities into AlMgB14 films and lead to a complex oxide glass structure. Orthorhombic AlMgB14 phase cannot be obtained by subsequent high temperature annealing. By contrast, the orthorhombic AlMgB 14 crystal structure can be attained via high temperature-annealing of AlMgB14 films deposited under a high vacuum level (boride films, high vacuum level-as deposited AlMgB14 films also possess a low n-type electrical resistivity, which is a consequence of high carrier concentration and moderate carrier mobility. The operative electrical transport mechanism and doping behavior for high vacuum level-as deposited AlMgB14

  16. Quality improvement of organic thin films deposited on vibrating substrates

    Energy Technology Data Exchange (ETDEWEB)

    Paredes, Y.A.; Caldas, P.G.; Prioli, R.; Cremona, M., E-mail: cremona@fis.puc-rio.br

    2011-12-30

    Most of the Organic Light-Emitting Diodes (OLEDs) have a multilayered structure composed of functional organic layers sandwiched between two electrodes. Thin films of small molecules are generally deposited by thermal evaporation onto glass or other rigid or flexible substrates. The interface state between two organic layers in OLED device depends on the surface morphology of the layers and affects deeply the OLED performance. The morphology of organic thin films depends mostly on substrate temperature and deposition rate. Generally, the control of the substrate temperature allows improving the quality of the deposited films. For organic compounds substrate temperature cannot be increased too much due to their poor thermal stability. However, studies in inorganic thin films indicate that it is possible to modify the morphology of a film by using substrate vibration without increasing the substrate temperature. In this work, the effect of the resonance vibration of glass and silicon substrates during thermal deposition in high vacuum environment of tris(8-quinolinolate)aluminum(III) (Alq{sub 3}) and N,N Prime -Bis(naphthalene-2-yl)-N,N Prime -bis(phenyl)-benzidine ({beta}-NPB) organic thin films with different deposition rates was investigated. The vibration used was in the range of hundreds of Hz and the substrates were kept at room temperature during the process. The nucleation and subsequent growth of the organic films on the substrates have been studied by atomic force microscopy technique. For Alq{sub 3} and {beta}-NPB films grown with 0.1 nm/s as deposition rate and using a frequency of 100 Hz with oscillation amplitude of some micrometers, the results indicate a reduction of cluster density and a roughness decreasing. Moreover, OLEDs fabricated with organic films deposited under these conditions improved their power efficiency, driven at 4 mA/cm{sup 2}, passing from 0.11 lm/W to 0.24 lm/W with an increase in their luminance of about 352 cd/m{sup 2

  17. Deposition of SiC thin films by PECVD

    CERN Document Server

    Cho, N I; Kim, C K

    1999-01-01

    The SiC films were deposited on Si substrate by the decomposition of CH sub 3 SiCl sub 3 (methylthrichlorosilane) molecules in a high frequency discharge field. From the Raman spectra, it is conjectured that the deposited film are formed into the polycrystalline structure. The photon absorption measurement reveal that the band gap of the electron energy state are to be 2.4 eV for SiC, and 2.6 eV for Si sub 0 sub . sub 4 C sub 0 sub . sub 6 , respectively. In the high power density regime, methyl-radicals decompose easily and increases the carbon concentration in plasma and result in the growing films.

  18. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  19. Iron, nitrogen and silicon doped diamond like carbon (DLC) thin films: A comparative study

    Energy Technology Data Exchange (ETDEWEB)

    Ray, Sekhar C., E-mail: Raysc@unisa.ac.za [Department of Physics, College of Science, Engineering and Technology, University of South Africa, Private Bag X6, Florida, 1710, Science Campus, Christiaan de Wet and Pioneer Avenue, Florida Park, Johannesburg (South Africa); Pong, W.F. [Department of Physics, Tamkang University, Tamsui 251, New Taipei City, Taiwan (China); Papakonstantinou, P. [Nanotechnology and Integrated Bio-Engineering Centre, University of Ulster, Shore Road, Newtownabbey BT37 0QB (United Kingdom)

    2016-07-01

    The X-ray absorption near edge structure (XANES), X-ray photoelectron spectroscopy (XPS), valence band photoemission (VB-PES) and Raman spectroscopy results show that the incorporation of nitrogen in pulsed laser deposited diamond like carbon (DLC) thin films, reverts the sp{sup 3} network to sp{sup 2} as evidenced by an increase of the sp{sup 2} cluster and I{sub D}/I{sub G} ratio in C K-edge XANES and Raman spectra respectively which reduces the hardness/Young's modulus into the film network. Si-doped DLC film deposited in a plasma enhanced chemical vapour deposition process reduces the sp{sup 2} cluster and I{sub D}/I{sub G} ratio that causes the decrease of hardness/Young's modulus of the film structure. The Fe-doped DLC films deposited by dip coating technique increase the hardness/Young's modulus with an increase of sp{sup 3}-content in DLC film structure. - Highlights: • Fe, N and Si doped DLC films deposited by dip, PLD and PECVD methods respectively • DLC:Fe thin films have higher hardness/Young's modulus than DLC:N(:Si) thin films. • sp{sup 3} and sp{sup 2} contents are estimated from C K-edge XANES and VB-PES measurements.

  20. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid; Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India); Husain, Mushahid, E-mail: mush-reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India)

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current density of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.

  1. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  2. Transport Properties of LCMO Granular Films Deposited by the Pulsed Electron Deposition Technique

    Institute of Scientific and Technical Information of China (English)

    CHEN Leiming; XU Bin; ZHANG Yan; CHEN Zhenping

    2011-01-01

    By finely controlling the deposition parameters in the pulsed electron deposition process,granular La2/3Ca1/3MnO3 (LCMO) film was grown on silicon substrates.The substrate temperature,ambient pressure in the deposition chamber and acceleration potential for the electron beam were all found to affect the grain size of the film,resulting in different morphologies of the samples.Transport properties of the obtained granular films,especially the magnetoresistance (MR),were studied.Prominent low-field MR was observed in all samples,indicating the forming of grain boundaries in the sample.The low-field MR show great sensitive to the morphology evolution,which reaches the highest value of about 40% for the sample with the grain size of about 250 nm.More interestingly,positive-MR (p-MR) was also detected above 300 K when low magnetic field applying,whereas it disappeared with higher magnetic field applied up to 1.5 and 2 Tesla.Instead of the spinpolarized tunneling process being commonly regarded as a responsible reason,lattice mismatch between LCMO film and silicon substrate appears to be the origin of the p-MR

  3. Progress on sputter-deposited thermotractive titanium-nickel films

    International Nuclear Information System (INIS)

    Grummon, D.S.; Hou Li; Zhao, Z.; Pence, T.J.

    1995-01-01

    It is now well established that titanium-nickel alloys fabricated as thin films by physical vapor deposition can display the same transformation and shape-memory effects as their ingot-metallurgy counterparts. As such they may find important application to microelectromechanical and biomechanical systems. Furthermore, we show here that titanium-nickel films may be directly processed so as to possess extremely fine austenite grain size and very high strength. These films display classical transformational superelasticity, including high elastic energy storage capacity, the expected dependence of martensite-start temperature on transformation enthalpy, and large, fully recoverable anelastic strains at temperatures above A f . Processing depends on elevated substrate temperatures during deposition, which may be manipulated within a certain range to control both grain size and crystallographic texture. It is also possible to deposit crystalline titanium-nickel films onto polymeric substrates, making them amenable to lithographic patterning into actuator elements that are well-suited to electrical excitation of the martensite reversion transformation. Finally, isothermal annealing of nickel-rich films, under conditions of controlled extrinsic residual stress, leads to topotaxial orientation of Ni 4 Ti 3 -type precipitates, and the associated possibility of two-way memory effects. Much work remains to be done, especially with respect to precise control of composition. (orig.)

  4. Plume-induced stress in pulsed-laser deposited CeO2 films

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Budai, J.D.; Pennycook, S.J.; Prouteau, C.

    1999-01-01

    Residual compressive stress due to plume-induced energetic particle bombardment in CeO 2 films deposited by pulsed-laser deposition is reported. For laser ablation film growth in low pressures, stresses as high as 2 GPa were observed as determined by substrate curvature and four-circle x-ray diffraction. The amount of stress in the films could be manipulated by controlling the kinetic energies of the ablated species in the plume through gas-phase collisions with an inert background gas. The film stress decreased to near zero for argon background pressures greater than 50 mTorr. At these higher background pressures, the formation of nanoparticles in the deposited film was observed. copyright 1999 American Institute of Physics

  5. Synthesis of LSM films deposited by dip-coating on YSZ substrate

    International Nuclear Information System (INIS)

    Conceicao, Leandro da; Souza, Mariana M.V.M.; Ribeiro, Nielson F.P.

    2010-01-01

    The dip-coating process was used to deposit films of La 0.7 Sr 0. 3MnO 3 (LSM) used as cathode in solid oxide fuel cells (SOFC). In this study we evaluated the relationship between the deposition parameters such as speed of withdrawal and number of deposited layers of LSM film on a substrate of 8% YSZ commercial, and structural properties, such as thickness and formation of cracks. The structure and morphology of the films were characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM). With parameters set the film had good adhesion to the substrate with a thickness around 10 μm, showing possible adherence problems when more than one layer is deposited on the substrate. (author)

  6. Biomaterial thin film deposition and characterization by means of MAPLE technique

    International Nuclear Information System (INIS)

    Bloisi, F.; Vicari, L.; Papa, R.; Califano, V.; Pedrazzani, R.; Bontempi, E.; Depero, L.E.

    2007-01-01

    Polyethylene glycol (PEG) is a polymer with technologically important applications, especially as a biomaterial. Several biomedical applications (such as tissue engineering, spatial patterning of cells, anti-biofouling and biocompatible coatings) require the application of high quality PEG thin films. In order to have a good adhesion to substrate chemically modified polymer molecules have been used, but for some 'in vivo' applications it is essential to deposit a film with the same chemical and structural properties of bulk PEG. Pulsed laser deposition (PLD) technique is generally able to produce high quality thin films but it is inadequate for polymer/organic molecules. MAPLE (Matrix Assisted Pulsed Laser Evaporation) is a recently developed PLD based thin film deposition technique, particularly well suited for organic/polymer thin film deposition. Up to now MAPLE depositions have been carried out mainly by means of modified PLD systems, using excimer lasers operating in UV, but the use of less energetic radiations can minimize the photochemical decomposition of the polymer molecules. We have used a deposition system explicitly designed for MAPLE technique connected to a Q-switched Ng:YAG pulsed laser which can be operated at different wavelength ranging from IR to UV in order to optimise the deposition parameters. The capability of MAPLE technique to deposit PEG has been confirmed and preliminary results show that visible (532 nm wavelength) radiation gives better results with respect to UV (355 nm) radiation. Despite usually UV wavelengths have been used and even if more systematic tests must be performed, it is important to underline that the choice of laser wavelength plays an important role in the application of MAPLE thin film deposition technique

  7. CdS thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A.; Krishnan, B.; Avellaneda, D.; Castillo, G.A.; Das Roy, T.K.; Shaji, S.

    2015-01-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties

  8. CdS thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G.A.; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2015-05-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties.

  9. Nanomechanical properties of GaSe thin films deposited on Si(1 1 1) substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Jian, Sheng-Rui; Juang, Jenh-Yih; Luo, Chih-Wei; Ku, Shin-An; Wu, Kaung-Hsiung

    2012-01-01

    Highlights: ► GaSe thin films are grown by PLD. ► Structural properties of GaSe thin films are measured by XRD. ► Hardness and Young’s modulus of GaSe thin films are measured by nanoindentation. - Abstract: The correlations between the crystalline structure and mechanical properties of GaSe thin films were investigated by means of X-ray diffraction (XRD) and nanoindentation techniques. The GaSe thin films were deposited on Si(1 1 1) substrates deposited at various deposition temperatures using pulsed laser deposition (PLD). The XRD results indicate that all the GaSe thin films are pure hexagonal phase with highly (0 0 0 l)-oriented characteristics. Nanoindentation results revealed apparent discontinuities (so-called multiple “pop-in” events) in the load-displacement curve, while no discontinuity was observed in the unloading segment of the load-displacement curve. The hardness and Young’s modulus of GaSe thin films determined by the continuous stiffness measurements (CSM) method indicated that both mechanical parameters increased with the increasing deposition temperature with the hardness and the Young’s modulus being increased from 1.2 ± 0.1 to 1.8 ± 0.1 GPa and from 39.6 ± 1.2 to 68.9 ± 2.7 GPa, respectively, as the deposition temperature was raised from 400 to 475 °C. These results suggest that the increased grain size might have played a prominent role in determining the mechanical properties of the PLD-derived GaSe thin films.

  10. High-quality AlN films grown on chemical vapor-deposited graphene films

    Directory of Open Access Journals (Sweden)

    Chen Bin-Hao

    2016-01-01

    Full Text Available We report the growth of high-quality AlN films on graphene. The graphene films were synthesized by CVD and then transferred onto silicon substrates. Epitaxial aluminum nitride films were deposited by DC magnetron sputtering on both graphene as an intermediate layer and silicon as a substrate. The structural characteristics of the AlN films and graphene were investigated. Highly c-axis-oriented AlN crystal structures are investigated based on the XRDpatterns observations.

  11. Low-pressure chemical vapour deposition of LiCoO2 thin films: a systematic investigation of the deposition parameters

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    The feasibility of volatile precursor low-pressure chemical vapor deposition (LPCVD) for the production of LiCoO2 cathodes for all solid-state microbatteries was examined. To test this feasibility, and gain insight into the deposition behavior, the influence of the deposition parameters on the

  12. Microstructure and phase composition of sputter-deposited zirconia-yttria films

    International Nuclear Information System (INIS)

    Knoll, R.W.; Bradley, E.R.

    1983-11-01

    Thin ZrO 2 -Y 2 O 3 coatings ranging in composition from 3 to 15 mole % Y 2 O 3 were produced by rf sputter deposition. This composition range spanned the region on the equilibrium ZrO 2 -Y 2 O 3 phase diagram corresponding to partially stabilized zirconia (a mixture of tetragonal ZrO 2 and cubic solid solution). Microstructural characteristics and crystalline phase composition of as-deposited and heat treated films (1100 0 C and 1500 0 C) were determined by transmission electron microscopy (TEM) and by x-ray diffraction (XRD). Effects of substrate bias (0 approx. 250 volts), which induced ion bombardment of the film during growth, were also studied. The as-deposited ZrO 2 -Y 2 O 3 films were single phase over the composition range studied, and XRD data indicated considerable local atomic disorder in the lattice. Films produced at low bias contained intergranular voids, pronounced columnar growth, and porosity between columns. At high bias, the microstructure was denser, and films contained high compressive stress. After heat treatment, all deposits remained single phase, therefore a microstructure and precipitate distribution characteristic of toughened, partially stabilized zirconia appear to be difficult to achieve in vapor deposited zirconia coatings

  13. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    Science.gov (United States)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  14. Bioactive glass and hydroxyapatite thin films obtained by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gyorgy, E. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania) and Consejo Superior de Investigaciones Cientificas, Instituto de Ciencia de Materiales de Barcelona, Campus UAB, 08193 Bellaterra (Spain)]. E-mail: egyorgy@icmab.es; Grigorescu, S. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Socol, G. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Mihailescu, I.N. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Janackovic, D. [Faculty of Technology and Metallurgy, University of Belgrade, Karnegijeva 4, 11000 Belgrade (Serbia); Dindune, A. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Kanepe, Z. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Palcevskis, E. [Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Zdrentu, E.L. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania); Petrescu, S.M. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania)

    2007-07-31

    Bioactive glass (BG), calcium hydroxyapatite (HA), and ZrO{sub 2} doped HA thin films were grown by pulsed laser deposition on Ti substrates. An UV KrF{sup *} ({lambda} = 248 nm, {tau} {>=} 7 ns) excimer laser was used for the multi-pulse irradiation of the targets. The substrates were kept at room temperature or heated during the film deposition at values within the (400-550 deg. C) range. The depositions were performed in oxygen and water vapor atmospheres, at pressure values in the range (5-40 Pa). The HA coatings were heat post-treated for 6 h in a flux of hot water vapors at the same temperature as applied during deposition. The surface morphology, chemical composition, and crystalline quality of the obtained thin films were studied by scanning electron microscopy, atomic force microscopy, and X-ray diffractometry. The films were seeded for in vitro tests with Hek293 (human embryonic kidney) cells that revealed a good adherence on the deposited layers. Biocompatibility tests showed that cell growth was better on HA than on BG thin films.

  15. Deposition and characterization of Pt nanocluster films by means of gas aggregation cluster source

    Energy Technology Data Exchange (ETDEWEB)

    Kylián, Ondřej, E-mail: ondrej.kylian@gmail.com; Prokeš, Jan; Polonskyi, Oleksandr; Čechvala, Juraj; Kousal, Jaroslav; Pešička, Josef; Hanuš, Jan; Biederman, Hynek

    2014-11-28

    In this study we report on the deposition of Pt nanocluster films prepared by gas aggregation source that was operated with argon as working gas. The aim of this study was optimization of deposition process as well as determination of properties of deposited nanocluster films and their temporal stability. It was found that the production of Pt nanoclusters reached maximum value for pressure of 100 Pa and increases monotonously with magnetron current. The deposition rate at optimized deposition conditions was 0.7 nm of the Pt nanocluster film per second. Deposited films were porous and composed of 4 nm Pt nanoclusters. The nanoclusters were metallic and no sights of their oxidation were observed after 1 year on open air as witnessed by X-ray photoelectron spectroscopy. Regarding the electrical properties, a dramatic decrease of the resistivity was observed with increasing amount of deposited nanoclusters. This decrease saturated for the films approximately 50 nm thick. Such behavior indicates transition between different mechanisms of electrical conductivity: charge hopping for thin discontinuous films and current conduction through conducting path formed when higher amount of nanoclusters is deposited. Different mechanisms of electrical conduction for thin and thick layers of Pt were confirmed by subsequent investigation of temperature dependence of resistivity. In addition, no changes in resistivity were observed after one year on open air that confirms stability of produced Pt nanocluster films. - Highlights: • Pt nanocluster films were deposited by gas aggregation nanocluster source. • Conditions leading to effective deposition of Pt nanocluster films were found. • Deposited nanocluster films have good temporal stability. • Electrical properties of Pt films were found to depend on their thickness.

  16. Vapour and electro-deposited metal films on copper: structure and reactivity

    OpenAIRE

    McEvoy, Thomas F.

    2004-01-01

    The systems studied involve deposition of metals of a larger atomic diameter on a Cu{100} single crystal surface under vacuum and determining the structures formed along with the effect on the Cu{100} substrate. Cu microelectrodes were fabricated and characterised with Indium electrodeposited on the electrode surface. The In on Cu{ 100} growth mode is compared with the growth mode of electrodeposited Indium on Cu microelectrodes. The Cu{100}/In system has been studied for the In coverage ...

  17. Fabrication of cuprous chloride films on copper substrate by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yu-Ting; Ci, Ji-Wei; Tu, Wei-Chen [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Shen, Chin-Chang; Wu, Chih-Hung [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China)

    2015-09-30

    Polycrystalline CuCl films were fabricated by chemical bath deposition (CBD) on a Cu substrate at a low solution temperature of 90 °C. Continuous CuCl films were prepared using the copper (II) chloride (CuCl{sub 2}) compound as the precursor for both the Cu{sup 2+} and Cl{sup −} sources, together with repeated HCl dip treatments. An HCl dip pretreatment of the substrate favored the nucleation of CuCl crystallites. Further, interrupting the film deposition and including an HCl dip treatment of the film growth surface facilitated the deposition of a full-coverage CuCl film. A dual beam (FIB/SEM) system with energy dispersive spectrometry facilities attached revealed a homogeneous CuCl layer with a flat-top surface and an average thickness of about 1 μm. Both the excitonic and biexcitonic emission lines were well-resolved in the 6.4 K photoluminescence spectra. In particular, the free exciton emission line was observable at room temperature, indicating the good quality of the CuCl films prepared by CBD. - Highlights: • Cuprous chloride (CuCl) was prepared on Cu substrate by chemical bath deposition. • HCl dip treatments facilitated the deposition of a full-coverage CuCl film. • A homogeneous elemental distribution was recognized for the deposited CuCl layer. • Excitonic and biexcitonic photoluminescence lines of CuCl films were well-resolved. • The free exciton emission line of CuCl films was observable at room temperature.

  18. TiCx thin films coatings prepared by reactive sputtering

    International Nuclear Information System (INIS)

    Saoula, N.; Handa, K.; Kesri, R.

    2004-01-01

    Full text.Transition metal carbides and nitrides attract large technological interest due to their unique properties like high hardness and young modulus, high electric conductivity, a considerable high-temperature strength, high corrosion resistance and high melting or decomposition temperatures. They have been applied as coatings for tools because of their superior properties. these materials have such properties due to atomic bonding, which shows a mixed covalent, metallic and ionic character. Among these nitrides and carbides titanium carbide is a compound of particular importance. Titanium carbide combines the advantages of a high melting point (3140 celsius degree) and hardness (3000 Hv) with those of relative lightness (density 4.93 10 3 Kg m -3 ).It also exhibits high resistance to both corrosion and oxidation and a relatively low friction coefficient. Usually, TiC films are produced by deposition with plasma-enhanced CVD (PCVD), thermal chemical vapour deposition (CVD) or activated reactive evaporation methods. However, these methods require high temperature (>600 celsius degree) substrates to achieve the deposition, which sometimes causes thermal damage to the deposited films. At temperature above 550 celsius degree, thermal diffusion of materials not suitable may also occur. In addition, it has been reported that the maximum temperature which permits the appropriate coating of hardened steel tools is 500 celsius degree. Therefore, it is desirable to develop a deposition technique for TiC films using low-temperature substrates to avoid thermal damage. So, different physical vapour deposition (PVD) processes for deposition of TiC at relatively low temperatures have been used through the years. These processes are based on vaporisation of titanium in hydrocarbon atmosphere or on sputtering of solid TiC target or titanium target in hydrocarbon atmosphere. the most often-used hydrocarbon gases are methane, acetylene, ethene and ethane. In previous works, we

  19. Capillary assisted deposition of carbon nanotube film for strain sensing

    Science.gov (United States)

    Li, Zida; Xue, Xufeng; Lin, Feng; Wang, Yize; Ward, Kevin; Fu, Jianping

    2017-10-01

    Advances in stretchable electronics offer the possibility of developing skin-like motion sensors. Carbon nanotubes (CNTs), owing to their superior electrical properties, have great potential for applications in such sensors. In this paper, we report a method for deposition and patterning of CNTs on soft, elastic polydimethylsiloxane (PDMS) substrates using capillary action. Micropillar arrays were generated on PDMS surfaces before treatment with plasma to render them hydrophilic. Capillary force enabled by the micropillar array spreads CNT solution evenly on PDMS surfaces. Solvent evaporation leaves a uniform deposition and patterning of CNTs on PDMS surfaces. We studied the effect of the CNT concentration and micropillar gap size on CNT coating uniformity, film conductivity, and piezoresistivity. Leveraging the piezoresistivity of deposited CNT films, we further designed and characterized a device for the contraction force measurement. Our capillary assisted deposition method of CNT films showed great application potential in fabrication of flexible CNT thin films for strain sensing.

  20. Effect of performance of Zr-Y alloy target on thin film deposition technology

    International Nuclear Information System (INIS)

    Pan Qianfu; Liu Chaohong; Jiang Mingzhong; Yin Changgeng

    2011-01-01

    Yttria-stabilized zirconia (YSZ) films are synthesized on corrosion resistant plates by pulsed bias arc ion plating. The arc starting performance and the stability of thin film deposition is explored by improving the uniformity and compactibility of Zr-Y alloy target. The property of Zr-Y alloy target and depositional thin films were measured with the optical microscope, scanning electron microscope, X-ray diffractometer. The result shows that the target with hot rolling and annealing has a good arc starting performance and stability of thin film deposition, and the depositional thin films made of Yttria and amorphous zirconia are homogeneous and compact. (authors)

  1. Fracture Analysis of MWCNT/Epoxy Nanocomposite Film Deposited on Aluminum Substrate.

    Science.gov (United States)

    Her, Shiuh-Chuan; Chien, Pao-Chu

    2017-04-13

    Multi-walled carbon nanotube (MWCNT) reinforced epoxy films were deposited on an aluminum substrate by a hot-pressing process. Three-point bending tests were performed to determine the Young's modulus of MWCNT reinforced nanocomposite films. Compared to the neat epoxy film, nanocomposite film with 1 wt % of MWCNT exhibits an increase of 21% in the Young's modulus. Four-point-bending tests were conducted to investigate the fracture toughness of the MWCNT/epoxy nanocomposite film deposited on an aluminum substrate with interfacial cracks. Based on the Euler-Bernoulli beam theory, the strain energy in a film/substrate composite beam is derived. The difference of strain energy before and after the propagation of the interfacial crack are calculated, leading to the determination of the strain energy release rate. Experimental test results show that the fracture toughness of the nanocomposite film deposited on the aluminum substrate increases with the increase in the MWCNT content.

  2. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    Science.gov (United States)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  3. Deposition of fluorocarbon films by Pulsed Plasma Thruster on the anode side

    International Nuclear Information System (INIS)

    Zhang, Rui; Zhang, Daixian; Zhang, Fan; He, Zhen; Wu, Jianjun

    2013-01-01

    Fluorocarbon thin films were deposited by Pulsed Plasma Thruster at different angles on the anode side of the thruster. Density and velocity of the plasma in the plume of the Pulsed Plasma Thruster were determined using double and triple Langmuir probe apparatus respectively. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), scanning probe microscope (SPM) and UV–vis spectrometer. Low F/C ratio (0.64–0.86) fluorocarbon films are deposited. The F/C ratio decreases with angle increasing from 0 degree to 30 degree; however it turns to increase with angle increasing from 45 degree to 90 degree. The films deposited at center angles appear rougher compared with that prepared at angles beyond 45 degree. These films basically show having strong absorption properties for wavelength below 600 nm and having enhanced reflective characteristics. Due to the influence of the chemical composition and the surface morphology of the films, the optical properties of these films also show significant angular dependence.

  4. The structural heterogeneity and optical properties in chalcogenide glass films

    International Nuclear Information System (INIS)

    Shurgalin, Max; Fuflyigin, Vladimir N; Anderson, Emilia G

    2005-01-01

    The microscopic structure and optical properties of glassy films prepared by vapour phase deposition process from the germanium-arsenic-selenium family of chalcogenide glasses have been studied. A number of different molecular clusters or domains that can exist in the glass structure are found to play a significant role in determining the absorption characteristics and refractive index of the glass films. Modifications of the glass structure can be described by a variation of relative concentrations of the clusters and can be effected by modifications of film chemical composition and deposition conditions. Changes in absorption spectra are directly correlated with variation in relative concentrations of the structural fragments with different electronic bandgap properties. Experimental results suggest structural heterogeneity and support validity of the cluster structural model for the chalcogenide glasses

  5. Transport mechanisms and wetting dynamics in molecularly thin films of long-chain alkanes at solid/vapour interface : relation to the solid-liquid phase transition

    OpenAIRE

    Lazar, Paul

    2005-01-01

    Wetting and phase transitions play a very important role our daily life. Molecularly thin films of long-chain alkanes at solid/vapour interfaces (e.g. C30H62 on silicon wafers) are very good model systems for studying the relation between wetting behaviour and (bulk) phase transitions. Immediately above the bulk melting temperature the alkanes wet partially the surface (drops). In this temperature range the substrate surface is covered with a molecularly thin ordered, solid-like alkane film (...

  6. Topography evolution of germanium thin films synthesized by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    P. Schumacher

    2017-04-01

    Full Text Available Germanium thin films were deposited by Pulsed Laser Deposition (PLD onto single crystal Ge (100 and Si (100 substrates with a native oxide film on the surface. The topography of the surface was investigated by Atomic Force Microscopy (AFM to evaluate the scaling behavior of the surface roughness of amorphous and polycrystalline Ge films grown on substrates with different roughnesses. Roughness evolution was interpreted within the framework of stochastic rate equations for thin film growth. Here the Kardar-Parisi-Zhang equation was used to describe the smoothening process. Additionally, a roughening regime was observed in which 3-dimensional growth occurred. Diffusion of the deposited Ge adatoms controlled the growth of the amorphous Ge thin films. The growth of polycrystalline thin Ge films was dominated by diffusion processes only in the initial stage of the growth.

  7. Electrografting and morphological studies of chemical vapour deposition grown graphene sheets modified by electroreduction of aryldiazonium salts

    International Nuclear Information System (INIS)

    Mooste, Marek; Kibena, Elo; Kozlova, Jekaterina; Marandi, Margus; Matisen, Leonard; Niilisk, Ahti; Sammelselg, Väino; Tammeveski, Kaido

    2015-01-01

    Highlights: • CVD-grown graphene sheets were electrografted with various aryldiazonium salts • Redox grafting was applied to form thick nitrophenyl films • The reduction of the released radicals was in evidence during the redox grafting • Multilayer formation on CVD graphene was confirmed by XPS and AFM measurements • Thickness of different aryl layers on CVD graphene varied from few to 30 nm - Abstract: This work focuses on investigating the electrografting of chemical vapour deposition (CVD) graphene electrodes grown onto Ni foil (Ni/Gra) with different diazonium salts (including azobenzene diazonium tetrafluoroborate, Fast Garnet GBC sulphate salt, Fast Black K salt, 4-bromobenzene diazonium tetrafluoroborate and 4-nitrobenzenediazonium tetrafluoroborate). Various grafting conditions (e.g. “normal” electrografting in the narrow potential range and redox grafting in the wider potential range) were used. The electrochemical grafting behaviour was similar for all diazonium compounds used, except for the 4-nitrobenzenediazonium tetrafluoroborate when redox grafting was applied. The X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and Raman spectroscopy results confirmed the presence of the corresponding aryl layers on Ni/Gra surfaces. The formation of multilayers on Ni/Gra substrates was in evidence since the thickness of different aryl layers varied from few to 30 nm depending on the modification procedures as well as the diazonium compounds used and the XPS analysis revealed a peak at about 400 eV for all aryl-modified Ni/Gra samples suggesting the multilayer formation also through azo linkages

  8. Direct fabrication of a W-C SNS Josephson junction using focused-ion-beam chemical vapour deposition

    International Nuclear Information System (INIS)

    Dai, Jun; Kometani, Reo; Ishihara, Sunao; Warisawa, Shin’ichi; Onomitsu, Koji; Krockenberger, Yoshiharu; Yamaguchi, Hiroshi

    2014-01-01

    A tungsten-carbide (W-C) superconductor/normal metal/superconductor (SNS) Josephson junction has been fabricated using focused-ion-beam chemical vapour deposition (FIB-CVD). Under certain process conditions, the component ratio has been tuned from W: C: Ga = 26%: 66%: 8% in the superconducting wires to W: C: Ga = 14%: 79%: 7% in the metallic junction. The critical current density at 2.5 K in the SNS Josephson junction is 1/3 of that in W-C superconducting nanowire. Also, a Fraunhofer-like oscillation of critical current in the junction with four periods is observed. FIB-CVD opens avenues for novel functional superconducting nanodevices. (paper)

  9. Ultra-thin film encapsulation processes for micro-electro-mechanical devices and systems

    International Nuclear Information System (INIS)

    Stoldt, Conrad R; Bright, Victor M

    2006-01-01

    A range of physical properties can be achieved in micro-electro-mechanical systems (MEMS) through their encapsulation with solid-state, ultra-thin coatings. This paper reviews the application of single source chemical vapour deposition and atomic layer deposition (ALD) in the growth of submicron films on polycrystalline silicon microstructures for the improvement of microscale reliability and performance. In particular, microstructure encapsulation with silicon carbide, tungsten, alumina and alumina-zinc oxide alloy ultra-thin films is highlighted, and the mechanical, electrical, tribological and chemical impact of these overlayers is detailed. The potential use of solid-state, ultra-thin coatings in commercial microsystems is explored using radio frequency MEMS as a case study for the ALD alloy alumina-zinc oxide thin film. (topical review)

  10. A comparative study of CdS thin films deposited by different techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pérez-Hernández, G., E-mail: german.perez@ujat.mx [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Pantoja-Enríquez, J. [Centro de Investigación y Desarrollo Tecnológico en Energías Renovables, UNICACH, Libramiento Norte No 1150, Tuxtla Gutiérrez, Chiapas 29039 (Mexico); Escobar-Morales, B. [Instituto Tecnológico de Cancún, Avenida Kábah Km 3, Cancún, Quintana Roo 77500 (Mexico); Martinez-Hernández, D.; Díaz-Flores, L.L.; Ricardez-Jiménez, C. [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Mathews, N.R.; Mathew, X. [Centro de Investigación en Energía, Universidad Nacional Autónoma de México, Temixco, Morelos 62580 (Mexico)

    2013-05-01

    Cadmium sulfide thin-films were deposited on glass slides and SnO{sub 2}:F coated glass substrates by chemical bath deposition, sputtering and close-spaced sublimation techniques. The films were studied for the structural and opto-electronic properties after annealing in an ambient identical to that employed in the fabrication of CdTe/CdS devices. Quantum efficiency of the CdTe/CdS solar cells fabricated with CdS buffer films prepared by the three methods were investigated to understand the role of CdS film preparation method on the blue response of the devices. The higher blue response observed for the devices fabricated with chemical bath deposited CdS film is discussed. - Highlights: ► CdS films were prepared by different techniques. ► Role of CdS on the blue response of device was studied. ► Structural and optical properties of CdS were analyzed. ► Chemically deposited CdS has high blue transmittance. ► CdS deposition method influences diffusion of S and Te.

  11. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  12. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. Examples of thin film property modification by ion bombardment during deposition, including effects which are primarily compositional as well as those which are primarily structural are presented. The examples demonstrate the usefulness of ion beam techniques in identifying and controlling the fundamental deposition parameters. 68 refs.; 15 figs.; 1 table

  13. Comparison of lanthanum substituted bismuth titanate (BLT) thin films deposited by sputtering and pulsed laser deposition

    International Nuclear Information System (INIS)

    Besland, M.P.; Djani-ait Aissa, H.; Barroy, P.R.J.; Lafane, S.; Tessier, P.Y.; Angleraud, B.; Richard-Plouet, M.; Brohan, L.; Djouadi, M.A.

    2006-01-01

    Bi 4-x La x Ti 3 O 12 (BLT x ) (x = 0 to 1) thin films were grown on silicon (100) and platinized substrates Pt/TiO 2 /SiO 2 /Si using RF diode sputtering, magnetron sputtering and pulsed laser deposition (PLD). Stoichiometric home-synthesized targets were used. Reactive sputtering was investigated in argon/oxygen gas mixture, with a pressure ranging from 0.33 to 10 Pa without heating the substrate. PLD was investigated in pure oxygen, at a chamber pressure of 20 Pa for a substrate temperature of 400-440 deg. C. Comparative structural, chemical, optical and morphological characterizations of BLT thin films have been performed by X-ray diffraction (XRD), Scanning Electron Microscopy (SEM), Energy Dispersive Spectroscopy (EDS), X-Ray Photoelectron Spectroscopy (XPS), Spectro-ellipsometric measurements (SE) and Atomic Force Microscopy (AFM). Both sputtering techniques allow to obtain uniform films with thickness ranging from 200 to 1000 nm and chemical composition varying from (Bi,La) 2 Ti 3 O 12 to (Bi,La) 4.5 Ti 3 O 12 , depending on deposition pressure and RF power. In addition, BLT films deposited by magnetron sputtering, at a pressure deposition ranging from 1.1 to 5 Pa, were well-crystallized after a post-deposition annealing at 650 deg. C in oxygen. They exhibit a refractive index and optical band gap of 2.7 and 3.15 eV, respectively. Regarding PLD, single phase and well-crystallized, 100-200 nm thick BLT films with a stoichiometric (Bi,La) 4 Ti 3 O 12 chemical composition were obtained, exhibiting in addition a preferential orientation along (200). It is worth noting that BLT films deposited by magnetron sputtering are as well-crystallized than PLD ones

  14. Nano-Impact (Fatigue Characterization of As-Deposited Amorphous Nitinol Thin Film

    Directory of Open Access Journals (Sweden)

    Rehan Ahmed

    2012-08-01

    Full Text Available This paper presents nano-impact (low cycle fatigue behavior of as-deposited amorphous nitinol (TiNi thin film deposited on Si wafer. The nitinol film was 3.5 µm thick and was deposited by the sputtering process. Nano-impact tests were conducted to comprehend the localized fatigue performance and failure modes of thin film using a calibrated nano-indenter NanoTest™, equipped with standard diamond Berkovich and conical indenter in the load range of 0.5 mN to 100 mN. Each nano-impact test was conducted for a total of 1000 fatigue cycles. Depth sensing approach was adapted to understand the mechanisms of film failure. Based on the depth-time data and surface observations of films using atomic force microscope, it is concluded that the shape of the indenter test probe is critical in inducing the localized indentation stress and film failure. The measurement technique proposed in this paper can be used to optimize the design of nitinol thin films.

  15. Indium zinc oxide films deposited on PET by LF magnetron sputtering

    International Nuclear Information System (INIS)

    Kim, Eun Lyoung; Jung, Sang Kooun; Sohn, Sang Ho; Park, Duck Kyu

    2007-01-01

    Indium zinc oxide (IZO) has attracted much attention recently for use in transparent oxide films compared with the ITO film. We carried out the deposition of IZO on a polyethylene terapthalate (PET) substrate at room temperature by a low-frequency (LF) magnetron sputtering system. These films have amorphous structures with excellent electrical stability, surface uniformity and high optical transmittance. The effects of LF applied voltage and O 2 flow rate were investigated. The electrical and optical properties were studied. At optimal deposition conditions, thin films of IZO with a sheet resistance of 32 Ω/sq and an optical transmittance of over 80% in the visible spectrum range were achieved. The IZO thin films fabricated by this method do not require substrate heating during the film preparation of any additional post-deposition annealing treatment. The experimental results show that films with good qualities of surface morphology, transmittance and electrical conduction can be grown by the LF magnetron sputtering method on PET which is recommendable

  16. High energy ion beam induced modifications in diamond and diamond like carbon thin films

    International Nuclear Information System (INIS)

    Dilawar, N.; Sah, S.; Mehta, B.R.; Vankar, V.D.

    1996-01-01

    Diamond and DLC films deposited using hot-filament chemical vapour deposition technique at various parameters were irradiated with 50 MeV Si 4+ ions. The resulting microstructural changes were studied using X-ray diffraction and scanning electron microscopy. All the samples showed the development of β-SiC and hexagonal carbon phases at the expense of the diamond/DLC phase. The ERD analysis was carried out to determine the hydrogen concentration and its distribution in DLC films. The absolute hydrogen concentration in DLC samples is of the order of 10 22 atoms/cm 3 which gets depleted on irradiation. The DLC samples show a clear dependence of hydrogen content on the deposition parameters. (author)

  17. Nanocomposite oxide thin films grown by pulsed energy beam deposition

    International Nuclear Information System (INIS)

    Nistor, M.; Petitmangin, A.; Hebert, C.; Seiler, W.

    2011-01-01

    Highly non-stoichiometric indium tin oxide (ITO) thin films were grown by pulsed energy beam deposition (pulsed laser deposition-PLD and pulsed electron beam deposition-PED) under low oxygen pressure. The analysis of the structure and electrical transport properties showed that ITO films with a large oxygen deficiency (more than 20%) are nanocomposite films with metallic (In, Sn) clusters embedded in a stoichiometric and crystalline oxide matrix. The presence of the metallic clusters induces specific transport properties, i.e. a metallic conductivity via percolation with a superconducting transition at low temperature (about 6 K) and the melting and freezing of the In-Sn clusters in the room temperature to 450 K range evidenced by large changes in resistivity and a hysteresis cycle. By controlling the oxygen deficiency and temperature during the growth, the transport and optical properties of the nanocomposite oxide films could be tuned from metallic-like to insulating and from transparent to absorbing films.

  18. Nanostructured CdS thin films deposited by spray pyrolysis method

    Energy Technology Data Exchange (ETDEWEB)

    Kerimova, A.; Bagiyev, E.; Aliyeva, E.; Bayramov, A. [Institute of Physics, Azerbaijan National Academy of Sciences, Baku (Azerbaijan)

    2017-06-15

    Influence of solution pH on the structural and optical properties of CdS films deposited by conventional spray pyrolysis technique was studied. X-Ray Diffraction (XRD), Atomic Force Microscopy (AFM), Photoluminescence spectroscopy (PLS), and Spectroscopic Ellipsometry (SE) methods were used for the characterization of the deposited films. PL spectrum of the film deposited from the solution with pH = 10.2 shows broad-band PL emission located at 460 nm (2.7 eV), which can be attributed to the quantum size effect at grain sizes of <10 nm. No shifts of ε{sub 1} and ε{sub 2} due to the quantum size effect are observed in dielectric function spectra, what can be caused by low concentration of nano-sized (<10 nm) CdS grains. The change in the film properties with the pH of the solution was analyzed in terms of variation of grain sizes of the polycrystalline films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Thickness dependent growth of low temperature atomic layer deposited zinc oxide films

    International Nuclear Information System (INIS)

    Montiel-González, Z.; Castelo-González, O.A.; Aguilar-Gama, M.T.; Ramírez-Morales, E.; Hu, H.

    2017-01-01

    Highlights: • Polycrystalline columnar ZnO thin films deposited by ALD at low temperatures. • Higher deposition temperature leads to a greater surface roughness in the ALD ZnO films. • Higher temperature originates larger refractive index values of the ALD ZnO films. • ZnO thin films were denser as the numbers of ALD deposition cycles were larger. • XPS analysis revels mayor extent of the DEZ reaction during the ALD process. - Abstract: Zinc oxide films are promising to improve the performance of electronic devices, including those based on organic materials. However, the dependence of the ZnO properties on the preparation conditions represents a challenge to obtain homogeneous thin films that satisfy specific applications. Here, we prepared ZnO films of a wide range of thicknesses by atomic layer deposition (ALD) at relatively low temperatures, 150 and 175 °C. From the results of X-ray photoelectron spectroscopy, X-ray diffraction and Spectroscopic Ellipsometry it is concluded that the polycrystalline structure of the wurtzite is the main phase of the ALD samples, with OH groups on their surface. Ellipsometry revealed that the temperature and the deposition cycles have a strong effect on the films roughness. Scanning electron micrographs evidenced such effect, through the large pyramids developed at the surface of the films. It is concluded that crystalline ZnO thin films within a broad range of thickness and roughness can be obtained for optic or optoelectronic applications.

  20. Opto-electrical properties of amorphous carbon thin film deposited from natural precursor camphor

    Energy Technology Data Exchange (ETDEWEB)

    Pradhan, Debabrata [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)]. E-mail: dpradhan@sciborg.uwaterloo.ca; Sharon, Maheshwar [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)

    2007-06-30

    A simple thermal chemical vapor deposition technique is employed for the pyrolysis of a natural precursor 'camphor' and deposition of carbon films on alumina substrate at higher temperatures (600-900 deg. C). X-ray diffraction measurement reveals the amorphous structure of these films. The carbon films properties are found to significantly vary with the deposition temperatures. At higher deposition temperature, films have shown predominately sp{sup 2}-bonded carbon and therefore, higher conductivity and lower optical band gap (Tauc gap). These amorphous carbon (a-C) films are also characterized with Raman and X-ray photoelectron spectroscopy. In addition, electrical and optical properties are measured. The thermoelectric measurement shows these as-grown a-C films are p-type in nature.

  1. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Novotný, M; Bulíř, J; Lančok, J; Čížek, J; Kužel, R; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P; Anwand, W; Brauer, G

    2012-01-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ∼ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ∼ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate. (paper)

  2. Self-limiting atomic layer deposition of conformal nanostructured silver films

    International Nuclear Information System (INIS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-01-01

    Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  3. Morphology and structural studies of WO_3 films deposited on SrTiO_3 by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kalhori, Hossein; Porter, Stephen B.; Esmaeily, Amir Sajjad; Coey, Michael; Ranjbar, Mehdi; Salamati, Hadi

    2016-01-01

    Highlights: • Highly oriented WO_3 stoichiometric films were determined using pulsed laser deposition method. • Effective parameters on thin films including temperature, oxygen partial pressure and laser energy fluency was studied. • A phase transition was observed in WO_3 films at 700 °C from monoclinic to tetragonal. - Abstract: WO_3 films have been grown by pulsed laser deposition on SrTiO_3 (001) substrates. The effects of substrate temperature, oxygen partial pressure and energy fluence of the laser beam on the physical properties of the films were studied. Reflection high-energy electron diffraction (RHEED) patterns during and after growth were used to determine the surface structure and morphology. The chemical composition and crystalline phases were obtained by XPS and XRD respectively. AFM results showed that the roughness and skewness of the films depend on the substrate temperature during deposition. Optimal conditions were determined for the growth of the highly oriented films.

  4. Microstructural and magnetic properties of thin obliquely deposited films: A simulation approach

    Energy Technology Data Exchange (ETDEWEB)

    Solovev, P.N., E-mail: platon.solovev@gmail.com [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Izotov, A.V. [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Belyaev, B.A. [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Reshetnev Siberian State Aerospace University, 31, pr. Imeni Gazety “Krasnoyarskii Rabochii”, Krasnoyarsk 660014 (Russian Federation)

    2017-05-01

    The relation between microstructural and magnetic properties of thin obliquely deposited films has been studied by means of numerical techniques. Using our developed simulation code based on ballistic deposition model and Fourier space approach, we have investigated dependences of magnetometric tensor components and magnetic anisotropy parameters on the deposition angle of the films. A modified Netzelmann approach has been employed to study structural and magnetic parameters of an isolated column in the samples with tilted columnar microstructure. Reliability and validity of used numerical methods is confirmed by a good agreement of the calculation results with each other, as well as with our experimental data obtained by the ferromagnetic resonance measurements of obliquely deposited thin Ni{sub 80}Fe{sub 20} films. The combination of these numerical methods can be used to design a magnetic film with a desirable value of uniaxial magnetic anisotropy and to extract the obliquely deposited film structure from only magnetic measurements. - Highlights: • We present a simulation approach to study a relation between structural and magnetic properties of oblique films. • The calculated dependence of magnetic anisotropy on a deposition angle accords well with the experiment. • A modified Netzelmann approach is proposed. • It allows for the computation of magnetic and structural parameters of an isolated column. • Proposed approach can be used for theoretical studies and for characterization of oblique films.

  5. Effect of PbI2 deposition rate on two-step PVD/CVD all-vacuum prepared perovskite

    International Nuclear Information System (INIS)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha; Fostiropoulos, Konstantinos

    2016-01-01

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI 2 and chemical vapour deposition (CVD) of CH 3 NH 3 I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI 2 film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH 3 NH 3 I is fostered for PbI 2 films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film is also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI 2 on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH 3 NCH 3 molecules been fostered by increasing the PVD rate of PbI 2 and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI 2 (001) crystallite planes. • CH 3 NH 3 I intercalation process fostered by increased PbI 2 PVD rate. • Stoichiometric CH 3 NH 3 PbI 3 suitable as absorber in photovoltaic applications • Reduced PbI 2 residue at the bottom of CH 3 NH 3 PbI 3 improves device performance.

  6. Structural and Magnetic Properties of Mn doped ZnO Thin Film Deposited by Pulsed Laser Deposition

    KAUST Repository

    Baras, Abdulaziz

    2011-07-01

    Diluted magnetic oxide (DMO) research is a growing field of interdisciplinary study like spintronic devices and medical imaging. A definite agreement among researchers concerning the origin of ferromagnetism in DMO has yet to be reached. This thesis presents a study on the structural and magnetic properties of DMO thin films. It attempts to contribute to the understanding of ferromagnetism (FM) origin in DMO. Pure ZnO and Mn doped ZnO thin films have been deposited by pulsed laser deposition (PLD) using different deposition conditions. This was conducted in order to correlate the change between structural and magnetic properties. Structural properties of the films were characterized using x-ray diffraction (XRD) and scanning electron microscopy (SEM). The superconducting quantum interference device (SQUID) was used to investigate the magnetic properties of these films. The structural characterizations showed that the quality of pure ZnO and Mn doped ZnO films increased as oxygen pressure (PO) increased during deposition. All samples were insulators. In Mn doped films, Mn concentration decreased as PO increased. The Mn doped ZnO samples were deposited at 600˚C and oxygen pressure from 50-500mTorr. All Mn doped films displayed room temperature ferromagnetism (RTFM). However, at 5 K a superparamagnetic (SPM) behavior was observed in these samples. This result was accounted for by the supposition that there were secondary phase(s) causing the superparamagnetic behavior. Our findings hope to strengthen existing research on DMO origins and suggest that secondary phases are the core components that suppress the ferromagnetism. Although RTFM and SPM at low temperature has been observed in other systems (e.g., Co doped ZnO), we are the first to report this behavior in Mn doped ZnO. Future research might extend the characterization and exploration of ferromagnetism in this system.

  7. Optoelectronic Characterization of Ta-Doped ZnO Thin Films by Pulsed Laser Deposition.

    Science.gov (United States)

    Koo, Horng-Show; Peng, Jo-Chi; Chen, Mi; Chin, Hung-I; Chen, Jaw-Yeh; Wu, Maw-Kuen

    2015-11-01

    Transparent conductive oxide of Ta-doped ZnO (TZO) film with doping amount of 3.0 wt% have been deposited on glass substrates (Corning Eagle XG) at substrate temperatures of 100 to 500 degrees C by the pulsed laser deposition (PLD) technique. The effect of substrate temperature on the structural, optical and electronic characteristics of Ta-doped ZnO (TZO) films with 3.0 wt% dopant of tantalum oxide (Ta2O5) was measured and demonstrated in terms of X-ray diffraction (XRD), ultraviolet-visible spectrometer (UV-Vis), four-probe and Hall-effect measurements. X-ray diffraction pattern shows that TZO films grow in hexagonal crystal structure of wurtzite phase with a preferred orientation of the crystallites along (002) direction and exhibits better physical characteristics of optical transmittance, electrical conductivity, carrier concentration and mobility for the application of window layer in the optoelectronic devices of solar cells, OLEDs and LEDs. The lowest electrical resistivity (ρ) and the highest carrier concentration of the as-deposited film deposited at 300 degrees C are measured as 2.6 x 10(-3) Ω-cm and 3.87 x 10(-20) cm(-3), respectively. The highest optical transmittance of the as-deposited film deposited at 500 degrees C is shown to be 93%, compared with another films deposited below 300 degrees C. It is found that electrical and optical properties of the as-deposited TZO film are greatly dependent on substrate temperature during laser ablation deposition.

  8. The vapour phase deposition of boron on titanium by the reaction between gaseous boron trichloride and titanium metal. Final report

    International Nuclear Information System (INIS)

    Cameron, D.J.; Shelton, R.A.J.

    1965-03-01

    The reaction, between boron trichloride vapour and titanium has been investigated in the temperature range 200 - 1350 deg. C. It has been found that an initial reaction leads to the formation of titanium tetrachloride and the deposition of boron on titanium, but that except for reactions between 900 and 1000 deg. C, the system is complicated by the formation of lower titanium chlorides due to secondary reactions between the titanium and titanium tetrachloride

  9. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    Science.gov (United States)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-06-01

    The properties of WNxCy films deposited by atomic layer deposition (ALD) using WF6, NH3, and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm3. The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ˜48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC1-x and β-W2N with an equiaxed microstructure. The barrier property of this ALD-WNxCy film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 °C for 30 min.

  10. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    International Nuclear Information System (INIS)

    Vähä-Nissi, Mika; Pitkänen, Marja; Salo, Erkki; Kenttä, Eija; Tanskanen, Anne; Sajavaara, Timo; Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana; Karppinen, Maarit; Harlin, Ali

    2014-01-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al 2 O 3 of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al 2 O 3 thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al 2 O 3 • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli

  11. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Pitkänen, Marja; Salo, Erkki; Kenttä, Eija [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Tanskanen, Anne, E-mail: Anne.Tanskanen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Sajavaara, Timo, E-mail: timo.sajavaara@jyu.fi [University of Jyväskylä, Department of Physics, P.O. Box 35, FI-40014 Jyväskylä (Finland); Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Karppinen, Maarit, E-mail: Maarit.Karppinen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Harlin, Ali [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland)

    2014-07-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al{sub 2}O{sub 3} of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al{sub 2}O{sub 3} thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al{sub 2}O{sub 3} • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli.

  12. Synthesis and characterization of titanium dioxide thin films deposited by laser ablation

    International Nuclear Information System (INIS)

    Escobar A, L.; Camps C, E.; Falcon B, T.; Carapia M, L.; Haro P, E.; Camacho L, M.A.

    2000-01-01

    In this work are presented the results obtained when TiO 2 thin films were deposited using the laser ablation technique. Thin films were deposited at different substrate temperatures, and different oxygen pressures, with the purpose of studying the influence of this deposit parameters in the structural characteristics of the films obtained. The structural characterization was realized through Raman Spectroscopy and X-ray Diffraction (XRD), the surface morphology of the layers deposited was verified by Scanning Electron Microscopy (Sem). The results show that the films obtained are of TiO 2 in rutile phase, getting this at low substrate temperatures, its morphology shows a soft surface with some spattered particles and good adherence. (Author)

  13. Characterization of CuS nanocrystalline thin films synthesized by chemical bath deposition and dip coating techniques

    International Nuclear Information System (INIS)

    Chaki, Sunil H.; Deshpande, M.P.; Tailor, Jiten P.

    2014-01-01

    CuS thin films were synthesized by chemical bath deposition and dip coating techniques at ambient temperature. The energy dispersive analysis of X-rays of the thin films confirmed that both the as synthesized thin films are stoichiometric. The X-ray diffraction of the chemical bath deposited and dip coating deposited thin films showed that the films possess hexagonal structure having lattice parameters, a = b = 3.79 A and c = 16.34 A. The crystallite sizes determined from the X-ray diffraction data using Scherrer's formula for the chemical bath deposition and dip coating deposition thin films came out to be nearly 11 nm and 13 nm, respectively. The optical microscopy of the as deposited thin films surfaces showed that the substrates are well covered in both the deposited films. The scanning electron microscopy of the thin films clearly showed that in chemical bath deposited thin films the grain size varies from few μm to nm, while in dip coating deposited films the grain size ranges in nm. The optical bandgap determined from the optical absorbance spectrum analysis showed, chemical bath deposited thin films possess direct bandgap of 2.2 eV and indirect bandgap of 1.8 eV. In the case of dip coating deposited thin films, the direct bandgap is 2.5 eV and indirect bandgap is 1.9 eV. The d.c. electrical resistivity variation with temperature for both the deposited films showed that the resistivity decreases with temperature thus confirming the semiconducting nature. The thermoelectric power variations with temperature and the room temperature Hall Effect study of both the synthesized CuS thin films showed them to be of p-type conductivity. The obtained results are discussed in details. - Highlights: • CuS thin films were synthesized by chemical bath deposition and dip coating techniques. • The films possessed hexagonal structure. • The optical absorption showed that the films had direct and indirect bandgap. • Study of electrical transport properties

  14. Study on helium-charged titanium films deposited by DC-magnetron sputtering

    International Nuclear Information System (INIS)

    Shi Liqun; Jin Qinhua; Liu Chaozhuo; Xu Shilin; Zhou Zhuying

    2005-01-01

    Helium trapping in the Ti films deposited by DC magnetron sputtering with a He/Ar mixture was studied. He atoms with a surprisingly high concentration (He/Ti atomic ratio is as high as 56%) incorporate evenly in deposited film. The trapped amount of He can be controlled by the helium partial amount. The introduction of the helium with no extra damage (or very low damage) can be realized by choosing suitable deposition conditions. It was also found that because of the formation of nanophase Ti film a relative high He flux for bubble formation is needed and the amount of the retaining He in sputtering Ti films is much higher than that in the coarse-grain Ti films. The nanophase Ti film can accommodate larger concentration of trapped sites to He, which results in a high density and small size of the He bubbles. With increasing He irradiation flux, the grain size of Ti film decreases and the lattice spacing and width of the X-ray diffraction peak increase due to the He introduction, and the film tends to amorphous phase. (authors)

  15. The properties of nanocomposite aluminium-silicon based thin films deposited by filtered arc deposition

    Energy Technology Data Exchange (ETDEWEB)

    Bendavid, A.; Martin, P.J.; Takikawa, H

    2002-12-02

    Thin films of aluminium silicon oxynitride have been deposited on conducting (100) silicon wafers by filtered arc deposition (FAD) under nitrogen and/or oxygen gas flow. The influence of the N{sub 2}/O{sub 2} flow ratio on the crystal structure, optical and mechanical properties has been investigated. The results of X-ray diffraction showed that the film structure comprised of an AlN crystallite with amorphous Si{sub 3}N{sub 4} and SiO{sub x}. The optical properties over the range of 350-800 nm were measured using spectroscopic ellipsometry and found to be strongly dependent on N{sub 2}/O{sub 2} flow ratio. The refractive index values of the films were measured to be in the range of 2.2-1.64 at a wavelength of 670 nm for oxygen flow range of 0-100%. The hardness of the films was found to be strongly dependent on the oxygen content in the film. The hardness range of the films was between 10 and 22 GPa and for the stress between 0.3 and 1.2 GPa.

  16. Growth of different phases and morphological features of MnS thin films by chemical bath deposition: Effect of deposition parameters and annealing

    Energy Technology Data Exchange (ETDEWEB)

    Hannachi, Amira, E-mail: amira.hannachi88@gmail.com; Maghraoui-Meherzi, Hager

    2017-03-15

    Manganese sulfide thin films have been deposited on glass slides by chemical bath deposition (CBD) method. The effects of preparative parameters such as deposition time, bath temperature, concentration of precursors, multi-layer deposition, different source of manganese, different complexing agent and thermal annealing on structural and morphological film properties have been investigated. The prepared thin films have been characterized using the X-ray diffraction (XRD), scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). It exhibit the metastable forms of MnS, the hexagonal γ-MnS wurtzite phase with preferential orientation in the (002) plane or the cubic β-MnS zinc blende with preferential orientation in the (200) plane. Microstructural studies revealed the formation of MnS crystals with different morphologies, such as hexagons, spheres, cubes or flowers like. - Graphical Abstract: We report the preparation of different phases of manganese sulfide thin films (γ, β and α-MnS) by chemical bath deposition method. The effects of deposition parameters such as deposition time and temperature, concentrations of precursors and multi-layer deposition on MnS thin films structure and morphology were investigated. The influence of thermal annealing under nitrogen atmosphere at different temperature on MnS properties was also studied. Different manganese precursors as well as different complexing agent were also used. - Highlights: • γ and β-MnS films were deposited on substrate using the chemical bath deposition. • The effect of deposition parameters on MnS film properties has been investigated. • Multi-layer deposition was also studied to increase film thickness. • The effect of annealing under N{sub 2} at different temperature was investigated.

  17. Effect of protic solvents on CdS thin films prepared by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Pin-Chuan, E-mail: pcyao@mail.dyu.edu.tw; Chen, Chun-Yu

    2015-03-31

    In this study, cadmium sulfide (CdS) thin films are grown on glass substrates by chemical bath deposition (CBD) in an aqueous bath containing 10–20 vol.% alcohol. The roles of ethanol as a protic solvent that substantially improves the quality of films are explored extensively. The deposited films in an alcohol bath are found to be more compact and smoother with smaller CdS grains. The X-ray diffractograms of the samples confirm that all films were polycrystalline with mixed wurtzite (hexagonal) and zinkblende (cubic) phases. Raman spectra indicate that, for a film deposited in an alcohol bath, the position of 1LO is closer to the value for single crystal CdS, indicating that these films have a high degree of crystallinity. The as-deposited CdS thin films in a 10 vol.% alcohol bath were found to have the highest visible transmittance of 81.9%. XPS analysis reveals a stronger signal of C1s for samples deposited in the alcohol baths, indicating that there are more carbonaceous residues on the films with protic solvent than on the films with water. A higher XPS S/Cd atomic ratio for films deposited in an alcohol bath indicates that undesirable surface reactions (leading to sulfur containing compounds other than CdS) occur less frequently over the substrates. - Highlights: • Study of CBD-CdS films grown in an alcohol-containing aqueous bath is reported. • The deposited films in an alcohol bath are more compact with smaller CdS grains. • Raman spectra show that in an alcohol bath, the CdS film has a better crystallinity. • XPS reveals more carbon residues remain on the films deposited using alcohol bath. • In an alcohol bath, the undesirable surface reactions with Cd ions were hindered.

  18. Deposition of nanostructured photocatalytic zinc ferrite films using solution precursor plasma spraying

    International Nuclear Information System (INIS)

    Dom, Rekha; Sivakumar, G.; Hebalkar, Neha Y.; Joshi, Shrikant V.; Borse, Pramod H.

    2012-01-01

    Highlights: ► Highly economic solution precursor route capable of producing films/coating even for mass scale production. ► Pure spinel phase ZnFe 2 O 4 porous, immobilized films deposited in single step. ► Parameter optimization yields access to nanostructuring in SPPS method. ► The ecofriendly immobilized ferrite films were active under solar radiation. ► Such magnetic system display advantage w.r.t. recyclability after photocatalyst extraction. -- Abstract: Deposition of pure spinel phase, photocatalytic zinc ferrite films on SS-304 substrates by solution precursor plasma spraying (SPPS) has been demonstrated for the first time. Deposition parameters such as precursor solution pH, concentration, film thickness, plasma power and gun-substrate distance were found to control physico-chemical properties of the film, with respect to their crystallinity, phase purity, and morphology. Alkaline precursor conditions (7 2 O 4 film. Very high/low precursor concentrations yielded mixed phase, less adherent, and highly inhomogeneous thin films. Desired spinel phase was achieved in as-deposited condition under appropriately controlled spray conditions and exhibited a band gap of ∼1.9 eV. The highly porous nature of the films favored its photocatalytic performance as indicated by methylene blue de-coloration under solar radiation. These immobilized films display good potential for visible light photocatalytic applications.

  19. Effect of residual gas on structural, electrical and mechanical properties of niobium films deposited by magnetron sputtering deposition

    Science.gov (United States)

    Wang, Lanruo; Zhong, Yuan; Li, Jinjin; Cao, Wenhui; Zhong, Qing; Wang, Xueshen; Li, Xu

    2018-04-01

    Magnetron sputtering is an important method in the superconducting thin films deposition. The residual gas inside the vacuum chamber will directly affect the quality of the superconducting films. In this paper, niobium films are deposited by magnetron sputtering under different chamber residual gas conditions. The influence of baking and sputtering process on residual gas are studied as well. Surface morphology, electrical and mechanical properties of the films are analysed. The residual gas analysis result before the sputtering process could be regarded as a reference condition to achieve high quality superconducting thin films.

  20. Structural characterization of chemically deposited PbS thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.A.; Gonzalez-Alfaro, Y.; Larramendi, E.M.; Fonseca Filho, H.D.; Maia da Costa, M.E.H.; Freire, F.L.; Prioli, R.; Avillez, R.R. de; Silveira, E.F. da; Calzadilla, O.; Melo, O. de; Pedrero, E.; Hernandez, E.

    2007-01-01

    Polycrystalline thin films of lead sulfide (PbS) grown using substrate colloidal coating chemical bath depositions were characterized by RBS, XPS, AFM and GIXRD techniques. The films were grown on glass substrates previously coated with PbS colloidal particles in a polyvinyl alcohol solution. The PbS films obtained with the inclusion of the polymer showed non-oxygen-containing organic contamination. All samples maintained the Pb:S 1:1 stoichiometry throughout the film. The amount of effective nucleation centers and the mean grain size have being controlled by the substrate colloidal coating. The analysis of the polycrystalline PbS films showed that a preferable (1 0 0) lattice plane orientation parallel to the substrate surface can be obtained using a substrate colloidal coating chemical bath deposition, and the orientation increases when a layer of colloid is initially dried on the substrate

  1. Fission product vapour - aerosol interactions in the containment: simulant fuel studies

    International Nuclear Information System (INIS)

    Beard, A.M.; Benson, C.G.; Bowsher, B.R.

    1988-12-01

    Experiments have been conducted in the Falcon facility to study the interaction of fission product vapours released from simulant fuel samples with control rod aerosols. The aerosols generated from both the control rod and fuel sample were chemically distinct and had different deposition characteristics. Extensive interaction was observed between the fission product vapours and the control rod aerosol. The two dominant mechanisms were condensation of the vapours onto the aerosol, and chemical reactions between the two components; sorption phenomena were believed to be only of secondary importance. The interaction of fission product vapours and reactor materials aerosols could have a major impact on the transport characteristics of the radioactive emission from a degrading core. (author)

  2. Study of hard diamond-like carbon films deposited in an inductively coupled plasma source

    International Nuclear Information System (INIS)

    Yu Shiji; Ma Tengcai

    2003-01-01

    Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality

  3. Exploring ultrastability in nanostructured glassy polymer films by fast-scanning calorimetry.

    Science.gov (United States)

    Chowdhury, Mithun; Wang, Yucheng; Jeong, Hyuncheol; Cangialosi, Daniele; Priestley, Rodney

    A decade ago ultra-stable small molecule glass formers were discovered. Since then a significant amount of research has been devoted to traverse down the energy landscape of such glass formers via physical vapor deposition (PVD). Matrix assisted pulsed laser evaporation (MAPLE) has the known ability to produce vapour deposited nanostructured polymer glass with exceptional kinetic stability. We explored the role of deposition temperature/ growth rate on thermodynamic and kinetic stabilities of poly (methyl methacrylate) (PMMA) films, deposited over a fast-scanning calorimetry sensor. We found in general any MAPLE deposited glass is kinetically more stable than bulk polymer and its spin-coated film. Moreover slow growth rate and optimum temperature during MAPLE deposition can additionally lead to thermodynamically stable (low-energy) glass. The role of interfaces formed through dramatic nanostructuring and packing of nanoglobules (removal of void space) may have additional role on such ultrastability. NSF-MRSEC through PCCM (Grant: DMR-1420541).

  4. Study on the optimization of the deposition rate of planetary GaN-MOCVD films based on CFD simulation and the corresponding surface model

    Science.gov (United States)

    Li, Jian; Fei, Ze-yuan; Xu, Yi-feng; Wang, Jie; Fan, Bing-feng; Ma, Xue-jin; Wang, Gang

    2018-02-01

    Metal-organic chemical vapour deposition (MOCVD) is a key technique for fabricating GaN thin film structures for light-emitting and semiconductor laser diodes. Film uniformity is an important index to measure equipment performance and chip processes. This paper introduces a method to improve the quality of thin films by optimizing the rotation speed of different substrates of a model consisting of a planetary with seven 6-inch wafers for the planetary GaN-MOCVD. A numerical solution to the transient state at low pressure is obtained using computational fluid dynamics. To evaluate the role of the different zone speeds on the growth uniformity, single factor analysis is introduced. The results show that the growth rate and uniformity are strongly related to the rotational speed. Next, a response surface model was constructed by using the variables and the corresponding simulation results. The optimized combination of the matching of different speeds is also proposed as a useful reference for applications in industry, obtained by a response surface model and genetic algorithm with a balance between the growth rate and the growth uniformity. This method can save time, and the optimization can obtain the most uniform and highest thin film quality.

  5. Heat treatment of cathodic arc deposited amorphous hard carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Anders, S.; Ager, J.W. III; Brown, I.G. [and others

    1997-02-01

    Amorphous hard carbon films of varying sp{sup 2}/sp{sup 3} fractions have been deposited on Si using filtered cathodic are deposition with pulsed biasing. The films were heat treated in air up to 550 C. Raman investigation and nanoindentation were performed to study the modification of the films caused by the heat treatment. It was found that films containing a high sp{sup 3} fraction sustain their hardness for temperatures at least up to 400 C, their structure for temperatures up to 500 C, and show a low thickness loss during heat treatment. Films containing at low sp{sup 3} fraction graphitize during the heat treatment, show changes in structure and hardness, and a considerable thickness loss.

  6. Annealing Effect on the Photoelectrochemical Properties of BiVO_4 Thin Film Electrodes

    International Nuclear Information System (INIS)

    Siti Nur Farhana Mohd Nasir; Mohd Asri Mat Teridi; Mehdi Ebadi; Sagu, J.S.

    2015-01-01

    Monoclinic bismuth vanadate (BiVO_4) thin film electrodes were fabricated on fluorine-doped tin oxide via aerosol-assisted chemical vapour deposition (AACVD). Annealing and without annealing effect of thin films were analysed by X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), ultraviolet-visible spectrophotometry (UV-Vis) and current voltage measurement. All BiVO_4 thin films showed an anodic photocurrent. The sample of BiVO_4 annealed at 400 degree Celsius exhibited the highest photocurrent density of 0.44 mAcm"-"2 vs. Ag/ AgCl at 1.23 V. (author)

  7. Ammonia-free chemical bath method for deposition of microcrystalline cadmium selenide films

    International Nuclear Information System (INIS)

    Lokhande, C.D.; Lee, Eun-Ho; Jung, Kwang-Deog; Joo, Oh-Shim

    2005-01-01

    Chemical deposition of cadmium selenide (CdSe) films has been carried out from alkaline aqueous solution containing Cd 2+ and Se 2- ions. In general, the alkaline pH of the CdSe deposition bath has been adjusted by addition of liquid ammonia. However, the use of ammonia in large-scale chemical deposition method represents an environmental problem due to its volatility and toxicity. The volatility of ammonia changes the pH of deposition bath and results into irreproducible film properties. In the present paper, ammonia-free and weak alkaline (pH < 9.0) chemical method for cadmium selenide film has been developed. The cadmium selenide films are microcrystalline (grain size 0.5-0.7 μm) with hexagonal crystal structure. These films are photoactive and therefore, useful in photo conversion of light into electrical power

  8. Optimization of deposition conditions of CdS thin films using response surface methodology

    Energy Technology Data Exchange (ETDEWEB)

    Yücel, Ersin, E-mail: dr.ersinyucel@gmail.com [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Güler, Nuray [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Yücel, Yasin [Department of Chemistry, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey)

    2014-03-15

    Highlights: • Statistical methods used for optimization of CdS deposition parameters. • The morphology of the films was smooth, homogeneous and continuous. • Optimal conditions found as pH 11, stirring speed:361 rpm and deposition time: 55 min. • CdS thin film band gap value was 2.72 eV under the optimum conditions. -- Abstract: Cadmium sulfide (CdS) thin films were prepared on glass substrates by chemical bath deposition (CBD) technique under different pH, stirring speed and deposition time. Response Surface Methodology (RSM) and Central Composite Design (CCD) were used to optimization of deposition parameters of the CdS thin films. RSM and CCD were also used to understand the significance and interaction of the factors affecting the film quality. Variables were determined as pH, stirring speed and deposition time. The band gap was chosen as response in the study. Influences of the variables on the band gap and the film quality were investigated. 5-level-3-factor central composite design was employed to evaluate the effects of the deposition conditions parameters such as pH (10.2–11.8), stirring speed (132–468 rpm) and deposition time (33–67 min) on the band gap of the films. The samples were characterized using X-ray diffraction (XRD), scanning electron microscope (SEM) and ultraviolet–visible spectroscopy (UV–vis) measurements. The optimal conditions for the deposition parameters of the CdS thin films have been found to be: pH 11, 361 of stirring speed and 55 min of deposition time. Under the optimal conditions theoretical (predicted) band gap of CdS (2.66 eV) was calculated using optimal coded values from the model and the theoretical value is good agreement with the value (2.72 eV) obtained by verification experiment.

  9. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  10. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  11. Growth and characterization of indium tin oxide thin films deposited on PET substrates

    International Nuclear Information System (INIS)

    Lee, Jaehyeong; Jung, Hakkee; Lee, Jongin; Lim, Donggun; Yang, Keajoon; Yi, Junsin; Song, Woo-Chang

    2008-01-01

    Transparent and conductive indium tin oxide (ITO) thin films were deposited onto polyethylene terephthalate (PET) by d.c. magnetron sputtering as the front and back electrical contact for applications in flexible displays and optoelectronic devices. In addition, ITO powder was used for sputter target in order to reduce the cost and time of the film formation processes. As the sputtering power and pressure increased, the electrical conductivity of ITO films decreased. The films were increasingly dark gray colored as the sputtering power increased, resulting in the loss of transmittance of the films. When the pressure during deposition was higher, however, the optical transmittance improved at visible region of light. ITO films deposited onto PET have shown similar optical transmittance and electrical resistivity, in comparison with films onto glass substrate. High quality films with resistivity as low as 2.5 x 10 -3 Ω cm and transmittance over 80% have been obtained on to PET substrate by suitably controlling the deposition parameters

  12. Properties of spray-deposited liquid-phase exfoliated graphene films

    Science.gov (United States)

    Sales, Maria Gabriela C.; Dela Vega, Ma. Shanlene D. C.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    In this study, we demonstrate the feasibility of spray-depositing exfoliated graphene on flexible polyimide (PI) and rigid (soda lime glass) substrates for optoelectronic applications. The water contact angles of the substrates increased by 13% (for PI) and 49% (for glass) when the surfaces are pretreated with hexamethyldisiloxane, which significantly improved the adhesion of the films. Raman spectral analyses confirmed a minimum of 15 and a maximum of 23 layers of exfoliated graphene deposited on the substrates. After deposition, the films were exposed to 13.56 MHz radio-frequency plasma containing an admixture of argon and nitrogen gases. Plasma treatment modified the electrical properties with a response analogous to that of a rectifier. A 39% increase in transmittance in the visible region was also observed especially for glass substrates after plasma treatment without a significant change in film electrical conductivity.

  13. Deposition of thin films by retardation of an isotope separator beam

    International Nuclear Information System (INIS)

    Colligon, J.S.; Grant, W.A.; Williams, J.S.; Lawson, R.P.W.

    1976-01-01

    An ion optical lens system capable of retarding and focusing a mass-analysed ion beam, produced in the University of Salford isotope separator, from an energy of 20 keV to 50-60 eV is described. Using this system it is technically feasible to deposit spectroscopically pure ions of all species onto a substrate to produce thin film for devices and junctions. Preliminary investigations of the technique have been carried out using lead and copper ions which were deposited onto silicon single-crystal substrates. These ions were selected because their high mass relative to silicon allowed analyses of the deposited films by low-angle Rutherford backscattering of 2 MeV He ions; the single-crystal silicon substrate enabled the extent of damage due to unretarded neutral particles to be estimated from channelling data. Results for lead films showed that films less than 150 A in thickness were discontinuous and scanning electron microscopy confirmed their 'island' structure. For thicker deposits, of order 600 A, the films were continuous. Results are also presented for copper-lead sandwich layers produced by successive depositions. Channelling experiments indicated that the neutral component was less than 5% of the total ion-beam intensity. Investigations of the spatial distribution of the lead films indicated a non-uniformity which, it is suggested, arises from a fault in the retardation lens design. (author)

  14. Pure and Sn-doped ZnO films produced by pulsed laser deposition

    DEFF Research Database (Denmark)

    Holmelund, E.; Schou, Jørgen; Tougaard, S.

    2002-01-01

    A new technique, metronome doping, has been used for doping of films during pulsed laser deposition (PLD). This technique makes it possible to dope continuously during film growth with different concentrations of a dopant in one deposition sequence. Films of pure and doped ZnO have been produced...

  15. Excimer pulsed laser deposition and annealing of YSZ nanometric films on Si substrates

    International Nuclear Information System (INIS)

    Caricato, A.P.; Barucca, G.; Di Cristoforo, A.; Leggieri, G.; Luches, A.; Majni, G.; Martino, M.; Mengucci, P.

    2005-01-01

    We report experimental results obtained for electrical and structural characteristics of yttria-stabilised zirconia (YSZ) thin films deposited by pulsed laser deposition (PLD) on Si substrates at room temperature. Some samples were submitted to thermal treatments in different ambient atmospheres (vacuum, N 2 and O 2 ) at a moderate temperature. The effects of thermal treatments on the film electrical properties were studied by C-V and I-V measurements. Structural characteristics were obtained by X-ray diffraction (XRD), X-ray reflectivity (XRR) and transmission electron microscopy (TEM) analyses. The as-deposited film was amorphous with an in-depth non-uniform density. The annealed films became polycrystalline with a more uniform density. The sample annealed in O 2 was uniform over all the thickness. Electrical characterisation showed large hysteresis, high leakage current and positive charges trapped in the oxide in the as-deposited film. Post-deposition annealing, especially in O 2 atmosphere, improved considerably the electrical properties of the films

  16. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    International Nuclear Information System (INIS)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-01-01

    The properties of WN x C y films deposited by atomic layer deposition (ALD) using WF 6 , NH 3 , and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm 3 . The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ∼48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC 1-x and β-W 2 N with an equiaxed microstructure. The barrier property of this ALD-WN x C y film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 deg. C for 30 min

  17. Surface Modification of Aerosol-Assisted CVD Produced TiO2 Thin Film for Dye Sensitised Solar Cell

    Directory of Open Access Journals (Sweden)

    SuPei Lim

    2014-01-01

    Full Text Available We report a simple and convenient method for the preparation of Ag/TiO2 thin films supported on indium tin oxide, which was achieved by sonochemical deposition of Ag+ on aerosol-assisted chemical vapour deposited TiO2 thin films. Posttreatment was performed on the film by immersion in HCl. The as-prepared composite film was characterised by X-ray diffraction, ultraviolet-visible absorption spectroscopy, Raman spectroscopy, and field emission scanning electron microscopy. The photoelectrochemical measurements and J-V characterisation showed approximately fivefold increase in photocurrent density generation and approximately sevenfold enhancement in dye sensitiser solar cell (DSSC conversion efficiency, which was achieved after modification of the TiO2 film with HCl posttreatment and Ag particle deposition. The improved photocurrent density of 933.30 μA/cm2, as well as DSSC power conversion efficiency of 3.63% with high stability, is an indication that the as-synthesised thin film is a potential candidate for solar energy conversion applications.

  18. Ion beam induced luminescence characterisation of CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Bettiol, A.A.; Gonon, P.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The characterisation of the band structure properties of materials and devices by ion microprobe techniques has been made possible at the Melbourne MeV ion microprobe facility with the development of Ion Beam Induced Luminescence (IBIL). A number of diamond films grown by Microwave Plasma Chemical Vapour Deposition (MPCVD) on silicon substrates are analysed. A preliminary study of the luminescence properties of these samples has revealed information not previously obtainable via traditional microprobe techniques. The optical effects of incorporating dopants during the deposition process is determined using IBIL. The presence of trace element impurities introduced during growth is examined by Particle Induced X-ray Emission (PIXE), and a measurement of the film thickness is made using Rutherford Backscattering Spectrometry (RBS). 7 refs., 2 figs.

  19. Ion beam induced luminescence characterisation of CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Bettiol, A A; Gonon, P; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The characterisation of the band structure properties of materials and devices by ion microprobe techniques has been made possible at the Melbourne MeV ion microprobe facility with the development of Ion Beam Induced Luminescence (IBIL). A number of diamond films grown by Microwave Plasma Chemical Vapour Deposition (MPCVD) on silicon substrates are analysed. A preliminary study of the luminescence properties of these samples has revealed information not previously obtainable via traditional microprobe techniques. The optical effects of incorporating dopants during the deposition process is determined using IBIL. The presence of trace element impurities introduced during growth is examined by Particle Induced X-ray Emission (PIXE), and a measurement of the film thickness is made using Rutherford Backscattering Spectrometry (RBS). 7 refs., 2 figs.

  20. Fundamental Mechanisms of Roughening and Smoothing During Thin Film Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Headrick, Randall [Univ. of Vermont, Burlington, VT (United States)

    2016-03-18

    In this research program, we have explored the fundamental limits for thin film deposition in both crystalline and amorphous (i.e. non-crystalline) materials systems. For vacuum-based physical deposition processes such as sputter deposition, the background gas pressure of the inert gas (usually argon) used as the process gas has been found to be a key variable. Both a roughness transition and stress transition as a function of pressure have been linked to a common mechanism involving collisions of energetic particles from the deposition source with the process inert gas. As energetic particles collide with gas molecules in the deposition process they lose their energy rapidly if the pressure (and background gas density) is above a critical value. Both roughness and stress limit important properties of thin films for applications. In the area of epitaxial growth we have also discovered a related effect; there is a critical pressure below which highly crystalline layers grow in a layer-by-layer mode. This effect is also though to be due to energetic particle thermalization and scattering. Several other important effects such as the observation of coalescence dominated growth has been observed. This mode can be likened to the behavior of two-dimensional water droplets on the hood of a car during a rain storm; as the droplets grow and touch each other they tend to coalesce rapidly into new larger circular puddles, and this process proceeds exponentially as larger puddles overtake smaller ones and also merge with other large puddles. This discovery will enable more accurate simulations and modeling of epitaxial growth processes. We have also observed that epitaxial films undergo a roughening transition as a function of thickness, which is attributed to strain induced by the crystalline lattice mismatch with the substrate crystal. In addition, we have studied another physical deposition process called pulsed laser deposition. It differs from sputter deposition due to the

  1. Cobalt Xanthate Thin Film with Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    İ. A. Kariper

    2013-01-01

    Full Text Available Cobalt xanthate thin films (CXTFs were successfully deposited by chemical bath deposition, onto amorphous glass substrates, as well as on p- and n-silicon, indium tin oxide, and poly(methyl methacrylate. The structure of the films was analyzed by far-infrared spectrum (FIR, mid-infrared (MIR spectrum, nuclear magnetic resonance (NMR, and scanning electron microscopy (SEM. These films were investigated from their structural, optical, and electrical properties point of view. Electrical properties were measured using four-point method, whereas optical properties were investigated via UV-VIS spectroscopic technique. Uniform distribution of grains was clearly observed from the photographs taken by scanning electron microscope (SEM. The transmittance was about 70–80% (4 hours, 50°C. The optical band gap of the CXTF was graphically estimated to be 3.99–4.02 eV. The resistivity of the films was calculated as 22.47–75.91 Ω·cm on commercial glass depending on film thickness and 44.90–73.10 Ω ·cm on the other substrates. It has been observed that the relative resistivity changed with film thickness. The MIR and FIR spectra of the films were in agreement with the literature analogues. The expected peaks of cobalt xanthate were observed in NMR analysis on glass. The films were dipped in chloroform as organic solvent and were analyzed by NMR.

  2. Mechanisms of oxygen permeation through plastic films and barrier coatings

    International Nuclear Information System (INIS)

    Wilski, Stefan; Wipperfürth, Jens; Jaritz, Montgomery; Kirchheim, Dennis; Dahlmann, Rainer; Hopmann, Christian; Mitschker, Felix; Awakowicz, Peter

    2017-01-01

    Oxygen and water vapour permeation through plastic films in food packaging or other applications with high demands on permeation are prevented by inorganic barrier films. Most of the permeation occurs through small defects (<3 µ m) in the barrier coating. The defects were visualized by etching with reactive oxygen in a capacitively coupled plasma and subsequent SEM imaging. In this work, defects in SiO x -coatings deposited by plasma-enhanced chemical vapour deposition on polyethylene terephthalate (PET) are investigated and the mass transport through the polymer is simulated in a 3D approach. Calculations of single defects showed that there is no linear correlation between the defect area and the resulting permeability. The influence of adjacent defects in different distances was observed and led to flow reduction functions depending on the defect spacing and defect area. A critical defect spacing where no interaction between defects occurs was found and compared to other findings. According to the superposition principle, the permeability of single defects was added up and compared to experimentally determined oxygen permeation. The results showed the same trend of decreasing permeability with decreasing defect densities. (paper)

  3. Effect of PbI{sub 2} deposition rate on two-step PVD/CVD all-vacuum prepared perovskite

    Energy Technology Data Exchange (ETDEWEB)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha; Fostiropoulos, Konstantinos, E-mail: fostiropoulos@helmholtz-berlin.de

    2016-12-15

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI{sub 2} and chemical vapour deposition (CVD) of CH{sub 3}NH{sub 3}I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI{sub 2} film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH{sub 3}NH{sub 3}I is fostered for PbI{sub 2} films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film is also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI{sub 2} on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH{sub 3}NCH{sub 3} molecules been fostered by increasing the PVD rate of PbI{sub 2} and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI{sub 2} (001) crystallite planes. • CH{sub 3}NH{sub 3}I intercalation process fostered by increased PbI{sub 2} PVD rate. • Stoichiometric CH{sub 3}NH{sub 3}PbI{sub 3} suitable as absorber in photovoltaic applications • Reduced PbI{sub 2} residue at the bottom of CH{sub 3}NH{sub 3}PbI{sub 3} improves device performance.

  4. Thermal conductivity of nitride films of Ti, Cr, and W deposited by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Jagannadham, Kasichainula

    2015-01-01

    Nitride films of Ti, Cr, and W were deposited using reactive magnetron sputtering from metal targets in argon and nitrogen plasma. TiN films with (200) orientation were achieved on silicon (100) at the substrate temperature of 500 and 600 °C. The films were polycrystalline at lower temperature. An amorphous interface layer was observed between the TiN film and Si wafer deposited at 600 °C. TiN film deposited at 600 °C showed the nitrogen to Ti ratio to be near unity, but films deposited at lower temperature were nitrogen deficient. CrN film with (200) orientation and good stoichiometry was achieved at 600 °C on Si(111) wafer but the film deposited at 500 °C showed cubic CrN and hexagonal Cr 2 N phases with smaller grain size and amorphous back ground in the x-ray diffraction pattern. An amorphous interface layer was not observed in the cubic CrN film on Si(111) deposited at 600 °C. Nitride film of tungsten deposited at 600 °C on Si(100) wafer was nitrogen deficient, contained both cubic W 2 N and hexagonal WN phases with smaller grain size. Nitride films of tungsten deposited at 500 °C were nonstoichiometric and contained cubic W 2 N and unreacted W phases. There was no amorphous phase formed along the interface for the tungsten nitride film deposited at 600 °C on the Si wafer. Thermal conductivity and interface thermal conductance of all the nitride films of Ti, Cr, and W were determined by transient thermoreflectance technique. The thermal conductivity of the films as function of deposition temperature, microstructure, nitrogen stoichiometry and amorphous interaction layer at the interface was determined. Tungsten nitride film containing both cubic and hexagonal phases was found to exhibit much higher thermal conductivity and interface thermal conductance. The amorphous interface layer was found to reduce effective thermal conductivity of TiN and CrN films

  5. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Shaji, S.; Garcia, L.V.; Loredo, S.L.; Krishnan, B.

    2017-01-01

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb_2S_3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb_2S_3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb_2S_3 thin films for optoelectronic applications.

  6. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); Garcia, L.V. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); Loredo, S.L. [Centro de Investigación en Materiales Avanzados (CIMAV), Unidad Monterrey, PIIT, Apodaca, Nuevo León (Mexico); Krishnan, B. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); and others

    2017-01-30

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb{sub 2}S{sub 3}) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb{sub 2}S{sub 3} thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb{sub 2}S{sub 3} thin films for optoelectronic applications.

  7. Nanostructured Diamond-Like Carbon Films Grown by Off-Axis Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Seong Shan Yap

    2015-01-01

    Full Text Available Nanostructured diamond-like carbon (DLC films instead of the ultrasmooth film were obtained by pulsed laser ablation of pyrolytic graphite. Deposition was performed at room temperature in vacuum with substrates placed at off-axis position. The configuration utilized high density plasma plume arriving at low effective angle for the formation of nanostructured DLC. Nanostructures with maximum size of 50 nm were deposited as compared to the ultrasmooth DLC films obtained in a conventional deposition. The Raman spectra of the films confirmed that the films were diamond-like/amorphous in nature. Although grown at an angle, ion energy of >35 eV was obtained at the off-axis position. This was proposed to be responsible for subplantation growth of sp3 hybridized carbon. The condensation of energetic clusters and oblique angle deposition correspondingly gave rise to the formation of nanostructured DLC in this study.

  8. Synthesis, microstructural characterization and optical properties of undoped, V and Sc doped ZnO thin films

    International Nuclear Information System (INIS)

    Amezaga-Madrid, P.; Antunez-Flores, W.; Ledezma-Sillas, J.E.; Murillo-Ramirez, J.G.; Solis-Canto, O.; Vega-Becerra, O.E.; Martinez-Sanchez, R.; Miki-Yoshida, M.

    2011-01-01

    Research highlights: → Undoped, V and Sc doped ZnO thin films by Aerosol Assisted Chemical Vapour Deposition. → Optimum substrate temperatures of 673 K and 623 K for Sc and V doped films. → Around one third of the dopants in solution were deposited into the films. → Crystallite and grain size decreased with the increase of dopant concentration. → Optical band gap increased from 3.29 to 3.32 eV for undoped to 7 Sc/Zn at. %. - Abstract: Many semiconductor oxides (ZnO, TiO 2 , SnO 2 ) when doped with a low percentage of non-magnetic (V, Sc) or magnetic 3d (Co, Mn, Ni, Fe) cation behave ferromagnetically. They have attracted a great deal of interest due to the integration of semiconducting and magnetic properties in a material. ZnO is one of the most promising materials to carry out these tasks in view of the fact that it is optically transparent and has n or p type conductivity. Here, we report the synthesis, microstructural characterization and optical properties of undoped, V and Sc doped zinc oxide thin films. ZnO based thin films with additions of V and Sc were deposited by the Aerosol Assisted Chemical Vapour Deposition method. V and Sc were incorporated separately in the precursor solution. The films were uniform, transparent and non-light scattering. The microstructure of the films was characterized by Grazing Incidence X-ray Diffraction, Scanning Electron Microscopy, and Scanning Probe Microscopy. Average grain size and surface rms roughness were estimated by the measurement of Atomic Force Microscopy. The microstructure of doped ZnO thin films depended on the type and amount of dopant material incorporated. The optical properties were determined from specular reflectance and transmittance spectra. Results were analyzed to determine the optical constant and band gap of the films. An increase in the optical band gap with the content of Sc dopant was obtained.

  9. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  10. Synthesis and characterization of thin films of nitrided amorphous carbon deposited by laser ablation

    International Nuclear Information System (INIS)

    Rebollo P, B.

    2001-01-01

    The objective of this work is the synthesis and characterization of thin films of amorphous carbon (a-C) and thin films of nitrided amorphous carbon (a-C-N) using the laser ablation technique for their deposit. For this purpose, the physical properties of the obtained films were studied as function of diverse parameters of deposit such as: nitrogen pressure, power density, substrate temperature and substrate-target distance. For the characterization of the properties of the deposited thin films the following techniques were used: a) Raman spectroscopy which has demonstrated being a sensitive technique to the sp 2 and sp 3 bonds content, b) Energy Dispersive Spectroscopy which allows to know semi-quantitatively way the presence of the elements which make up the deposited films, c) Spectrophotometry, for obtaining the absorption spectra and subsequently the optical energy gap of the deposited material, d) Ellipsometry for determining the refraction index, e) Scanning Electron Microscopy for studying the surface morphology of thin films and, f) Profilemetry, which allows the determination the thickness of the deposited thin films. (Author)

  11. Microstructure and morphology of SiOx film deposited by APCVD

    International Nuclear Information System (INIS)

    Zhang Jiliang; Li Jian; Luo Laima; Wo Yinhua

    2009-01-01

    A kind of silicon rich oxide (SiO x ) film deposited on aluminum substrate by atmospheric pressure chemical vapor deposition (APCVD) was reported. The morphology and microstructure of the film were characterized by scanning electron microscopy, transmission electron microscopy, X-ray diffraction (XRD) and transmission electron diffraction (TED). The deposition process is proposed to be a series of nucleation, growth and close stacking of non-uniform SiO x cells, which are stacked up by lots of SiO x laminae. A growth mechanism of the film according to the Stranski-Krastanov model is presented. In the growth process, the SiO x molecules incline to cluster like an island and merge into a layer and, then, form a laminar structure of SiO x cell. High resolution transmission electronic microscopy (TEM) picture shows that the film is basically amorphous with a little micro crystalline zone in it, which is certified by the XRD and TED results. The differences between this SiO x film and the common polycrystalline SiO 2 are also discussed in this paper

  12. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  13. Pulsed laser deposition of AlMgB14 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Britson, Jason Curtis [Iowa State Univ., Ames, IA (United States)

    2008-11-18

    Hard, wear-resistant coatings of thin film borides based on AlMgB14 have the potential to be applied industrially to improve the tool life of cutting tools and pump vanes and may account for several million dollars in savings as a result of reduced wear on these parts. Past work with this material has shown that it can have a hardness of up to 45GPa and be fabricated into thin films with a similar hardness using pulsed laser deposition. These films have already been shown to be promising for industrial applications. Cutting tools coated with AlMgB14 used to mill titanium alloys have been shown to substantially reduce the wear on the cutting tool and extend its cutting life. However, little research into the thin film fabrication process using pulsed laser deposition to make AlMgB14 has been conducted. In this work, research was conducted into methods to optimize the deposition parameters for the AlMgB14 films. Processing methods to eliminate large particles on the surface of the AlMgB14 films, produce films that were at least 1m thick, reduce the surface roughness of the films, and improve the adhesion of the thin films were investigated. Use of a femtosecond laser source rather than a nanosecond laser source was found to be effective in eliminating large particles considered detrimental to wear reduction properties from the films. Films produced with the femtosecond laser were also found to be deposited at a rate 100 times faster than those produced with the nanosecond laser. However, films produced with the femtosecond laser developed a relatively high RMS surface roughness around 55nm. Attempts to decrease the surface roughness were largely unsuccessful. Neither increasing the surface temperature of the substrate during deposition nor using a double pulse to ablate the material was found to be extremely successful to reduce the surface roughness. Finally, the adhesion of the thin films to M2 tool steel

  14. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    International Nuclear Information System (INIS)

    He, Y.Y.; Zhang, G.F.; Zhao, Y.; Liu, D.D.; Cong, Y.; Buck, V.

    2015-01-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect

  15. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    Energy Technology Data Exchange (ETDEWEB)

    He, Y.Y. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Zhang, G.F. [School of Materials Science and Engineering, Dalian University of Technology, 116024, Dalian China (China); Zhao, Y.; Liu, D.D. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Cong, Y., E-mail: congyan@ciomp.ac.cn [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Buck, V. [Thin Film Technology Group, Faculty of Physics, University Duisburg-Essen and CeNIDE, 47057 Duisburg (Germany)

    2015-09-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect.

  16. Underpotential deposition-mediated layer-by-layer growth of thin films

    Science.gov (United States)

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  17. Gold catalytic Growth of Germanium Nanowires by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    M. Zahedifar

    2013-03-01

    Full Text Available Germanium nanowires (GeNWs were synthesized using chemical vapor deposition (CVD based on vapor–liquid–solid (VLS mechanism with Au nanoparticles as catalyst and germanium tetrachloride (GeCl4 as a precursor of germanium. Au catalysts were deposited on silicon wafer as a thin film, firstly by sputtering technique and secondly by submerging the silicon substrates in Au colloidal solution, which resulted in Au nanoparticles with different sizes. GeNWs were synthesized at 400 °C, which is a low temperature for electrical device fabrication. Effect of different parameters such as Au nanoparticles size, carrier gas (Ar flow and mixture of H2 with the carrier gas on GeNWs diameter and shape was studied by SEM images. The chemical composition of the nanostructure was also examined by energy dispersive X-ray spectroscopy (EDS.

  18. Pulsed laser deposition of Cu-Sn-S for thin film solar cells

    DEFF Research Database (Denmark)

    Ettlinger, Rebecca Bolt; Crovetto, Andrea; Bosco, Edoardo

    Thin films of copper tin sulfide were deposited from a target of the stoichiometry Cu:Sn:S ~1:2:3 using pulsed laser deposition (PLD). Annealing with S powder resulted in films close to the desired Cu2SnS3 stoichiometry although the films remained Sn rich. Xray diffraction showed that the final...... films contained both cubic-phase Cu2SnS3 and orthorhombic-phase SnS...

  19. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  20. Characterization of transparent silica films deposited on polymeric materials

    International Nuclear Information System (INIS)

    Teshima, K.; Sugimura, H.; Inoue, Y.; Takai, O.

    2002-01-01

    Silica films were synthesized by capacitively coupled RF PECVD using mixtures of organo-silane and oxygen as a source. The chemical bonding states and compositions of the films deposited were evaluated with FTIR and XPS. Film surfaces and cross-sections were observed by SEM. Oxygen transmission rates (OTR) of the films coated on polyethylene terephthalate (PET) substrates were measured by an isopiestic method. (Authors)

  1. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO₂ Films Deposited by Atomic Layer Deposition.

    Science.gov (United States)

    Wilson, Rachel L; Simion, Cristian Eugen; Blackman, Christopher S; Carmalt, Claire J; Stanoiu, Adelina; Di Maggio, Francesco; Covington, James A

    2018-03-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO₂ and inferred for TiO₂. In this paper, TiO₂ thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO₂ films were exposed to different concentrations of CO, CH₄, NO₂, NH₃ and SO₂ to evaluate their gas sensitivities. These experiments showed that the TiO₂ film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH₄ and NH₃ exposure indicated typical n -type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  2. Low-temperature transport in ultra-thin tungsten films

    Energy Technology Data Exchange (ETDEWEB)

    Chiatti, Olivio [Neue Materialien, Institut fuer Physik, Humboldt-Univ. Berlin (Germany); London Centre for Nanotechnology, University College London (United Kingdom); Nash, Christopher; Warburton, Paul [London Centre for Nanotechnology, University College London (United Kingdom)

    2012-07-01

    Tungsten-containing films, fabricated by focused-ion-beam-induced chemical vapour deposition, are known to have an enhanced superconducting transition temperature compared to bulk tungsten, and have been investigated previously for film thickness down to 25 nm. In this work, by using ion-beam doses below 50 pC/{mu}m{sup 2} on a substrate of amorphous silicon, we have grown continuous films with thickness below 20 nm. The electron transport properties were investigated at temperatures down to 350 mK and in magnetic fields up to 3 T, parallel and perpendicular to the films. The films in this work are closer to the limit of two-dimensional systems and are superconducting at low temperatures. Magnetoresistance measurements yield upper critical fields of the order of 1 T, and the resulting coherence length is smaller than the film thickness.

  3. Direct current magnetron sputtering deposition of InN thin films

    International Nuclear Information System (INIS)

    Cai Xingmin; Hao Yanqing; Zhang Dongping; Fan Ping

    2009-01-01

    In this paper, InN thin films were deposited on Si (1 0 0) and K9 glass by reactive direct current magnetron sputtering. The target was In metal with the purity of 99.999% and the gases were Ar (99.999%) and N 2 (99.999%). The properties of InN thin films were studied. Scanning electron microscopy (SEM) shows that the film surface is very rough and energy dispersive X-ray spectroscopy (EDX) shows that the film contains In, N and very little O. X-ray diffraction (XRD) and Raman scattering reveal that the film mainly contains hexagonal InN. The four-probe measurement shows that InN film is conductive. The transmission measurement demonstrates that the transmission of InN deposited on K9 glass is as low as 0.5% from 400 nm to 800 nm.

  4. Physical vapor deposition of cubic boron nitride thin films

    International Nuclear Information System (INIS)

    Kester, D.J.

    1991-01-01

    Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited

  5. Optoelectronic properties of cadmium sulfide thin films deposited by thermal evaporation technique

    International Nuclear Information System (INIS)

    Ali, N.; Iqbal, M.A.; Hussain, S.T.; Waris, M.; Munair, S.A.

    2011-01-01

    The substrate temperature in depositions of thin films plays a vital role in the characteristics of deposited films. We studied few characteristics of cadmium sulphide thin film deposited at different temperature (150 deg. C- 300 deg. C) on corning 7059 glass substrate. We measured transmittance, absorbance, band gap and reflectance via UV spectroscopy. It was found that the transmittance for 300 nm to 1100 nm was greater than 80%. The resistivity and mobility was calculated by Vander Pauw method which were 10-80 cm and 2-60 cm/sup 2/V/sup -1/S/sup -1/ respectively. The thermoelectric properties of the film were measured by hot and cold probe method which shows the N-type nature of the film. (author)

  6. Photoemission Spectroscopy Characterization of Attempts to Deposit MoO2 Thin Film

    Directory of Open Access Journals (Sweden)

    Irfan

    2011-01-01

    Full Text Available Attempts to deposit molybdenum dioxide (MoO2 thin films have been described. Electronic structure of films, deposited by thermal evaporation of MoO2 powder, had been investigated with ultraviolet photoemission and X-ray photoemission spectroscopy (UPS and XPS. The thermally evaporated films were found to be similar to the thermally evaporated MoO3 films at the early deposition stage. XPS analysis of MoO2 powder reveals presence of +5 and +6 oxidation states in Mo 3d core level along with +4 state. The residue of MoO2 powder indicates substantial reduction in higher oxidation states while keeping +4 oxidation state almost intact. Interface formation between chloroaluminum phthalocyanine (AlPc-Cl and the thermally evaporated film was also investigated.

  7. High quality antireflective ZnS thin films prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Tec-Yam, S.; Rojas, J.; Rejón, V.; Oliva, A.I.

    2012-01-01

    Zinc sulfide (ZnS) thin films for antireflective applications were deposited on glass substrates by chemical bath deposition (CBD). Chemical analysis of the soluble species permits to predict the optimal pH conditions to obtain high quality ZnS films. For the CBD, the ZnCl 2 , NH 4 NO 3 , and CS(NH 2 ) 2 were fixed components, whereas the KOH concentration was varied from 0.8 to 1.4 M. Groups of samples with deposition times from 60 to 120 min were prepared in a bath with magnetic agitation and heated at 90 °C. ZnS films obtained from optimal KOH concentrations of 0.9 M and 1.0 M exhibited high transparency, homogeneity, adherence, and crystalline. The ZnS films presented a band gap energy of 3.84 eV, an atomic Zn:S stoichiometry ratio of 49:51, a transmittance above 85% in the 300–800 nm wavelength range, and a reflectance below 25% in the UV–Vis range. X-ray diffraction analysis revealed a cubic structure in the (111) orientation for the films. The thickness of the films was tuned between 60 nm and 135 nm by controlling the deposition time and KOH concentration. The incorporation of the CBD-ZnS films into ITO/ZnS/CdS/CdTe and glass/Mo/ZnS heterostructures as antireflective layer confirms their high optical quality. -- Highlights: ► High quality ZnS thin films were prepared by chemical bath deposition (CBD). ► Better CBD-ZnS films were achieved by using 0.9 M-KOH concentration. ► Reduction in the reflectance was obtained for ZnS films used as buffer layers.

  8. Comparative microstructure and electrical property studies of lead scandium tantalate thin films as prepared by LDCVD, sol-gel and sputtering techniques

    International Nuclear Information System (INIS)

    Huang, Z; Donohue, P P; Zhang, Q; Williams, D J; Anthony, C J; Whatmore, R W; Todd, M A

    2003-01-01

    Lead scandium tantalate (PST) thin films for uncooled infrared (IR) detector applications have been deposited by liquid delivery chemical vapour deposition (LDCVD), sputtering and sol-gel techniques. The sol-gel and sputtered films were deposited at low temperature into a non-ferroelectric phase with the required perovskite structure being formed using a high temperature rapid thermal anneal (RTA). In contrast to this, the LDCVD films were deposited at high temperature directly into the perovskite phase but were found to still require a high temperature RTA step to optimize their merit for IR detection. Detailed structural and electrical characterization of the PST films deposited by these different methods have revealed that there is no simple relationship between microstructure and electrical properties. The sol-gel and LDCVD techniques produce thin films with excellent microstructures, as determined by x-ray diffraction analysis and transmission electron microscopy, but inferior electrical properties and relatively low merit figures. By contrast, the sputtered and then rapid thermal annealed films have inferior microstructures, characterized by extensive voiding, but excellent electrical properties and high merit figures

  9. Ion-assisted deposition of thin films

    International Nuclear Information System (INIS)

    Barnett, S.A.; Choi, C.H.; Kaspi, R.; Millunchick, J.M.

    1993-01-01

    Recent work on low-energy ion-assisted deposition of epitaxial films is reviewed. Much of the recent interest in this area has been centered on the use of very low ion energies (∼ 25 eV) and high fluxes (> 1 ion per deposited atom) obtained using novel ion-assisted deposition techniques. These methods have been applied in ultra-high vacuum, allowing the preparation of high-purity device-quality semiconductor materials. The following ion-surface interaction effects during epitaxy are discussed: improvements in crystalline perfection during low temperature epitaxy, ion damage, improved homogeneity and properties in III-V alloys grown within miscibility gaps, and changes in nucleation mechanism during heteroepitaxial growth

  10. Quality of YBCO thin films grown on LAO substrates exposed to the film deposition - film removal processes

    Energy Technology Data Exchange (ETDEWEB)

    Blagoev, B; Nurgaliev, T [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Mozhaev, P B [Institute of Physics and Technology, Russian Academy of Sciences, 117218 Moscow (Russian Federation); Sardela, M; Donchev, T [Materials Research Laboratory, University of Illinois, 104 South Goodwin Ave., Urbana, IL 61801 (United States)], E-mail: blago_sb@yahoo.com

    2008-05-01

    The characteristics are investigated of high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) films grown on LaAlO{sub 3} (LAO) substrates being exposed a different number of times to YBCO film deposition and acid-solution-based cleaning procedures. Possible mechanisms of degradation of the substrate surface quality reflecting on the growing YBCO film parameters are discussed and analyzed.

  11. Gamma Radiation Dosimetry Using Tellurium Dioxide Thin Film Structures

    Directory of Open Access Journals (Sweden)

    Olga Korostynska

    2002-08-01

    Full Text Available Thin films of Tellurium dioxide (TeO2 were investigated for γ-radiation dosimetry purposes. Samples were fabricated using thin film vapour deposition technique. Thin films of TeO2 were exposed to a 60Co γ-radiation source at a dose rate of 6 Gy/min at room temperature. Absorption spectra for TeO2 films were recorded and the values of the optical band gap and energies of the localized states for as-deposited and γ-irradiated samples were calculated. It was found that the optical band gap values were decreased as the radiation dose was increased. Samples with electrical contacts having a planar structure showed a linear increase in current values with the increase in radiation dose up to a certain dose level. The observed changes in both the optical and the electrical properties suggest that TeO2 thin film may be considered as an effective material for room temperature real time γ-radiation dosimetry.

  12. An in-situ chemical reaction deposition of nanosized wurtzite CdS thin films

    International Nuclear Information System (INIS)

    Chu Juan; Jin Zhengguo; Cai Shu; Yang Jingxia; Hong Zhanglian

    2012-01-01

    Nanocrystalline CdS thin films were deposited on glass substrates by an ammonia-free in-situ chemical reaction synthesis technique using cadmium cationic precursor solid films as reaction source and sodium sulfide based solutions as anionic reaction medium. Effects of ethanolamine addition to the cadmium cationic precursor solid films, deposition cycle numbers and annealing treatments in Ar atmosphere on structure, morphology, chemical composition and optical properties of the resultant films were investigated by X-ray diffraction, field emission scanning electron microscope, energy dispersive X-ray analysis and UV–Vis spectra measurements. The results show that CdS thin films deposited by the in-situ chemical reaction synthesis have wurtzite structure with (002) plane preferential orientation and crystallite size is in the range of 16 nm–19 nm. The growth of film thickness is almost constant with deposition cycle numbers and about 96 nm per cycle.

  13. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  14. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    Science.gov (United States)

    Grave, Daniel A.

    Gadolinium oxide (Gd2O3) is an attractive material for solid state neutron detection due to gadolinium's high thermal neutron capture cross section. Development of neutron detectors based on Gd2 O3 requires sufficiently thick films to ensure neutron absorption. In this dissertation work, the process-structure-property relationships of micron thick Gd2O3 films deposited by reactive electron-beam physical vapor deposition (EB-PVD) were studied. Through a systematic design of experiments, fundamental studies were conducted to determine the effects of processing conditions such as deposition temperature, oxygen flow rate, deposition rate, and substrate material on Gd2O3 film crystallographic phase, texture, morphology, grain size, density, and surface roughness. Films deposited at high rates (> 5 A/s) were examined via x-ray diffraction (XRD) and Raman spectroscopy. Quantitative phase volume calculations were performed via a Rietveld refinement technique. All films deposited at high rates were found to be fully monoclinic or mixed cubic/monoclinic phase. Generally, increased deposition temperature and increased oxygen flow resulted in increased cubic phase volume. As film thickness increased, monoclinic phase volume increased. Grazing incidence x-ray diffraction (GIXRD) depth profiling analysis showed that cubic phase was only present under large incidence angle (large penetration depth) measurements, and after a certain point, only monoclinic phase was grown. This was confirmed by transmission electron microscopy (TEM) analysis with selected area diffraction (SAD). Based on this information, a large compressive stress was hypothesized to cause the formation of the monoclinic phase and this hypothesis was confirmed by demonstrating the existence of a stress induced phase transition. An experiment was designed to introduce compressive stress into the Gd2O 3 films via ion beam assisted deposition (IBAD). This allowed for systematic increase in compressive stress while

  15. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  16. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  17. Microstructure and chemical bonding of DLC films deposited on ACM rubber by PACVD

    NARCIS (Netherlands)

    Martinez-Martinez, D.; Schenkel, M.; Pei, Y.T.; Sánchez-López, J.C.; Hosson, J.Th.M. De

    2011-01-01

    The microstructure and chemical bonding of DLC films prepared by plasma assisted chemical vapor deposition on acrylic rubber (ACM) are studied in this paper. The temperature variation produced by the ion impingement during plasma cleaning and subsequent film deposition was used to modify the film

  18. Sputter-deposited Mg-Al-O thin films: linking molecular dynamics simulations to experiments

    International Nuclear Information System (INIS)

    Georgieva, V; Bogaerts, A; Saraiva, M; Depla, D; Jehanathan, N; Lebelev, O I

    2009-01-01

    Using a molecular dynamics model the crystallinity of Mg x Al y O z thin films with a variation in the stoichiometry of the thin film is studied at operating conditions similar to the experimental operating conditions of a dual magnetron sputter deposition system. The films are deposited on a crystalline or amorphous substrate. The Mg metal content in the film ranged from 100% (i.e. MgO film) to 0% (i.e. Al 2 O 3 film). The radial distribution function and density of the films are calculated. The results are compared with x-ray diffraction and transmission electron microscopy analyses of experimentally deposited thin films by the dual magnetron reactive sputtering process. Both simulation and experimental results show that the structure of the Mg-Al-O film varies from crystalline to amorphous when the Mg concentration decreases. It seems that the crystalline Mg-Al-O films have a MgO structure with Al atoms in between.

  19. Defect studies of ZnO films prepared by pulsed laser deposition on various substrates

    International Nuclear Information System (INIS)

    Melikhova, O; Čížek, J; Procházka, I; Kužel, R; Novotný, M; Bulír, J; Lancok, J; Anwand, W; Brauer, G; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P

    2013-01-01

    ZnO thin films deposited on various substrates were characterized by slow positron implantation spectroscopy (SPIS) combined with X-ray diffraction (XRD). All films studied exhibit wurtzite structure and crystallite size 20–100 nm. The mosaic spread of crystallites is relatively small for the films grown on single crystalline substrates while it is substantial for the film grown on amorphous substrate. SPIS investigations revealed that ZnO films deposited on single crystalline substrates exhibit significantly higher density of defects than the film deposited on amorphous substrate. This is most probably due to a higher density of misfit dislocations, which compensate for the lattice mismatch between the film and the substrate.

  20. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  1. Stripe domains and magnetoresistance in thermally deposited nickel films

    International Nuclear Information System (INIS)

    Sparks, P.D.; Stern, N.P.; Snowden, D.S.; Kappus, B.A.; Checkelsky, J.G.; Harberger, S.S.; Fusello, A.M.; Eckert, J.C.

    2004-01-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17 nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21±0.02 up to 120 nm thickness. There is a negative magnetoresistance for fields out of the plane

  2. Stripe domains and magnetoresistance in thermally deposited nickel films

    Science.gov (United States)

    Sparks, P. D.; Stern, N. P.; Snowden, D. S.; Kappus, B. A.; Checkelsky, J. G.; Harberger, S. S.; Fusello, A. M.; Eckert, J. C.

    2004-05-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21+/-0.02 up to 120nm thickness. There is a negative magnetoresistance for fields out of the plane.

  3. Stripe domains and magnetoresistance in thermally deposited nickel films

    Energy Technology Data Exchange (ETDEWEB)

    Sparks, P.D. E-mail: sparks@hmc.edu; Stern, N.P.; Snowden, D.S.; Kappus, B.A.; Checkelsky, J.G.; Harberger, S.S.; Fusello, A.M.; Eckert, J.C

    2004-05-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17 nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21{+-}0.02 up to 120 nm thickness. There is a negative magnetoresistance for fields out of the plane.

  4. Surface polish of PLA parts in FDM using dichloromethane vapour

    Directory of Open Access Journals (Sweden)

    Jin Yifan

    2017-01-01

    Full Text Available Fused deposition modelling has become one of the most diffused rapid prototyping techniques, which is widely used to fabricate prototypes. However, further application of this technology is severely limited by poor surface roughness. Thus it is necessary to adopt some operations to improve surface quality. Chemical finishing is typically employed to finish parts in fused deposition modelling (FDM. The purpose of this paper is to decrease the surface roughness for polylactic acid (PLA parts in FDM. The chemical reaction mechanism during the treating process is analysed. Then NaOH solution and dichloromethane vapour are used to treat FDM specimens respectively. A 3D laser microscope has been applied to assess the effects in terms of surface topography and roughness. The experimental results show that treatment using dichloromethane vapour performs much better than NaOH solution. Compared with the untreated group, surface roughness obtained through vapour treatment decreases by 88 per cent. This research has been conducted to provide a better method to treat PLA parts using chemical reagents.

  5. Deposition of plasmon gold–fluoropolymer nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Safonov, Alexey I., E-mail: safonov@itp.nsc.ru [Kutateladze Institute of Thermophysics SB RAS, Lavrentyev Ave. 1, 630090, Novosibirsk (Russian Federation); Sulyaeva, Veronica S. [Nikolaev Institute of Inorganic Chemistry SB RAS, Lavrentyev Ave. 3, 630090, Novosibirsk (Russian Federation); Timoshenko, Nikolay I.; Kubrak, Konstantin V.; Starinskiy, Sergey V. [Kutateladze Institute of Thermophysics SB RAS, Lavrentyev Ave. 1, 630090, Novosibirsk (Russian Federation)

    2016-12-01

    Degradation-resistant two-dimensional metal–fluoropolymer composites consisting of gold nanoparticles coated with a thin fluoropolymer film were deposited on a substrate by hot wire chemical vapour deposition (HWCVD) and ion sputtering. The morphology and optical properties of the obtained coatings were determined. The thickness of the thin fluoropolymer film was found to influence the position of the surface plasmon resonance peak. Numerical calculations of the optical properties of the deposited materials were performed using Mie theory and the finite-difference time-domain (FDTD) method. The calculation results are consistent with the experimental data. The study shows that the position of the resonance peak can be controlled by changing the surface concentration of particles and the thickness of the fluoropolymer coating. The protective coating was found to prevent the plasmonic properties of the nanoparticles from changing for several months. - Highlights: • The gold–fluoropolymer composites are obtained by a combination of GJD and HWCVD. • The optical properties of composites were determined by experiments and calculation. • The dependence of SPR position on filling, NPs size and FP thickness were analyzed. • The plasmonic properties of the Au NPs are saved in the fluoropolymer matrix.

  6. Deposition of Ge{sub 23}Sb{sub 7}S{sub 70} chalcogenide glass films by electrospray

    Energy Technology Data Exchange (ETDEWEB)

    Novak, Spencer, E-mail: spencen@g.clemson.edu [Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, SC (United States); College of Optics and Photonics, CREOL, University of Central FL (United States); Johnston, Danvers E.; Li, Cheng; Deng, Weiwei [Department of Mechanical and Aerospace Engineering, University of Central FL (United States); Richardson, Kathleen [Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, SC (United States); College of Optics and Photonics, CREOL, University of Central FL (United States)

    2015-08-03

    Solution-based chalcogenide glass films, traditionally deposited by spin-coating, are attractive for their potential use in chip-based devices operating in the mid-infrared and for ease of nanostructure incorporation. To overcome limitations of spin-coating such as excessive material waste and difficulty for scale-up, this paper introduces electrospray as a film deposition technique for solution-based chalcogenide glasses. Electrospray is shown to produce Ge{sub 23}Sb{sub 7}S{sub 70} films with similar surface quality and optical properties as films deposited by spin-coating. The advantages of electrospray deposition for nanoparticle dispersion, scalable and continuous manufacturing with little material waste, and comparable film quality to spin-coating make electrospray a promising deposition method for practical applications of chalcogenide glass films. - Highlights: • Electrospray film deposition processing of Ge{sub 23}Sb{sub 7}S{sub 70} films was developed. • Traditional spin-coated films were also fabricated in parallel. • Optical properties and surface quality found to be similar between two approaches.

  7. Deposition of Y thin films by nanosecond UV pulsed laser ablation for photocathode application

    International Nuclear Information System (INIS)

    Lorusso, A.; Anni, M.; Caricato, A.P.; Gontad, F.; Perulli, A.; Taurino, A.; Perrone, A.; Chiadroni, E.

    2016-01-01

    In this work, yttrium (Y) thin films have been deposited on Si (100) substrates by the pulsed laser deposition technique. Ex-situ morphological, structural and optical characterisations of such films have been performed by scanning electron microscopy, X-ray diffractometry, atomic force microscopy and ellipsometry. Polycrystalline films with a thickness of 1.2 μm, homogenous with a root mean square roughness of about 2 nm, were obtained by optimised laser irradiation conditions. Despite the relatively high thickness, the films resulted very adherent to the substrates. The high quality of such thin films is important to the synthesis of metallic photocathodes based on Y thin film, which could be used as electron sources of high photoemission performance in radio-frequency guns. - Highlights: • Pulsed laser deposition of Yttrium thin films is investigated. • 1.2 μm thick films were deposited with very low RMS roughness. • The Y thin films were very adherent to the Si substrate • Optical characterisation showed a very high absorption coefficient for the films.

  8. Deposition of Y thin films by nanosecond UV pulsed laser ablation for photocathode application

    Energy Technology Data Exchange (ETDEWEB)

    Lorusso, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Anni, M. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Caricato, A.P. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Gontad, F., E-mail: francisco.gontad@le.infn.it [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Perulli, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Taurino, A. [National Research Council, Institute for Microelectronics & Microsystems, 73100 Lecce (Italy); Perrone, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Chiadroni, E. [Laboratori Nazionali di Frascati, Istituto Nazionale di Fisica Nucleare, 00044 Frascati (Italy)

    2016-03-31

    In this work, yttrium (Y) thin films have been deposited on Si (100) substrates by the pulsed laser deposition technique. Ex-situ morphological, structural and optical characterisations of such films have been performed by scanning electron microscopy, X-ray diffractometry, atomic force microscopy and ellipsometry. Polycrystalline films with a thickness of 1.2 μm, homogenous with a root mean square roughness of about 2 nm, were obtained by optimised laser irradiation conditions. Despite the relatively high thickness, the films resulted very adherent to the substrates. The high quality of such thin films is important to the synthesis of metallic photocathodes based on Y thin film, which could be used as electron sources of high photoemission performance in radio-frequency guns. - Highlights: • Pulsed laser deposition of Yttrium thin films is investigated. • 1.2 μm thick films were deposited with very low RMS roughness. • The Y thin films were very adherent to the Si substrate • Optical characterisation showed a very high absorption coefficient for the films.

  9. Surface modification of reverse osmosis desalination membranes by thin-film coatings deposited by initiated chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ozaydin-Ince, Gozde, E-mail: gozdeince@sabanciuniv.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Matin, Asif, E-mail: amatin@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Khan, Zafarullah, E-mail: zukhan@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Zaidi, S.M. Javaid, E-mail: zaidismj@kfupm.edu.sa [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gleason, Karen K., E-mail: kkgleasn@mit.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States)

    2013-07-31

    Thin-film polymeric reverse osmosis membranes, due to their high permeation rates and good salt rejection capabilities, are widely used for seawater desalination. However, these membranes are prone to biofouling, which affects their performance and efficiency. In this work, we report a method to modify the membrane surface without damaging the active layer or significantly affecting the performance of the membrane. Amphiphilic copolymer films of hydrophilic hydroxyethylmethacrylate and hydrophobic perfluorodecylacrylate (PFA) were synthesized and deposited on commercial RO membranes using an initiated chemical vapor deposition technique which is a polymer deposition technique that involves free-radical polymerization initiated by gas-phase radicals. Relevant surface characteristics such as hydrophilicity and roughness could be systematically controlled by varying the polymer chemistry. Increasing the hydrophobic PFA content in the films leads to an increase in the surface roughness and hydrophobicity. Furthermore, the surface morphology studies performed using the atomic force microscopy show that as the thickness of the coating increases average surface roughness increases. Using this knowledge, the coating thickness and chemistry were optimized to achieve high permeate flux and to reduce cell attachment. Results of the static bacterial adhesion tests show that the attachment of bacterial cells is significantly reduced on the coated membranes. - Highlights: • Thin films are deposited on reverse osmosis membranes. • Amphiphilic thin films are resistant to protein attachment. • The permeation performance of the membranes is not affected by the coating. • The thin film coatings delayed the biofouling.

  10. Physical properties of very thin SnS films deposited by thermal evaporation

    International Nuclear Information System (INIS)

    Cheng Shuying; Conibeer, Gavin

    2011-01-01

    SnS films with thicknesses of 20–65 nm have been deposited on glass substrates by thermal evaporation. The physical properties of the films were investigated using X-ray diffraction (XRD), scanning electron microscopy, X-ray photoelectron spectroscopy (XPS), Raman spectroscopy, and ultraviolet–visible-near infrared spectroscopy at room temperature. The results from XRD, XPS and Raman spectroscopy analyses indicate that the deposited films mainly exhibit SnS phase, but they may contain a tiny amount of Sn 2 S 3 . The deposited SnS films are pinhole free, smooth and strongly adherent to the surfaces of the substrates. The color of the SnS films changes from pale yellow to brown with the increase of the film thickness from 20 nm to 65 nm. The very smooth surfaces of the thin films result in their high reflectance. The direct bandgap of the films is between 2.15 eV and 2.28 eV which is much larger than 1.3 eV of bulk SnS, this is deserving to be investigated further.

  11. Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Craciun, D., E-mail: doina.craciun@inflpr.ro [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Socol, G. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Lambers, E. [Major Analytical Instrumentation Center, College of Engineering, University of Florida, Gainesville, FL 32611 (United States); McCumiskey, E.J.; Taylor, C.R. [Mechanical and Aerospace Engineering, University of Florida, Gainesville, FL 32611 (United States); Martin, C. [Ramapo College of New Jersey (United States); Argibay, N. [Materials Science and Engineering Center, Sandia National Laboratories, Albuquerque, NM 87123 (United States); Tanner, D.B. [Physics Department, University of Florida, Gainesville, FL 32611 (United States); Craciun, V. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania)

    2015-10-15

    Highlights: • Nanocrystalline ZrC thin film were grown on Si by pulsed laser deposition technique. • Structural properties weakly depend on the CH{sub 4} pressure used during deposition. • The optimum deposition pressure for low resistivity is around 2 × 10{sup −5} mbar CH{sub 4}. • ZrC films exhibited friction coefficients around 0.4 and low wear rates. - Abstract: Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH{sub 4} pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH{sub 4} pressures exhibited slightly higher nanohardness and Young modulus values than films deposited under higher pressures. Tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.

  12. Indium oxide-based transparent conductive films deposited by reactive sputtering using alloy targets

    Science.gov (United States)

    Miyazaki, Yusuke; Maruyama, Eri; Jia, Junjun; Machinaga, Hironobu; Shigesato, Yuzo

    2017-04-01

    High-quality transparent conductive oxide (TCO) films, Sn-doped In2O3 (ITO) and In2O3-ZnO (IZO), were successfully deposited on either synthetic silica or polyethylene terephthalate (PET) substrates in the “transition region” by reactive dc magnetron sputtering using In-Zn and In-Sn alloy targets, respectively, with a specially designed plasma emission feedback system. The composition, crystallinity, surface morphology, and electrical and optical properties of the films were analyzed. All of the IZO films were amorphous, whereas the ITO films were polycrystalline over a wide range of deposition conditions. The minimum resistivities of the IZO and ITO films deposited on the heated PET substrates at 150 °C were 3.3 × 10-4 and 5.4 × 10-4 Ω·cm, respectively. By applying rf bias to unheated PET substrates, ITO films with a resistivity of 4.4 × 10-4 Ω·cm were deposited at a dc self-bias voltage of -60 V.

  13. Indium sulfide thin films as window layer in chemically deposited solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Lugo-Loredo, S. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Peña-Méndez, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Messina-Fernández, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63190 Tepic, Nayarit (Mexico); Alvarez-Gallegos, A. [Universidad Autónoma del Estado de Morelos, Centro de Investigación en Ingeniería y Ciencias Aplicadas, Av. Universidad 1001, C.P. 62209, Cuernavaca Morelos (Mexico); Vázquez-Dimas, A.; Hernández-García, T. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico)

    2014-01-01

    Indium sulfide (In{sub 2}S{sub 3}) thin films have been synthesized by chemical bath deposition technique onto glass substrates using In(NO{sub 3}){sub 3} as indium precursor and thioacetamide as sulfur source. X-ray diffraction studies have shown that the crystalline state of the as-prepared and the annealed films is β-In{sub 2}S{sub 3}. Optical band gap values between 2.27 and 2.41 eV were obtained for these films. The In{sub 2}S{sub 3} thin films are photosensitive with an electrical conductivity value in the range of 10{sup −3}–10{sup −7} (Ω cm){sup −1}, depending on the film preparation conditions. We have demonstrated that the In{sub 2}S{sub 3} thin films obtained in this work are suitable candidates to be used as window layer in thin film solar cells. These films were integrated in SnO{sub 2}:F/In{sub 2}S{sub 3}/Sb{sub 2}S{sub 3}/PbS/C–Ag solar cell structures, which showed an open circuit voltage of 630 mV and a short circuit current density of 0.6 mA/cm{sup 2}. - Highlights: • In{sub 2}S{sub 3} thin films were deposited using the Chemical Bath Deposition technique. • A direct energy band gap between 2.41 to 2.27 eV was evaluated for the In{sub 2}S{sub 3} films. • We made chemically deposited solar cells using the In{sub 2}S{sub 3} thin films.

  14. Vacuum deposition and pulsed modification of Ge thin films on Si. Structure and photoluminescence

    International Nuclear Information System (INIS)

    Batalov, R.I.; Bayazitov, R.M.; Novikov, G.A.; Shustov, V.A.; Bizyaev, D.A.; Gajduk, P.I.; Ivlev, G.D.; Prokop'ev, S.L.

    2013-01-01

    Vacuum deposition of Ge thin films onto Si substrates by magnetron sputtering was studied. During deposition sputtering time and substrate temperature were varied. Nanosecond pulsed annealing of deposited films by powerful laser or ion beams was performed. The dependence of the structure and optical properties of Ge/Si films on parameters of pulsed treatments was investigated. Optimum parameters of deposition and pulsed treatments resulting into light emitting monocrystalline Ge/Si layers are determined. (authors)

  15. Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films

    Energy Technology Data Exchange (ETDEWEB)

    O' Keefe, M.J.; Stutz, C.E.

    1997-07-01

    Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was {approximately}1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in {ge}1 GPa tensile increase in film stress.

  16. Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films

    International Nuclear Information System (INIS)

    O'Keefe, M.J.; Stutz, C.E.

    1997-01-01

    Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was approximately1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in ge1 GPa tensile increase in film stress

  17. Deposition of titanium carbide films from mixed carbon and titanium plasma streams

    International Nuclear Information System (INIS)

    Delplancke-Ogletree, M.; Monteiro, O.R.

    1997-01-01

    Dual source metal plasma immersion ion implantation and deposition was used to deposit Ti x C y films over a wide range of Ti:C composition. This technique is well adapted for this purpose and allows one to tailor the microstructure and properties of the films. We investigated the variation of the composition, bonding states, and structure as functions of the deposition conditions. Excess carbon and contamination oxygen are incorporated in the TiC lattice interstitially and substitutionally, respectively. The wear mechanism of a stoichiometric TiC film was investigated and compared to that of a diamondlike carbon film. TiC fails by wear and microcrack propagation. copyright 1997 American Vacuum Society

  18. Spectroscopic ellipsometry investigations of optical anisotropy in obliquely deposited hafnia thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tokas, R. B., E-mail: tokasstar@gmail.com; Jena, Shuvendu; Thakur, S.; Sahoo, N. K. [Atomic & Molecular Physics Division, Bhabha Atomic Research Centre, Trombay, Mumbai-85 (India); Haque, S. Maidul; Rao, K. Divakar [Photonics & Nanotechnology Section, Atomic & Molecular Physics Division, Bhabha Atomic Research Centre facility, Visakhapatnam-530012 (India)

    2016-05-23

    In present work, HfO{sub 2} thin films have been deposited at various oblique incidences on Si substrates by electron beam evaporation. These refractory oxide films exhibited anisotropy in refractive index predictably due to special columnar microstructure. Spectroscopic ellipsometry being a powerful tool for optical characterization has been employed to investigate optical anisotropy. It was observed that the film deposited at glancing angle (80°) exhibits the highest optical anisotropy. Further, anisotropy was noticed to decrease with lower values of deposition angles while effective refractive index depicts opposite trend. Variation in refractive index and anisotropy has been explained in light of atomic shadowing during growth of thin films at oblique angles.

  19. A method for the detection of alcohol vapours based on optical sensing of magnesium 5,10,15,20-tetraphenyl porphyrin thin film by an optical spectrometer and principal component analysis

    International Nuclear Information System (INIS)

    Kladsomboon, Sumana; Kerdcharoen, Teerakiat

    2012-01-01

    Highlights: ► We prepared magnesium porphyrin thin film as optical sensing materials. ► UV–vis spectrometer was modified to perform as optical artificial nose. ► Change in optical absorption at various spectral regions is used as a sensor array. ► Principal component analysis was employed to discriminate alcohol vapours. - Abstract: In this work we have proposed a method for the detection of alcohol vapours, i.e. methanol, ethanol and isopropanol, based on the optical sensing response of magnesium 5,10,15,20-tetraphenyl porphyrin (MgTPP) thin films, as measured by optical spectrometry with the assistance of chemometric analysis. We have implemented a scheme which allows a laboratory UV–vis spectrometer to act as a so-called “electronic nose” with very little modification. MgTPP thin films were prepared by a spin coating technique, using chloroform as the solvent, and then subjected to thermal annealing at 280 °C in an argon atmosphere. These MgTPP optical gas sensors presented significant responses with methanol compared to ethanol and isopropanol, based on the dynamic flow of alcohol vapours at the same mol% of alcohol concentration. Density functional theory (DFT) calculations were performed to model the underlying mechanism of this selectivity. The performance of the optical gas sensors was optimised by varying the fabrication parameters. It is hoped that the MgTPP thin film together with an off-the-shelf optical spectrometer and a simple chemometrics algorithm can be a valuable tool for the analysis of alcoholic content in the beverage industry.

  20. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    International Nuclear Information System (INIS)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-01-01

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10 -4 and 2.3x10 -4 Ω·cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10 -4 Ω·cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates