WorldWideScience

Sample records for euv spectrophotometer esp

  1. The EUV spectrophotometer on Atmosphere Explorer.

    Science.gov (United States)

    Hinteregger, H. E.; Bedo, D. E.; Manson, J. E.

    1973-01-01

    An extreme ultraviolet (EUV) spectrophotometer for measurements of solar radiation at wavelengths ranging from 140 to 1850 A will be included in the payload of each of the three Atmosphere-Explorer (AE) missions, AE-C, -D, and -E. The instrument consists of 24 grating monochromators, 12 of which can be telecommanded either to execute 128-step scans each covering a relatively small section of the total spectrophotometer wavelength range or to maintain fixed (command-selected) wavelength positions. The remaining 12 nonscan monochromators operate at permanently fixed wavelengths and view only a small fraction of the solar disk except for one viewing the whole sun in H Lyman alpha. Ten of the 12 scan-capable monochromators also view the entire solar disk since their primary function is to measure the total fluxes independent of the distribution of sources across the solar disk.

  2. Normal incidence spectrophotometer using high density transmission grating technology and highly efficiency silicon photodiodes for absolute solar EUV irradiance measurements

    Science.gov (United States)

    Ogawa, H. S.; Mcmullin, D.; Judge, D. L.; Korde, R.

    1992-01-01

    New developments in transmission grating and photodiode technology now make it possible to realize spectrometers in the extreme ultraviolet (EUV) spectral region (wavelengths less than 1000 A) which are expected to be virtually constant in their diffraction and detector properties. Time dependent effects associated with reflection gratings are eliminated through the use of free standing transmission gratings. These gratings together with recently developed and highly stable EUV photodiodes have been utilized to construct a highly stable normal incidence spectrophotometer to monitor the variability and absolute intensity of the solar 304 A line. Owing to its low weight and compactness, such a spectrometer will be a valuable tool for providing absolute solar irradiance throughout the EUV. This novel instrument will also be useful for cross-calibrating other EUV flight instruments and will be flown on a series of Hitchhiker Shuttle Flights and on SOHO. A preliminary version of this instrument has been fabricated and characterized, and the results are described.

  3. How calibration and reference spectra affect the accuracy of absolute soft X-ray solar irradiance measured by the SDO/EVE/ESP during high solar activity

    Science.gov (United States)

    Didkovsky, Leonid; Wieman, Seth; Woods, Thomas

    2016-10-01

    The Extreme ultraviolet Spectrophotometer (ESP), one of the channels of SDO's Extreme ultraviolet Variability Experiment (EVE), measures solar irradiance in several EUV and soft x-ray (SXR) bands isolated using thin-film filters and a transmission diffraction grating, and includes a quad-diode detector positioned at the grating zeroth-order to observe in a wavelength band from about 0.1 to 7.0 nm. The quad diode signal also includes some contribution from shorter wavelength in the grating's first-order and the ratio of zeroth-order to first-order signal depends on both source geometry, and spectral distribution. For example, radiometric calibration of the ESP zeroth-order at the NIST SURF BL-2 with a near-parallel beam provides a different zeroth-to-first-order ratio than modeled for solar observations. The relative influence of "uncalibrated" first-order irradiance during solar observations is a function of the solar spectral irradiance and the locations of large Active Regions or solar flares. We discuss how the "uncalibrated" first-order "solar" component and the use of variable solar reference spectra affect determination of absolute SXR irradiance which currently may be significantly overestimated during high solar activity.

  4. Construction, calibration, and application of a compact spectrophotometer for EUV(300-2500 A) plasma diagnostics

    International Nuclear Information System (INIS)

    Moos, H.W.; Chen, K.I.; Terry, J.L.

    1979-01-01

    A 400-mm normal incidence concave grating spectrophotometer, specifically designed for plasma diagnostics, is described. The wavelength drive, in which the grating is translated as well as rotated, is discussed in detail; the wavelength linearity of the sine drive and methods of improving it are analyzed. The instrument can be used in any orientation, is portable under vacuum, and quite rugged. The construction techniques utilized produce a high quality vacuum making the instrument compatible with both high purity plasma devices and synchrotron radiation sources. The photometric sensitivity calibration was found to be very stable during extended use on high temperature plasma devices. The applications of the instrument to diagnose plasmas in two tokamaks and a mirror device are decribed. A facility used for photometric calibration of extreme ultraviolet (lambda>300-A) spectrophotometers against NBS standard diodes is described. The instrumental calibration obtained using this facility was checked by using synchrotron radiation from SURF II; very good agreement was observed

  5. Radiometry for the EUV lithography; Radiometrie fuer die EUV-Lithographie

    Energy Technology Data Exchange (ETDEWEB)

    Scholze, Frank [Physikalisch-Technische Bundesanstalt (PTB), Berlin (Germany). Arbeitsgruppe ' EUV-Radiometrie' ; Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Puls, Jana; Stadelhoff, Christian

    2014-12-15

    The EUV reflectrometry at the PTB storage BESSY I and BESSY II is described. Results on the reflectivities of some EUV mirrors are presented. Finally the spectral sensitivities of different photodiodes used as EUV detectors are presented. (HSI)

  6. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  7. A Long-Term Dissipation of the EUV He ii (30.4 nm) Segmentation in Full-Disk Solar Images

    Science.gov (United States)

    Didkovsky, Leonid

    2018-06-01

    Some quiet-Sun days observed by the Atmospheric Imaging Assembly (AIA) on-board the Solar Dynamics Observatory (SDO) during the time interval in 2010 - 2017 were used to continue our previous analyses reported by Didkovsky and Gurman ( Solar Phys. 289, 153, 2014a) and Didkovsky, Wieman, and Korogodina ( Solar Phys. 292, 32, 2017). The analysis consists of determining and comparing spatial spectral ratios (spectral densities over some time interval) from spatial (segmentation-cell length) power spectra. The ratios were compared using modeled compatible spatial frequencies for spectra from the Extreme ultraviolet Imaging Telescope (EIT) on-board the Solar and Heliospheric Observatory (SOHO) and from AIA images. With the new AIA data added to the EIT data we analyzed previously, the whole time interval from 1996 to 2017 reported here is approximately the length of two "standard" solar cycles (SC). The spectral ratios of segmentation-cell dimension structures show a significant and steady increase with no detected indication of SC-related returns to the values that characterize the SC minima. This increase in spatial power at high spatial frequencies is interpreted as a dissipation of medium-size EUV network structures to smaller-size structures in the transition region. Each of the latest ratio changes for 2010 through 2017 spectra calculated for a number of consecutive short-term intervals has been converted into monthly mean ratio (MMR) changes. The MMR values demonstrate variable sign and magnitudes, thus confirming the solar nature of the changes. These changes do not follow a "typical" trend of instrumental degradation or a long-term activity profile from the He ii (30.4 nm) irradiance measured by the Extreme ultraviolet Spectrophotometer (ESP) either. The ESP is a channel of the Extreme ultraviolet Variability Experiment (EVE) on-board SDO.

  8. SphinX soft X-ray spectrophotometer: Science objectives, design and performance

    Science.gov (United States)

    Gburek, S.; Sylwester, J.; Kowalinski, M.; Bakala, J.; Kordylewski, Z.; Podgorski, P.; Plocieniak, S.; Siarkowski, M.; Sylwester, B.; Trzebinski, W.; Kuzin, S. V.; Pertsov, A. A.; Kotov, Yu. D.; Farnik, F.; Reale, F.; Phillips, K. J. H.

    2011-06-01

    The goals and construction details of a new design Polish-led X-ray spectrophotometer are described. The instrument is aimed to observe emission from entire solar corona and is placed as a separate block within the Russian TESIS X- and EUV complex aboard the CORONAS-PHOTON solar orbiting observatory. SphinX uses silicon PIN diode detectors for high time resolution measurements of the solar spectra in the range 0.8-15 keV. Its spectral resolution allows for discerning more than hundred separate energy bands in this range. The instrument dynamic range extends two orders of magnitude below and above these representative for GOES. The relative and absolute accuracy of spectral measurements is expected to be better than few percent, as follows from extensive ground laboratory calibrations.

  9. OBSERVATIONS OF FIVE-MINUTE SOLAR OSCILLATIONS IN THE CORONA USING THE EXTREME ULTRAVIOLET SPECTROPHOTOMETER (ESP) ON BOARD THE SOLAR DYNAMICS OBSERVATORY EXTREME ULTRAVIOLET VARIABILITY EXPERIMENT (SDO/EVE)

    International Nuclear Information System (INIS)

    Didkovsky, L.; Judge, D.; Wieman, S.; Kosovichev, A. G.; Woods, T.

    2011-01-01

    We report on the detection of oscillations in the corona in the frequency range corresponding to five-minute acoustic modes of the Sun. The oscillations have been observed using soft X-ray measurements from the Extreme Ultraviolet Spectrophotometer (ESP) of the Extreme Ultraviolet Variability Experiment on board the Solar Dynamics Observatory. The ESP zeroth-order channel observes the Sun as a star without spatial resolution in the wavelength range of 0.1-7.0 nm (the energy range is 0.18-12.4 keV). The amplitude spectrum of the oscillations calculated from six-day time series shows a significant increase in the frequency range of 2-4 mHz. We interpret this increase as a response of the corona to solar acoustic (p) modes and attempt to identify p-mode frequencies among the strongest peaks. Due to strong variability of the amplitudes and frequencies of the five-minute oscillations in the corona, we study how the spectrum from two adjacent six-day time series combined together affects the number of peaks associated with the p-mode frequencies and their amplitudes. This study shows that five-minute oscillations of the Sun can be observed in the corona in variations of the soft X-ray emission. Further investigations of these oscillations may improve our understanding of the interaction of the oscillation modes with the solar atmosphere, and the interior-corona coupling, in general.

  10. EUVS Sounding Rocket Payload

    Science.gov (United States)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  11. Optical fiber spectrophotometer

    International Nuclear Information System (INIS)

    Zhuang Weixin; Tian Guocheng; Ye Guoan; Zhou Zhihong; Cheng Weiwei; Huang Lifeng; Liu Suying; Tang Yanji; Hu Jingxin; Zhao Yonggang

    1998-12-01

    A method called 'Two Arm's Photo out and Electricity Send-back' is introduced. UV-365 UV/VIS/NIR spectrophotometer has been reequipped by this way with 5 meters long optical fiber. Another method called 'One Arm's Photo out and Photo Send-back' is also introduced. λ 19 UV/VIS/NIR spectrophotometer has been reequipped by this way with 10 meters long optical fiber. Optical fiber spectrophotometer can work as its main set. So it is particularly applicable to radio activity work

  12. Variations in EUV Irradiance: Comparison between LYRA, ESP, and SWAP Integrated Flux

    Directory of Open Access Journals (Sweden)

    Mehmet Sarp Yalim

    2014-01-01

    Full Text Available The Sun Watcher Using Active Pixel System Detector and Image Processing (SWAP telescope and Large Yield Radiometer (LYRA are the two Sun observation instruments on-board PROBA2. SWAP extreme ultraviolet images, if presented in terms of the integrated flux over solar disk, in general, correlate well with LYRA channel 2–4 (zirconium filter and channels QD and 18 of EVE/ESP on-board SDO between 2010 and 2013. Hence, SWAP can be considered as an additional radiometric channel. We compare in detail LYRA channel 2–4 and SWAP integrated flux in July 2010 and in particular during the solar eclipse that occurred on July 11, 2010. During this eclipse, the discrepancy between the two data channels can be explained to be related to the occultation of active region 11087 by the Moon. In the second half of July 2010, LYRA channel 2–4 and SWAP integrated flux deviate from each other, but these differences can also be explained in terms of features appearing on the solar disk such as coronal holes and active regions. By additionally comparing with timeline of EVE/ESP, we can preliminarily interpret these differences in terms of the difference between the broad bandpass of LYRA channel 2–4 and the, relatively speaking, narrower bandpass of SWAP.

  13. Towards a contamination-tolerant EUV power sensor

    NARCIS (Netherlands)

    Veldhoven, J. van; Putten, M. van; Nieuwkoop, E.; Huijser, T.; Maas, D.J.

    2015-01-01

    In EUV Lithography short-, mid- and long-term control over in-band EUV power is needed for high-yield IC production. Existing sensors can be unstable over time due to contamination and/or degradation. TNO goal: to conceive a stable EUV power sensor. Sensitive to in-band EUV, negligible degradation,

  14. Evaluating EUV mask pattern imaging with two EUV microscopes

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Takase, Kei; Naulleau, Patrick P.; Han, Hakseung; Barty, Anton; Kinoshita, Hiroo; Hamamoto, Kazuhiro

    2008-01-01

    Aerial image measurement plays a key role in the development of patterned reticles for each generation of lithography. Studying the field transmitted (reflected) from EUV masks provides detailed information about potential disruptions caused by mask defects, and the performance of defect repair strategies, without the complications of photoresist imaging. Furthermore, by measuring the continuously varying intensity distribution instead of a thresholded, binary resist image, aerial image measurement can be used as feedback to improve mask and lithography system modeling methods. Interest in EUV, at-wavelength, aerial image measurement lead to the creation of several research tools worldwide. These tools are used in advanced mask development work, and in the evaluation of the need for commercial at-wavelength inspection tools. They describe performance measurements of two such tools, inspecting the same EUV mask in a series of benchmarking tests that includes brightfield and darkfield patterns. One tool is the SEMATECH Berkeley Actinic Inspection Tool (AIT) operating on a bending magnet beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. The AIT features an EUV Fresnel zoneplate microscope that emulates the numerical aperture of a 0.25-NA stepper, and projects the aerial image directly onto a CCD camera, with 700x magnification. The second tool is an EUV microscope (EUVM) operating at the NewSUBARU synchrotron in Hyogo, Japan. The NewSUBARU tool projects the aerial image using a reflective, 30x Schwarzschild objective lens, followed by a 10-200x x-ray zooming tube. The illumination conditions and the imaging etendue are different for the two tools. The benchmarking measurements were used to determine many imaging and performance properties of the tools, including resolution, modulation transfer function (MTF), aberration magnitude, aberration field-dependence (including focal-plane tilt), illumination uniformity, line-edge roughness, and flare

  15. Fundamentals of EUV resist-inorganic hardmask interactions

    Science.gov (United States)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  16. Update on EUV radiometry at PTB

    Science.gov (United States)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  17. Multichannel scanning spectrophotometer

    International Nuclear Information System (INIS)

    Lagutin, A.F.

    1979-01-01

    A spectrophotometer designed in the Crimea astrophysical observatory is described. The spectrophotometer is intended for the installation at the telescope to measure energy distribution in the star spectra in the 3100-8550 A range. The device is made according to the scheme with a fixed diffraction lattice. The choice of the optical kinematic scheme is explained. The main design elements are shown. Some singularities of the scanning drive kinematics are considered. The device performance is given

  18. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    Science.gov (United States)

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  19. Ionospheric Change and Solar EUV Irradiance

    Science.gov (United States)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  20. Analytical techniques for mechanistic characterization of EUV photoresists

    Science.gov (United States)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  1. Solar EUV irradiance for space weather applications

    Science.gov (United States)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  2. Surface roughness control by extreme ultraviolet (EUV) radiation

    Science.gov (United States)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  3. Design and fabrication of advanced EUV diffractive elements

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick P.; Liddle, J. Alexander; Salmassi, Farhad; Anderson, Erik H.; Gullikson, Eric M.

    2003-11-16

    As extreme ultraviolet (EUV) lithography approaches commercial reality, the development of EUV-compatible diffractive structures becomes increasingly important. Such devices are relevant to many aspects of EUV technology including interferometry, illumination, and spectral filtering. Moreover, the current scarcity of high power EUV sources makes the optical efficiency of these diffractive structures a paramount concern. This fact has led to a strong interest in phase-enhanced diffractive structures. Here we describe recent advancements made in the fabrication of such devices.

  4. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  5. TIME DELAYS IN QUASI-PERIODIC PULSATIONS OBSERVED DURING THE X2.2 SOLAR FLARE ON 2011 FEBRUARY 15

    Energy Technology Data Exchange (ETDEWEB)

    Dolla, L.; Marque, C.; Seaton, D. B.; Dominique, M.; Berghmans, D.; Cabanas, C.; De Groof, A.; Verdini, A.; West, M. J.; Zhukov, A. N. [Solar-Terrestrial Center of Excellence, Royal Observatory of Belgium, Avenue Circulaire 3, B-1180 Brussels (Belgium); Van Doorsselaere, T. [Centrum voor Plasma-Astrofysica, Department of Mathematics, KULeuven, Celestijnenlaan 200B bus 2400, B-3001 Leuven (Belgium); Schmutz, W. [Physikalisch-Meteorologisches Observatorium Davos, World Radiation Center, Davos Dorf (Switzerland); Zender, J., E-mail: dolla@sidc.be [European Space Agency, ESTEC, Keplerlaan 1, 2201 AZ Noordwijk (Netherlands)

    2012-04-10

    We report observations of quasi-periodic pulsations (QPPs) during the X2.2 flare of 2011 February 15, observed simultaneously in several wavebands. We focus on fluctuations on timescale 1-30 s and find different time lags between different wavebands. During the impulsive phase, the Reuven Ramaty High Energy Solar Spectroscopic Imager channels in the range 25-100 keV lead all the other channels. They are followed by the Nobeyama RadioPolarimeters at 9 and 17 GHz and the extreme-ultraviolet (EUV) channels of the Euv SpectroPhotometer (ESP) on board the Solar Dynamic Observatory. The zirconium and aluminum filter channels of the Large Yield Radiometer on board the Project for On-Board Autonomy satellite and the soft X-ray (SXR) channel of ESP follow. The largest lags occur in observations from the Geostationary Operational Environmental Satellite, where the channel at 1-8 A leads the 0.5-4 A channel by several seconds. The time lags between the first and last channels is up to Almost-Equal-To 9 s. We identified at least two distinct time intervals during the flare impulsive phase, during which the QPPs were associated with two different sources in the Nobeyama RadioHeliograph at 17 GHz. The radio as well as the hard X-ray channels showed different lags during these two intervals. To our knowledge, this is the first time that time lags are reported between EUV and SXR fluctuations on these timescales. We discuss possible emission mechanisms and interpretations, including flare electron trapping.

  6. EUV laser produced and induced plasmas for nanolithography

    Science.gov (United States)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  7. Modification of a commercial spectrophotometer for photoacoustic measurement

    International Nuclear Information System (INIS)

    Bandyopadhyay, S.; Harris, J.M.; Eyring, E.M.

    1983-01-01

    This note describes how a commercial UV-VIS-NIR spectrophotometer may be adapted to function as a double beam photoacoustic spectrophotometer operating at visible wavelengths. Modification of a Varian Cary 17 spectrophotometer was carried out first by dismounting the photomultiplier tube detector module and the cell compartment of the spectrophotometer. The sample and the reference beams were focused through two externally mounted quartz lenses onto the sample and reference photoacoustic cells, respectively

  8. Analysis and characterization of contamination in EUV reticles

    Science.gov (United States)

    Okoroanyanwu, Uzodinma; Dittmar, Kornelia; Fahr, Torsten; Wallow, Tom; La Fontaine, Bruno; Wood, Obert; Holfeld, Christian; Bubke, Karsten; Peters, Jan-Hendrik

    2010-04-01

    A host of complementary imaging techniques (Scanning Electron Microscopy), surface analytical technique (Auger Electron Spectroscopy, AES), chemical analytical and speciation techniques (Grazing Incidence Reflectance Fourier-Transform Infrared Spectroscopy, GIR-FTIR; and Raman spectroscopy) have been assessed for their sensitivity and effectiveness in analyzing contamination on three EUV reticles that were contaminated to varying degrees. The first reticle was contaminated as a result of its exposure experience on the SEMATECH EUV Micro Exposure Tool (MET) at Lawrence Berkeley National Laboratories, where it was exposed to up to 80 hours of EUV radiation. The second reticle was a full-field reticle, specifically designed to monitor molecular contamination, and exposed to greater than 1600J/cm2 of EUV radiation on the ASML Alpha Demo Tool (ADT) in Albany Nanotech in New York. The third reticle was intentionally contaminated with hydrocarbons in the Microscope for Mask Imaging and Contamination Studies (MIMICS) tool at the College of Nanoscale Sciences of State University of New York at Albany. The EUV reflectivities of some of these reticles were measured on the Advanced Light Source EUV Reflectomer at Lawrence Berkeley National Laboratories and PTB Bessy in Berlin, respectively. Analysis and characterization of thin film contaminants on the two EUV reticles exposed to varying degrees of EUV radiation in both MET and ADT confirm that the two most common contamination types are carbonization and surface oxidation, mostly on the exposed areas of the reticle, and with the MET being significantly more susceptible to carbon contamination than the ADT. While AES in both surface scanning and sputter mode is sensitive and efficient in analyzing thin contaminant films (of a few nanometers), GIRFTIR is sensitive to thick films (of order of a 100 nm or more on non-infra-red reflecting substrates), Raman spectroscopy is not compatible with analyzing such contaminants because of

  9. First environmental data from the EUV engineering test stand

    Science.gov (United States)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  10. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    Science.gov (United States)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  11. A Low-Cost Quantitative Absorption Spectrophotometer

    Science.gov (United States)

    Albert, Daniel R.; Todt, Michael A.; Davis, H. Floyd

    2012-01-01

    In an effort to make absorption spectrophotometry available to high school chemistry and physics classes, we have designed an inexpensive visible light absorption spectrophotometer. The spectrophotometer was constructed using LEGO blocks, a light emitting diode, optical elements (including a lens), a slide-mounted diffraction grating, and a…

  12. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  13. e-beam induced EUV photomask repair: a perfect match

    Science.gov (United States)

    Waiblinger, M.; Kornilov, K.; Hofmann, T.; Edinger, K.

    2010-05-01

    Due to the updated ITRS roadmap EUV might enter the market as a productive solution for the 32 nm node1. Since the EUV-photomask is used as mirror and no longer as transitive device the severity of different defect types has changed significantly. Furthermore the EUV-photomask material stack is much more complex than the conventional 193nm photomask materials which expand the field of critical defect types even further. In this paper we will show, that "classical" 193 mask repair processes cannot be applied to EUV material. We will show the performance of a new repair process based on the novel ebeam repair tool MeRiT® HR 32. Furthermore this process will be applied on real EUV mask defects and the success of these repairs confirmed by wafer prints.

  14. Method and apparatus for calibrating spectrophotometers

    NARCIS (Netherlands)

    Schreutelkamp, F.H.

    2003-01-01

    The present invention relates to a method of calibrating spectrophotometers by placing one or more filters in the light path of the spectrophotometer and measuring the amount of radiation by means of a detector. The present invention furthermore relates to an apparatus to be used with such a method.

  15. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  16. Optoelectronics instrumentation of a spectrophotometer

    International Nuclear Information System (INIS)

    Lopez, A.; Camas, J.; Rios, C.; Lopez, F.; Anzueto, G.; Castannon, J.; Dominguez, J.

    2016-01-01

    Today, it is necessary to characterize materials to generate knowledge and propose new technology in the development of optical sensors. However, the acquisition of spectrophotometers is not easy for the researchers that not have an economic resource. So, in this paper the design of a spectrophotometer is presented using optical technology such as light source, light and electron scattering commercially available in Mexico, and whose construction is cheap and easy to build. (Author)

  17. Imaging and Patterning on Nanometer Scale Using Coherent EUV Light

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Fiedorowicz, H.; Bartnik, A.; Marconi, M.C.; Menoni, C.S.; Rocca, J.J.

    2010-01-01

    Extreme ultraviolet (EUV) covers wavelength range from about 5 nm to 50 nm. That is why EUV is especially applicable for imaging and patterning on nanometer scale length. In the paper periodic nanopatterning realized by interference lithography and high resolution holographic nanoimaging performed in a Gabor in-line scheme are presented. In the experiments a compact table top EUV laser was used. Preliminary studies on using a laser plasma EUV source for nanoimaging are presented as well. (author)

  18. Extreme Ultraviolet (EUV) induced surface chemistry on Ru

    NARCIS (Netherlands)

    Liu, Feng; Sturm, Jacobus Marinus; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    EUV photon induced surface chemistry can damage multilayer mirrors causing reflectivity loss and faster degradation. EUV photo chemistry involves complex processes including direct photon induced surface chemistry and secondary electron radiation chemistry. Current cleaning techniques include dry

  19. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  20. EUV tools: hydrogen gas purification and recovery strategies

    Science.gov (United States)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  1. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    Science.gov (United States)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  2. [Design of Dual-Beam Spectrometer in Spectrophotometer for Colorimetry].

    Science.gov (United States)

    Liu, Yi-xuan; Yan, Chang-xiang

    2015-07-01

    Spectrophotometers for colorimetry are usually composed of two independent and identical spectrometers. In order to reduce the volume of spectrophotometer for colorimetry, a design method of double-beam spectrometer is put forward. A traditional spectrometer is modified so that a new spectrometer can realize the function of double spectrometers, which is especially suitable for portable instruments. One slit is replaced by the double-slit, than two beams of spectrum can be detected. The working principle and design requirement of double-beam spectrometer are described. A spectrometer of portable spectrophotometer is designed by this method. A toroidal imaging mirror is used for the Czerny-Turner double-beam spectrometer in this paper, which can better correct astigmatism, and prevent the dual-beam spectral crosstalk. The results demonstrate that the double-beam spectrometer designed by this method meets the design specifications, with the spectral resolution less than 10 nm, the spectral length of 9.12 mm, and the volume of 57 mm x 54 mm x 23 mm, and without the dual-beam spectral overlap in the detector either. Comparing with a traditional spectrophotometer, the modified spectrophotometer uses a set of double-beam spectrometer instead of two sets of spectrometers, which can greatly reduce the volume. This design method can be specially applied in portable spectrophotometers, also can be widely applied in other double-beam spectrophotometers, which offers a new idea for the design of dual-beam spectrophotometers.

  3. Distribution of espM and espT among enteropathogenic and enterohaemorrhagic Escherichia coli

    Science.gov (United States)

    Arbeloa, Ana; Blanco, Miguel; Moreira, Fabiana C.; Bulgin, Richard; López, Cecilia; Dahbi, Ghizlane; Blanco, Jesús E.; Mora, Azucena; Alonso, María Pilar; Mamani, Rosalia Ceferina; Gomes, Tânia A. T.; Blanco, Jorge; Frankel, Gad

    2009-01-01

    Enterohaemorrhagic Escherichia coli (EHEC) and enteropathogenic E. coli (EPEC) translocate dozens of type III secretion system effectors, including the WxxxE effectors Map, EspM and EspT that activate Rho GTPases. While map, which is carried on the LEE pathogenicity island, is absolutely conserved among EPEC and EHEC strains, the prevalence of espM and espT is not known. Here we report the results of a large screen aimed at determining the prevalence of espM and espT among clinical EPEC and EHEC isolates. The results suggest that espM, detected in 51 % of the tested strains, is more commonly found in EPEC and EHEC serogroups that are linked to severe human infections. In contrast, espT was absent from all the EHEC isolates and was found in only 1.8 % of the tested EPEC strains. Further characterization of the virulence gene repertoire of the espT-positive strains led to the identification of a new ζ2 intimin variant. All the espT-positive strains but two contained the tccP gene. espT was first found in Citrobacter rodentium and later in silico in EPEC E110019, which is of particular interest as this strain was responsible for a particularly severe diarrhoeal outbreak in Finland in 1987 that affected 650 individuals in a school complex and an additional 137 associated household members. Comparing the protein sequences of EspT to that of E110019 showed a high level of conservation, with only three strains encoding EspT that differed in 6 amino acids. At present, it is not clear why espT is so rare, and what impact EspM and EspT have on EPEC and EHEC infection. PMID:19528152

  4. Oxide Nanoparticle EUV (ONE) Photoresists: Current Understanding of the Unusual Patterning Mechanism

    KAUST Repository

    Jiang, Jing; Zhang, Ben; Yu, Mufei; Li, Li; Neisser, Mark; Sung Chun, Jun; Giannelis, Emmanuel P.; Ober, Christopher K.

    2015-01-01

    © 2015 SPST. In the past few years, industry has made significant progress to deliver a stable high power EUV scanner and a 100 W light source is now being tested on the manufacuring scale. The success of a high power EUV source demands a fast and high resolution EUV resist. However, chemcially amplied resists encounter unprecedented challenges beyond the 22 nm node due to resolution, roughness and sensitivity tradeoffs. Unless novel solutions for EUV resists are proposed and further optimzed, breakthroughs can hardly be achieved. Oxide nanoparticle EUV (ONE) resists stablized by organic ligands were originally proposed by Ober et al. Recently this work attracts more and more attention due to its extraordinanry EUV sensitivity. This new class of photoresist utilizes ligand cleavage with a ligand exchange mechanism to switch its solubilty for dual-tone patterning. Therefore, ligand selection of the nanoparticles is extremely important to its EUV performance.

  5. Absorption and Emission of EUV Radiation by the Local ISM

    Science.gov (United States)

    Paresce, F.

    1984-01-01

    The Berkeley extreme ultraviolet radiation (EUV) telescope flown on the Apollo Soyuz mission in July, 1975 established the existence of a measurable flux of EUV (100 lambda or = or = 1000 A) originating from sources outside the solar system. White dwarfs, flare stars and cataclysmic variables were dicovered to be relatively intense compact sources of EUV photons. Moreover, this and other subsequent experiments have strongly suggested the presence of a truly diffuse component of the FUV radiation field possibly due to thermal emission from hot interstellar gas located in the general vicinity of the Sun. Closer to the H1, 912 A edge, the effect of a few hot O and B stars has been shown to be very important in establishing the interstellar flux density. All these results imply that the local interstellar medium (ISM) is immersed in a non-negligible EUV radiation field which, because of the strong coupling between EUV photons and matter, will play a crucial role in determining its physical structure. The available information on the local ISM derived from the limited EUV observations carried out so far is assembled and analyzed. These include measurements of the spectra of bright EUV sources that reveal clear evidence of H photo absorption at lambda 400 A and of the He ionization edge at 228 A.

  6. Classification and printability of EUV mask defects from SEM images

    Science.gov (United States)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  7. Modular EUV Source for the next generation lithography

    International Nuclear Information System (INIS)

    Sublemontier, O.; Rosset-Kos, M.; Ceccotti, T.; Hergott, J.F.; Auguste, Th.; Normand, D.; Schmidt, M.; Beaumont, F.; Farcage, D.; Cheymol, G.; Le Caro, J.M.; Cormont, Ph.; Mauchien, P.; Thro, P.Y.; Skrzypczak, J.; Muller, S.; Marquis, E.; Barthod, B.; Gaurand, I.; Davenet, M.; Bernard, R.

    2011-01-01

    The present work, performed in the frame of the EXULITE project, was dedicated to the design and characterization of a laser-plasma-produced extreme ultraviolet (EUV) source prototype at 13.5 nm for the next generation lithography. It was conducted in cooperation with two laboratories from CEA, ALCATEL and THALES. One of our approach originalities was the laser scheme modularity. Six Nd:YAG laser beams were focused at the same time on a xenon filament jet to generate the EUV emitting plasma. Multiplexing has important industrial advantages and led to interesting source performances in terms of in-band power, stability and angular emission properties with the filament jet target. A maximum conversion efficiency (CE) value of 0.44% in 2π sr and 2% bandwidth was measured, which corresponds to a maximum in band EUV mean power of 7.7 W at a repetition rate of 6 kHz. The EUV emission was found to be stable and isotropic in these conditions. (authors)

  8. Conversion of Beckman DK-2A spectrophotometer into an automatic single-photon counting fluorescence spectrophotometer

    International Nuclear Information System (INIS)

    Chikkur, G.C.; Lagare, M.T.; Umakantha, N.

    1981-01-01

    Details of how a DK-2A spectrophotometer can be modified into an automatic single-photon counting fluorescence spectrophotometer for recording a low intensity spectrum, are reported. The single-photon count-rate converted into a DC voltage is applied at the appropriate stage in the sample channel amplifier circuit of a DK-2A to get the pen deflection proportional to the count-rate. A high intensity spectrum may be recorded in the usual way by merely turning the shaft of the mirror motor by 180 degrees. (author)

  9. [A micro-silicon multi-slit spectrophotometer based on MEMS technology].

    Science.gov (United States)

    Hao, Peng; Wu, Yi-Hui; Zhang, Ping; Liu, Yong-Shun; Zhang, Ke; Li, Hai-Wen

    2009-06-01

    A new mini-spectrophotometer was developed by adopting micro-silicon slit and pixel segmentation technology, and this spectrophotometer used photoelectron diode array as the detector by the back-dividing-light way. At first, the effect of the spectral bandwidth on the tested absorbance linear correlation was analyzed. A theory for the design of spectrophotometer's slit was brought forward after discussing the relationships between spectrophotometer spectrum band width and pre-and post-slits width. Then, the integrative micro-silicon-slit, which features small volume, high precision, and thin thickness, was manufactured based on the MEMS technology. Finally, a test was carried on linear absorbance solution by this spectrophotometer. The final result showed that the correlation coefficients were larger than 0.999, which means that the new mini-spectrophotometer with micro-silicon slit pixel segmentation has an obvious linear correlation.

  10. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  11. The Soft X-ray Spectrophotometer SphinX for the CORONAS-Photon Mission

    Science.gov (United States)

    Sylwester, Janusz; Kowalinski, Miroslaw; Szymon, Gburek; Bakala, Jaroslaw; Kuzin, Sergey; Kotov, Yury; Farnik, Frantisek; Reale, Fabio

    The purpose, construction details and calibration results of the new design, Polish-led solar X-ray spectrophotometer SphinX will be presented. The instrument constitutes a part of the Russian TESIS X-ray and EUV complex aboard the forthcoming CORONAS-Photon solar mission to be launched later in 2008. SphinX uses Si-PIN detectors for high time resolution (down to 0.01 s) measurements of solar spectra in the energy range between 0.5 keV and 15 keV. The spectral resolution allows separating 256 individual energy channels in this range with particular groups of lines clearly distinguishable. Unprecedented accuracy of the instrument calibration at the XACT (Palermo) and BESSY (Berlin) synchrotron will allow for establishing the solar soft X-ray photometric reference system. The cross-comparison between SphinX and the other instruments presently in orbit like XRT on Hinode, RHESSI and GOES X-ray monitor, will allow for a precise determination of the coronal emission measure and temperature during both very low and very high activity periods. Examples of the detectors' ground calibration results as well as the calculated synthetic spectra will be presented. The operation of the instrument while in orbit will be discussed allowing for suggestions from other groups to be still included in mission planning.

  12. Characterization of laser-produced plasma EUV light

    International Nuclear Information System (INIS)

    Mizoguchi, Hakaru; Endo, Akira; Takabayashi, Yuichi; Sasaki, Akira; Komori, Hiroshi; Suganuma, Takashi

    2005-01-01

    Resolution of optical microlithography process becomes smaller and smaller. Wavelength of the light source for these optical lithography reduced from KrF, ArF to F2 to meet the resolution requirement. Recently EUV is spotlighted as promising candidate for next generation lithography light source. This paper summarizes the requirement and studies of experiments and simulation to improve the convention efficiency of EUV light source. (author)

  13. 21 CFR 862.2850 - Atomic absorption spectrophotometer for clinical use.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Atomic absorption spectrophotometer for clinical... Laboratory Instruments § 862.2850 Atomic absorption spectrophotometer for clinical use. (a) Identification. An atomic absorption spectrophotometer for clinical use is a device intended to identify and measure...

  14. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-01-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub(angstrom)-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed

  15. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    Complete text of publication follows. Extreme ultraviolet (EUV) can be produced form a high-temperature plasma generated by interaction of high power laser pulses with matter. Laser plasma EUV sources are considered to be used in various applications in physics, material science, biomedicine, and technology. In the paper new compact laser plasma EUV sources developed for processing polymers and imaging are presented. The sources are based on a gas puff target formed by pulsed injection of a small amount of gas under high-pressure into a laser focus region. The use of the gas puff target instead of a solid target allows for efficient generation of EUV radiation without debris production. The compact laser plasma EUV source based on a gas puff target was developed for metrology applications. The EUV source developed for processing polymers is equipped with a grazing incidence axisymmetrical ellipsoidal mirror to focus EUV radiation in the relatively broad spectral range with the strong maximum near 10 nm. The size of the focal spot is about 1.3 mm in diameter with the maximum fluence up to 70 mJ/cm 2 . EUV radiation in the wavelength range of about 5 to 50 nm is produced by irradiation of xenon or krypton gas puff target with a Nd:YAG laser operating at 10 Hz and delivering 4 ns pulses of energy up to 0.8 J per pulse. The experiments on EUV irradiation of various polymers have been performed. Modification of polymer surfaces was achieved, primarily due to direct photo-etching with EUV photons and formation of micro- and nanostructures onto the surface. The mechanism of the interaction is similar to the UV laser ablation where energetic photons cause chemical bonds of the polymer chain to be broken. However, because of very low penetration depth of EUV radiation, the interaction region is limited to a very thin surface layer (<100 nm). This makes it possible to avoid degradation of bulk material caused by deeply penetrating UV radiation. The results of the studies

  16. What can ESP do ?

    Science.gov (United States)

    Noguchi, Judy

    ESP (English for Specific Purposes) has been the focus of much attention recently but the question arises of “what can ESP do?” Proposed here are three things that are possible with ESP. The first is using ESP as a tool to help students who are not English majors learn how language “works” via the concept of genre texts. The second is using an ESP approach to simulate professional communication contexts in order to raise student interest and motivation. The third is to aim for ESP bilingualism, which is a realistic and attainable goal. All three points will be illustrated with specific examples.

  17. Benchmarking EUV mask inspection beyond 0.25 NA

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Mochi, Iacopo; Anderson, Erik H.; Rekawa, Seno B.; Kemp, Charles D.; Huh, S.; Han, H.-S.; Naulleau, P.; Gunion, R.F.

    2008-01-01

    The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-(micro)m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured σ values of approximately 0.125 at 0.0875 NA

  18. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have achieved high resolution (∼22 nm) at a very high EUV sensitivity (4.2 mJ/cm2). Further investigations into the patterning process suggests a ligand displacement mechanism, wherein, any combination of a metal oxide with the correct ligand could generate patterns in the presence of the suitable photoactive compound. The current investigation extends this study by developing new nanoparticle compositions with transdimethylacrylic acid and o-toluic acid ligands. This study describes their synthesis and patterning performance under 248 nm KrF laser (DUV) and also under 13.5 nm EUV exposures (dimethylacrylate nanoparticles) for the new resist compositions.

  19. Fiber optic spectrophotometer with photodiode linear array

    International Nuclear Information System (INIS)

    Blanc, F.; Vernet, P.

    1988-01-01

    Spectrophotometric measurements are used in a great number of industrial processes, in nuclear environment and with optical precision components. Especially the evolution of a chemical process or of an optical coating could be followed by these measurements. Spectrophotometers, using optical fibers to transport the signal out of the instrument make possible the measurement ''in-situ'' and in real time. The advantage of using a diode array to detect the signal is an instantaneous measurement all over the spectral range without moving parts. It allows an excellent reproductibility. The instrument is controlled by a micro computer. The spectrophotometer is described and technical performance presented. An extension using optical fibers on a ''classical'' spectrophotometer (a H.P. one) is also described and technical performance presented

  20. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-08-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub{angstrom}-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed.

  1. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  2. A Simple Spectrophotometer Using Common Materials and a Digital Camera

    Science.gov (United States)

    Widiatmoko, Eko; Widayani; Budiman, Maman; Abdullah, Mikrajuddin; Khairurrijal

    2011-01-01

    A simple spectrophotometer was designed using cardboard, a DVD, a pocket digital camera, a tripod and a computer. The DVD was used as a diffraction grating and the camera as a light sensor. The spectrophotometer was calibrated using a reference light prior to use. The spectrophotometer was capable of measuring optical wavelengths with a…

  3. Development of a EUV Test Facility at the Marshall Space Flight Center

    Science.gov (United States)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  4. Hand-held spectrophotometer design for textile fabrics

    Science.gov (United States)

    Böcekçi, Veysel Gökhan; Yıldız, Kazım

    2017-09-01

    In this study, a hand-held spectrophotometer was designed by taking advantage of the developments in modern optoelectronic technology. Spectrophotometer devices are used to determine the color information from the optic properties of the materials. As an alternative to a desktop spectrophotometer device we have implemented, it is the first prototype, low cost and portable. The prototype model designed for the textile industry can detect the color tone of any fabric. The prototype model consists of optic sensor, processor, display floors. According to the color applied on the optic sensor, it produces special frequency information on its output at that color value. In Arduino type processor, the frequency information is evaluated by the program we have written and the color tone information between 0-255 ton is decided and displayed on the screen.

  5. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    Science.gov (United States)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  6. 21 CFR 862.2300 - Colorimeter, photometer, or spectrophotometer for clinical use.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Colorimeter, photometer, or spectrophotometer for... Clinical Laboratory Instruments § 862.2300 Colorimeter, photometer, or spectrophotometer for clinical use. (a) Identification. A colorimeter, a photometer, or a spectrophotometer for clinical use is an...

  7. Prospects of DUV OoB suppression techniques in EUV lithography

    Science.gov (United States)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  8. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    Science.gov (United States)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  9. Dobson ozone spectrophotometer modification.

    Science.gov (United States)

    Komhyr, W. D.; Grass, R. D.

    1972-01-01

    Description of a modified version of the Dobson ozone spectrophotometer in which several outdated electronic design features have been replaced by circuitry embodying more modern design concepts. The resulting improvement in performance characteristics has been obtained without changing the principle of operation of the original instrument.

  10. Performance of 100-W HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  11. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    Science.gov (United States)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  12. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  13. Advanced 0.3-NA EUV lithography capabilities at the ALS

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Anderson, Erik; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; Jackson, Keith

    2005-01-01

    For volume nanoelectronics production using Extreme ultraviolet (EUV) lithography [1] to become a reality around the year 2011, advanced EUV research tools are required today. Microfield exposure tools have played a vital role in the early development of EUV lithography [2-4] concentrating on numerical apertures (NA) of 0.2 and smaller. Expected to enter production at the 32-nm node with NAs of 0.25, EUV can no longer rely on these early research tools to provide relevant learning. To overcome this problem, a new generation of microfield exposure tools, operating at an NA of 0.3 have been developed [5-8]. Like their predecessors, these tools trade off field size and speed for greatly reduced complexity. One of these tools is implemented at Lawrence Berkeley National Laboratory's Advanced Light Source synchrotron radiation facility. This tool gets around the problem of the intrinsically high coherence of the synchrotron source [9,10] by using an active illuminator scheme [11]. Here we describe recent printing results obtained from the Berkeley EUV exposure tool. Limited by the availability of ultra-high resolution chemically amplified resists, present resolution limits are approximately 32 nm for equal lines and spaces and 27 nm for semi-isolated lines

  14. Mix-and-match considerations for EUV insertion in N7 HVM

    Science.gov (United States)

    Chen, Xuemei; Gabor, Allen; Samudrala, Pavan; Meyers, Sheldon; Hosler, Erik; Johnson, Richard; Felix, Nelson

    2017-03-01

    An optimal mix-match control strategy for EUV and 193i scanners is crucial for the insertion of EUV lithography at 7nm technology node. The systematic differences between these exposure systems introduce additional cross-platform mixmatch overlay errors. In this paper, we quantify the EUV specific contributions to mix-match overlay, and explore the effectiveness of higher-order interfield and intrafield corrections on minimizing the on-product mix-match overlay errors. We also analyze the impact of intra-field sampling plans in terms of model accuracy and adequacy in capturing EUV specific intra-field signatures. Our analysis suggests that more intra-field measurements and appropriate placement of the metrology targets within the field are required to achieve the on-product overlay control goals for N7 HVM.

  15. Integrated approach to improving local CD uniformity in EUV patterning

    Science.gov (United States)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  16. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  17. ESP--Things Fall Apart?

    Science.gov (United States)

    Waters, Alan

    This paper asserts that a gap is developing in English for Special Purposes (ESP) between theory and practice, between received wisdom and grassroots activity that are important to understand when considering the future of ESP. Evidence for these gaps in ESP are discussed in detail in the context of course design, academic input, and the…

  18. Relationship between resist outgassing and EUV witness sample contamination in NXE outgas qualification using electrons and EUV photons

    Science.gov (United States)

    Pollentier, I.; Tirumala Venkata, A.; Gronheid, R.

    2014-04-01

    EUV photoresists are considered as a potential source of optics contamination, since they introduce irradiation-induced outgassing in the EUV vacuum environment. Therefore, before these resists can be used on e.g. ASML NXE:3100 or NXE:3300, they need to be tested in dedicated equipment according to a well-defined procedure, which is based on exposing a witness sample (WS) in the vicinity of a simultaneously exposed resist as it outgasses. Different system infrastructures are used at multiple sites (e.g. NIST, CNSE, Sematech, EIDEC, and imec) and were calibrated to each other by a detailed test plan. Despite this detailed tool qualifications, a first round robin comparison of identical materials showed inconsistent outgas test results, and required further investigation by a second round robin. Since the resist exposure mode is different at the various locations (some sites are using EUV photons while others use E-gun electrons), this difference has always a point of concern for variability of test results. In this work we compare the outgas test results from EUV photon and electron exposure using the resist materials of the second round robin. Since the imec outgas tester allows both exposure methods on the resist, a within-system comparison is possible and showed limited variation between photon and electron exposure mode. Therefore the system-to-system variability amongst the different outgas test sites is expected to be related to other parameters than the electron/photon exposure mode. Initial work showed that the variability might be related to temperature, E-gun emission excursion, and/or residual outgassing scaled by different wafer areas at the different sites.

  19. The EUVE Mission at UCB: Squeezing More From Less

    Science.gov (United States)

    Stroozas, B. A.; Cullison, J. L.; McDonald, K. E.; Nevitt, R.; Malina, R. F.

    2000-05-01

    With 8 years on orbit, and over three years in an outsourced mode at U.C. Berkeley (UCB), NASA's Extreme Ultraviolet Explorer (EUVE) continues to be a highly mature and productive scientific mission. The EUVE satellite is extremely stable and exhibits little degradation in its original scientific capabilities, and science data return continues to be at the >99% level. The Project's very small, dedicated, innovative, and relatively cheap ( \\$1 million/year) support team at UCB continues to validate the success of NASA's outsourcing "experiment" while providing a very high science-per-dollar return on NASA's investment with no significant additional risk to the flight systems. The EUVE mission still has much more to offer in terms of important and exciting scientific discoveries as well as mission operations innovations. To highlight this belief the EUVE team at UCB continues to find creative ways to do more with less -- to squeeze the maximum out of available funds -- in NASA's "cheaper, better, faster" environment. This paper provides an overview of the EUVE mission's past, current, and potential future efforts toward automating and integrating its multi-functional data processing systems in proposal management, observation planning, mission operations and engineering, and the processing, archival, and delivery of raw telemetry and science data products. The paper will also discuss the creative allocation of the Project's few remaining personnel resources who support both core mission functions and new innovations, while at the same time minimizing overall risk and stretching the available budget. This work is funded through NASA/UCB Cooperative Agreement NCC5-138.

  20. Nanoimaging using soft X-ray and EUV laser-plasma sources

    Science.gov (United States)

    Wachulak, Przemyslaw; Torrisi, Alfio; Ayele, Mesfin; Bartnik, Andrzej; Czwartos, Joanna; Węgrzyński, Łukasz; Fok, Tomasz; Fiedorowicz, Henryk

    2018-01-01

    In this work we present three experimental, compact desk-top imaging systems: SXR and EUV full field microscopes and the SXR contact microscope. The systems are based on laser-plasma EUV and SXR sources based on a double stream gas puff target. The EUV and SXR full field microscopes, operating at 13.8 nm and 2.88 nm wavelengths are capable of imaging nanostructures with a sub-50 nm spatial resolution and short (seconds) exposure times. The SXR contact microscope operates in the "water-window" spectral range and produces an imprint of the internal structure of the imaged sample in a thin layer of SXR sensitive photoresist. Applications of such desk-top EUV and SXR microscopes, mostly for biological samples (CT26 fibroblast cells and Keratinocytes) are also presented. Details about the sources, the microscopes as well as the imaging results for various objects will be presented and discussed. The development of such compact imaging systems may be important to the new research related to biological, material science and nanotechnology applications.

  1. Atomic hydrogen cleaning of EUV multilayer optics

    Science.gov (United States)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Å/hr for sputtered carbon and 40 Å/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  2. FIRST SIMULTANEOUS OBSERVATION OF AN H{alpha} MORETON WAVE, EUV WAVE, AND FILAMENT/PROMINENCE OSCILLATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Asai, Ayumi; Isobe, Hiroaki [Unit of Synergetic Studies for Space, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Ishii, Takako T.; Kitai, Reizaburo; Ichimoto, Kiyoshi; UeNo, Satoru; Nagata, Shin' ichi; Morita, Satoshi; Nishida, Keisuke; Shibata, Kazunari [Kwasan and Hida Observatories, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Shiota, Daikou [Advanced Science Institute, RIKEN, Wako, Saitama 351-0198 (Japan); Oi, Akihito [College of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Akioka, Maki, E-mail: asai@kwasan.kyoto-u.ac.jp [Hiraiso Solar Observatory, National Institute of Information and Communications Technology, Hitachinaka, Ibaraki 311-1202 (Japan)

    2012-02-15

    We report on the first simultaneous observation of an H{alpha} Moreton wave, the corresponding EUV fast coronal waves, and a slow and bright EUV wave (typical EIT wave). We observed a Moreton wave, associated with an X6.9 flare that occurred on 2011 August 9 at the active region NOAA 11263, in the H{alpha} images taken by the Solar Magnetic Activity Research Telescope at Hida Observatory of Kyoto University. In the EUV images obtained by the Atmospheric Imaging Assembly on board the Solar Dynamic Observatory we found not only the corresponding EUV fast 'bright' coronal wave, but also the EUV fast 'faint' wave that is not associated with the H{alpha} Moreton wave. We also found a slow EUV wave, which corresponds to a typical EIT wave. Furthermore, we observed, for the first time, the oscillations of a prominence and a filament, simultaneously, both in the H{alpha} and EUV images. To trigger the oscillations by the flare-associated coronal disturbance, we expect a coronal wave as fast as the fast-mode MHD wave with the velocity of about 570-800 km s{sup -1}. These velocities are consistent with those of the observed Moreton wave and the EUV fast coronal wave.

  3. Well-defined EUV wave associated with a CME-driven shock

    Science.gov (United States)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  4. [In vivo model to evaluate the accuracy of complete-tooth spectrophotometer for dental clinics].

    Science.gov (United States)

    Liu, Feng; Yang, Jian; Xu, Tong-Kai; Xu, Ming-Ming; Ma, Yu

    2011-02-01

    To test ΔE between measured value and right value from the Crystaleye complete-tooth spectrophotometer, and to evaluate the accuracy rate of the spectrophotometer. Twenty prosthodontists participated in the study. Each of them used Vita 3D-Master shadeguide to do the shade matching, and used Crystaleye complete-tooth spectrophotometer (before and after the test training) tested the middle of eight fixed tabs from shadeguide in the dark box. The results of shade matching and spectrophotometer were recorded. The accuracy rate of shade matching and the spectrophotometer before and after training were calculated. The average accuracy rate of shade matching was 49%. The average accuracy rate of the spectrophotometer before and after training was 83% and 99%. The accuracy of the spectrophotometer was significant higher than that in shade matching, and training can improve the accuracy rate.

  5. EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures

    Science.gov (United States)

    Fernández Herrero, Analía.; Mentzel, Heiko; Soltwisch, Victor; Jaroslawzew, Sina; Laubis, Christian; Scholze, Frank

    2018-03-01

    The advance of the semiconductor industry requires new metrology methods, which can deal with smaller and more complex nanostructures. Particularly for inline metrology a rapid, sensitive and non destructive method is needed. Small angle X-ray scattering under grazing incidence has already been investigated for this application and delivers significant statistical information which tracks the profile parameters as well as their variations, i.e. roughness. However, it suffers from the elongated footprint at the sample. The advantage of EUV radiation, with its longer wavelengths, is that larger incidence angles can be used, resulting in a significant reduction of the beam footprint. Targets with field sizes of 100 μm and smaller are accessible with our experimental set-up. We present a new experimental tool for the measurement of small structures based on the capabilities of soft X-ray and EUV scatterometry at the PTB soft X-ray beamline at the electron storage ring BESSY II. PTB's soft X-ray radiometry beamline uses a plane grating monochromator, which covers the spectral range from 0.7 nm to 25 nm and was especially designed to provide highly collimated radiation. An area detector covers the scattered radiation from a grazing exit angle up to an angle of 30° above the sample horizon and the fluorescence emission can be detected with an energy dispersive X-ray silicon drift detector. In addition, the sample can be rotated and linearly moved in vacuum. This new set-up will be used to explore the capabilities of EUV-scatterometry for the characterization of nanometre-sized structures.

  6. EUV sources for the alpha-tools

    Science.gov (United States)

    Pankert, Joseph; Apetz, Rolf; Bergmann, Klaus; Damen, Marcel; Derra, Günther; Franken, Oliver; Janssen, Maurice; Jonkers, Jeroen; Klein, Jürgen; Kraus, Helmar; Krücken, Thomas; List, Andreas; Loeken, Micheal; Mader, Arnaud; Metzmacher, Christof; Neff, Willi; Probst, Sven; Prümmer, Ralph; Rosier, Oliver; Schwabe, Stefan; Seiwert, Stefan; Siemons, Guido; Vaudrevange, Dominik; Wagemann, Dirk; Weber, Achim; Zink, Peter; Zitzen, Oliver

    2006-03-01

    In this paper, we report on the recent progress of the Philips Extreme UV source. The Philips source concept is based on a discharge plasma ignited in a Sn vapor plume that is ablated by a laser pulse. Using rotating electrodes covered with a regenerating tin surface, the problems of electrode erosion and power scaling are fundamentally solved. Most of the work of the past year has been dedicated to develop a lamp system which is operating very reliably and stable under full scanner remote control. Topics addressed were the development of the scanner interface, a dose control system, thermo-mechanical design, positional stability of the source, tin handling, and many more. The resulting EUV source-the Philips NovaTin(R) source-can operate at more than 10kW electrical input power and delivers 200W in-band EUV into 2π continuously. The source is very small, so nearly 100% of the EUV radiation can be collected within etendue limits. The lamp system is fully automated and can operate unattended under full scanner remote control. 500 Million shots of continuous operation without interruption have been realized, electrode lifetime is at least 2 Billion shots. Three sources are currently being prepared, two of them will be integrated into the first EUV Alpha Demonstration tools of ASML. The debris problem was reduced to a level which is well acceptable for scanner operation. First, a considerable reduction of the Sn emission of the source has been realized. The debris mitigation system is based on a two-step concept using a foil trap based stage and a chemical cleaning stage. Both steps were improved considerably. A collector lifetime of 1 Billion shots is achieved, after this operating time a cleaning would be applied. The cleaning step has been verified to work with tolerable Sn residues. From the experimental results, a total collector lifetime of more than 10 Billion shots can be expected.

  7. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  8. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    Science.gov (United States)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  9. PROJECTION EFFECTS IN CORONAL DIMMINGS AND ASSOCIATED EUV WAVE EVENT

    Energy Technology Data Exchange (ETDEWEB)

    Dissauer, K.; Temmer, M.; Veronig, A. M.; Vanninathan, K. [IGAM/Institute of Physics, University of Graz, Universitätsplatz 5/II, A-8010 Graz (Austria); Magdalenić, J., E-mail: karin.dissauer@uni-graz.at [Solar-Terrestrial Center of Excellence-SIDC, Royal Observatory of Belgium, Av. Circulaire 3, B-1180 Brussels (Belgium)

    2016-10-20

    We investigate the high-speed ( v > 1000 km s{sup −1}) extreme-ultraviolet (EUV) wave associated with an X1.2 flare and coronal mass ejection (CME) from NOAA active region 11283 on 2011 September 6 (SOL2011-09-06T22:12). This EUV wave features peculiar on-disk signatures; in particular, we observe an intermittent “disappearance” of the front for 120 s in Solar Dynamics Observatory ( SDO )/AIA 171, 193, 211 Å data, whereas the 335 Å filter, sensitive to hotter plasmas ( T ∼ 2.5 MK), shows a continuous evolution of the wave front. The eruption was also accompanied by localized coronal dimming regions. We exploit the multi-point quadrature position of SDO and STEREO-A , to make a thorough analysis of the EUV wave evolution, with respect to its kinematics and amplitude evolution and reconstruct the SDO line-of-sight (LOS) direction of the identified coronal dimming regions in STEREO-A . We show that the observed intensities of the dimming regions in SDO /AIA depend on the structures that are lying along their LOS and are the combination of their individual intensities, e.g., the expanding CME body, the enhanced EUV wave, and the CME front. In this context, we conclude that the intermittent disappearance of the EUV wave in the AIA 171, 193, and 211 Å filters, which are channels sensitive to plasma with temperatures below ∼2 MK is also caused by such LOS integration effects. These observations clearly demonstrate that single-view image data provide us with limited insight to correctly interpret coronal features.

  10. Esp Research Trends in Asian Context

    OpenAIRE

    Jantaravipark, Arpawan; Sa-ngiamwibool, Amporn

    2015-01-01

    Due to the influence of global and regional economic changes, English for Specific Purposes (ESP) plays a more vital role in English language studies worldwide, including in Asian context. A look at any issues relat-ing to Asian ESP will shed light to the needs of English in this content. This present study therefore sur-veyed recent trends of ESP research in this context, with the purpose of the study which aimed to explore recent trends in Asian ESP research from 2005 to 2013. The Asian ESP...

  11. Performance assessment of Vita Easy Shade spectrophotometer on colour measurement of aesthetic dental materials.

    Science.gov (United States)

    AlGhazali, N; Burnside, G; Smith, R W; Preston, A J; Jarad, F D

    2011-12-01

    Four different shades were used to produce 20 samples of resin-based composite and 20 samples of porcelain to evaluate the performance ability of an intra oral test spectrophotometer compared to a reference spectrophotometer. The absolute colour coordinates CIELAB values measured with both spectrophotometers were significantly different (p spectrophotometers (p < 0.05). Therefore, the Easy Shade can be used in dental practice and dental research with some limitations.

  12. High performance EUV multilayer structures insensitive to capping layer optical parameters.

    Science.gov (United States)

    Pelizzo, Maria Guglielmina; Suman, Michele; Monaco, Gianni; Nicolosi, Piergiorgio; Windt, David L

    2008-09-15

    We have designed and tested a-periodic multilayer structures containing protective capping layers in order to obtain improved stability with respect to any possible changes of the capping layer optical properties (due to oxidation and contamination, for example)-while simultaneously maximizing the EUV reflection efficiency for specific applications, and in particular for EUV lithography. Such coatings may be particularly useful in EUV lithographic apparatus, because they provide both high integrated photon flux and higher stability to the harsh operating environment, which can affect seriously the performance of the multilayer-coated projector system optics. In this work, an evolutive algorithm has been developed in order to design these a-periodic structures, which have been proven to have also the property of stable performance with respect to random layer thickness errors that might occur during coating deposition. Prototypes have been fabricated, and tested with EUV and X-ray reflectometry, and secondary electron spectroscopy. The experimental results clearly show improved performance of our new a-periodic coatings design compared with standard periodic multilayer structures.

  13. Reliability of shade selection using an intraoral spectrophotometer.

    Science.gov (United States)

    Witkowski, Siegbert; Yajima, Nao-Daniel; Wolkewitz, Martin; Strub, Jorge R

    2012-06-01

    In this study, we evaluate the accuracy and reproducibility of human tooth shade selection using a digital spectrophotometer. Variability among examiners and illumination conditions were tested for possible influence on measurement reproducibility. Fifteen intact anterior teeth of 15 subjects were evaluated for their shade using a digital spectrophotometer (Crystaleye, Olympus, Tokyo, Japan) by two examiners under the same light conditions representing a dental laboratory situation. Each examiner performed the measurement ten times on the labial surface of each tooth containing three evaluation sides (cervical, body, incisal). Commission International on Illumination color space values for L* (lightness), a* (red/green), and b* (yellow/blue) were obtained from each evaluated side. Examiner 2 repeated the measurements of the same subjects under different light conditions (i.e., a dental unit with a chairside lamp). To describe measurement precision, the mean color difference from the mean metric was used. The computed confidence interval (CI) value 5.228 (4.6598-5.8615) reflected (represented) the validity of the measurements. Least square mean analysis of the values obtained by examiners 1 and 2 or under different illumination conditions revealed no statistically significant differences (CI = 95%). Within the limits of the present study, the accuracy and reproducibility of dental shade selection using the tested spectrophotometer with respect to examiner and illumination conditions reflected the reliability of this device. This study suggests that the tested spectrophotometer can be recommended for the clinical application of shade selection.

  14. Characterization of EUV induced carbon films using laser-generated surface acoustic waves

    NARCIS (Netherlands)

    Chen, Juequan; Lee, Christopher James; Louis, Eric; Bijkerk, Frederik; Kunze, Reinhard; Schmidt, Hagen; Schneider, Dieter; Moors, Roel

    2009-01-01

    The deposition of carbon layers on the surfaces of optics exposed to extreme ultraviolet (EUV) radiation has been observed in EUV lithography. It has become of critical importance to detect the presence of the carbon layer in the order of nanometer thickness due to carbon's extremely strong

  15. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  16. High-Resolution EUV Spectroscopy of White Dwarfs

    Science.gov (United States)

    Kowalski, Michael P.; Wood, K. S.; Barstow, M. A.

    2014-01-01

    We compare results of high-resolution EUV spectroscopic measurements of the isolated white dwarf G191-B2B and the binary system Feige 24 obtained with the J-PEX (Joint Plasmadynamic Experiment), which was sponsored jointly by the U.S. Naval Research Laboratory and NASA. J-PEX delivers the world's highest resolution in EUV and does so at high effective area (e.g., more effective area in a sounding rocket than is available with Chandra at adjacent energies, but in a waveband Chandra cannot reach). The capability J-PEX represents is applicable to the astrophysics of hot plasmas in stellar coronae, white dwarfs and the ISM. G191-B2B and Feige 24 are quite distinct hot white dwarf systems having in common that they are bright in the portion of the EUV where He emission features and edges occur, hence they can be exploited to probe both the stellar atmosphere and the ISM, separating those components by model-fitting that sums over all relevant (He) spectral features in the band. There is evidence from these fits that atmospheric He is being detected but the result is more conservatively cast as a pair of upper limits. We discuss how longer duration satellite observations with the same instrumentation could increase exposure to detect atmospheric He in these and other nearby hot white dwarfs.

  17. Mask characterization for CDU budget breakdown in advanced EUV lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  18. Negative-tone imaging with EUV exposure toward 13nm hp

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro

    2016-03-01

    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is

  19. Physical processes in EUV sources for microlithography

    International Nuclear Information System (INIS)

    Banine, V Y; Swinkels, G H P M; Koshelev, K N

    2011-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil very high demands both technical and cost oriented. The EUVL tool operates at a wavelength of 13.5 nm, which requires the following new developments. - The light production mechanism changes from conventional lamps and lasers to relatively high-temperature emitting plasmas. - The light transport, mainly refractive for deep ultraviolet (DUV), should be reflective for EUV. - The source specifications as derived from the customer requirements on wafer throughput mean that the output EUV source power has to be hundreds of watts. This in its turn means that tens to hundreds of kilowatts of dissipated power has to be managed in a relatively small volume. - In order to keep lithography costs as low as possible, the lifetime of the components should be as long as possible and at least of the order of thousands of hours. This poses a challenge for the sources, namely how to design and manufacture components robust enough to withstand the intense environment of high heat dissipation, flows of several keV ions as well as the atomic and particular debris within the source vessel. - As with all lithography tools, the imaging requirements demand a narrow illumination bandwidth. Absorption of materials at EUV wavelengths is extreme with extinguishing lengths of the order of tens of nanometres, so the balance between high transmission and spectral purity requires careful engineering. All together, EUV lithography sources present technological challenges in various fields of physics such as plasma, optics and material science. These challenges are being tackled by the source manufacturers and investigated extensively in the research facilities around the world. An overview of the published results on the topic as well as the analyses of the physical processes behind the proposed solutions will be presented in this paper. (topical review)

  20. TESIS experiment on EUV imaging spectroscopy of the Sun

    Science.gov (United States)

    Kuzin, S. V.; Bogachev, S. A.; Zhitnik, I. A.; Pertsov, A. A.; Ignatiev, A. P.; Mitrofanov, A. M.; Slemzin, V. A.; Shestov, S. V.; Sukhodrev, N. K.; Bugaenko, O. I.

    2009-03-01

    TESIS is a set of solar imaging instruments in development by the Lebedev Physical Institute of the Russian Academy of Science, to be launched aboard the Russian spacecraft CORONAS-PHOTON in December 2008. The main goal of TESIS is to provide complex observations of solar active phenomena from the transition region to the inner and outer solar corona with high spatial, spectral and temporal resolution in the EUV and Soft X-ray spectral bands. TESIS includes five unique space instruments: the MgXII Imaging Spectroheliometer (MISH) with spherical bent crystal mirror, for observations of the Sun in the monochromatic MgXII 8.42 Å line; the EUV Spectoheliometer (EUSH) with grazing incidence difraction grating, for the registration of the full solar disc in monochromatic lines of the spectral band 280-330 Å; two Full-disk EUV Telescopes (FET) with multilayer mirrors covering the band 130-136 and 290-320 Å; and the Solar EUV Coronagraph (SEC), based on the Ritchey-Chretien scheme, to observe the inner and outer solar corona from 0.2 to 4 solar radii in spectral band 290-320 Å. TESIS experiment will start at the rising phase of the 24th cycle of solar activity. With the advanced capabilities of its instruments, TESIS will help better understand the physics of solar flares and high-energy phenomena and provide new data on parameters of solar plasma in the temperature range 10-10K. This paper gives a brief description of the experiment, its equipment, and its scientific objectives.

  1. Clinical evaluation of a dental color analysis system: the Crystaleye Spectrophotometer®.

    Science.gov (United States)

    Odaira, Chikayuki; Itoh, Sozo; Ishibashi, Kanji

    2011-10-01

    To evaluate the clinical performance of the Crystaleye Spectrophotometer(®), a dental color analysis system. Three color-measuring devices (Crystaleye Spectrophotometer(®), CAS-ID1, MSC-2000) were tested and the differences in color measurements among them were evaluated using Scheffe's F-test. Color measurements with the Crystaleye Spectrophotometer(®) were repeated 10 times by the same operator. The color difference (ΔE) between the first and tenth measurements was calculated. The Crystaleye Spectrophotometer(®) was used to measure the color of the maxillary left central incisor under two conditions (light and dark) and the effect of exterior lighting was analyzed to assess the accuracy of measurements. Furthermore, five different operators performed color measurements, and ΔE among the three devices was calculated. The ΔE between the target tooth and the crown of a single maxillary central incisor crown fabricated using data from the Crystaleye Spectrophotmeter(®) was calculated. Color differences between prebleaching and postbleaching were also analyzed with the Crystaleye Spectrophotometer(®) using the parameters ΔE, ΔL*, Δa*, and Δb*. The data from the three spectrophotometers were not significantly different. The ΔE during repeated color measurements by the same operator was 0.6. The ΔE between light and dark conditions was 0.9. The data from the five operators were not significantly different. The mean ΔE value between the target tooth and the fabricated crown was 1.2 ± 0.4, and the mean ΔE value between prebleaching and postbleaching was 3.7 ± 1.0. The Crystaleye Spectrophotometer(®) is an easy-to-use color analysis system producing accurate color measurements under clinical conditions. Copyright © 2011 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  2. Vacuum-ultraviolet circular dichroism spectrophotometer using synchrotron radiation

    International Nuclear Information System (INIS)

    Matsu, K.; Yonehara, R.; Gekko, K.

    2004-01-01

    Full text: Circular dichroism (CD) spectroscopy is powerful for analyzing the structure of optically active materials such as biopolymers. However, no commercial CD spectrophotometer is capable of measuring the CD in the vacuum ultraviolet (VUV) region below 190 nm because of technical difficulties involved in the light source, optical device, and sample cell. CD measurements extended to the VUV region can provide more detailed and new information on the structure of biopolymers based on the higher energy transition of chromophores such as hydroxyl and acetal groups. We have constructed a VUVCD spectrophotometer to measure the CD spectra of biomaterials in aqueous solutions in the 310-140 nm wavelength region under a high vacuum, using a small-scale SR source (0.7 GeV) at Hiroshima Synchrotron Radiation Center (HiSOR). All optical devices of the spectrophotometer were set up under a high vacuum (10 -6 Torr), to avoid the absorption of light by air and water vapor. The SR light is separated into two orthogonal linearly polarized light beams by a linear polarizer and then modulated to circularly polarized light at 50 kHz by a photo-elastic modulator (PEM). In order to control PEM accurately and to stabilize the lock-in amplifier under a high vacuum, we used the optical servo-control system. Also, an assembled-type MgF 2 cell with a temperature-control unit was constructed using a Peltier thermoelectric element. Its path length can be adjusted by various Tefron spacers in the range from 1.3 to 50 μm and its temperature can be controlled within an accuracy of ± 1 deg C in the range from -30 to 70 deg C. The performance of the spectrophotometer and MgF 2 cell constructed was tested by monitoring the CD spectra of ammonium d-camphor-10-sulfonate (ACS), D- and L-isomers of amino acids. These obtained results demonstrate that the optical system and the sample cell constructed normally operate under a high vacuum to provide useful information on the structure analysis of

  3. EUV spectrum of highly charged tungsten ions in electron beam ion trap

    International Nuclear Information System (INIS)

    Sakaue, H.A.; Kato, D.; Murakami, I.; Nakamura, N.

    2016-01-01

    We present spectra of highly charged tungsten ions in the extreme ultra-violet (EUV) by using electron beam ion traps. The electron energy dependence of spectra was investigated for electron energy from 540 to 1370 eV. Previously unreported lines were presented in the EUV range, and comparing the wavelengths with theoretical calculations identified them. (author)

  4. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  5. Vacuum-ultraviolet circular dichroism spectrophotometer using synchrotron radiation

    International Nuclear Information System (INIS)

    Matsuo, K.; Fukuyama, T.; Yonehara, R.; Namatame, H.; Taniguchi, M.; Gekko, K.

    2005-01-01

    We have constructed a vacuum-ultraviolet circular dichroism (VUVCD) spectrophotometer using a synchrotron radiation and an assembled-type MgF 2 cell endurable under a high vacuum, to measure the CD spectra of biomaterials in aqueous solutions from 310 to 140 nm. To avoid the absorption of light by air and water vapor, all optical devices of the spectrophotometer were set up under a high vacuum (10 -4 Pa). A path length of the optical cell can be adjusted by various Teflon spacers in the range from 1.3 to 50 μm and its temperature can be controlled to an accuracy of ±1 deg. C over the range from -30 to 70 deg. C by a temperature-control unit using a Peltier thermoelectric element. The performance of the spectrophotometer and the optical cell constructed was tested by measuring the CD spectra of ammonium d-camphor-10-sulfonate, D- and L-isomers of amino acids, and myoglobin in aqueous solutions. The spectra obtained demonstrate that the optical system and the sample cell constructed operate normally under a high vacuum and provide useful information on the structure of biomolecules based on the higher energy chromophores

  6. Studies of EUV contamination mitigation

    Science.gov (United States)

    Graham, Samual, Jr.; Malinowski, Michael E.; Steinhaus, Chip; Grunow, Philip A.; Klebanoff, Leonard E.

    2002-07-01

    Carbon contamination removal was investigated using remote RF-O2, RF-H2, and atomic hydrogen experiments. Samples consisted of silicon wafers coated with 100 Angstrom sputtered carbon, as well as bare Si-capped Mo/Si optics. Samples were exposed to atomic hydrogen or RF plasma discharges at 100 W, 200 W, and 300 W. Carbon removal rate, optic oxidation rate, at-wavelength (13.4 nm) peak reflectance, and optic surface roughness were characterized. Data show that RF- O2 removes carbon at a rate approximately 6 times faster RF- H2 for a given discharge power. However, both cleaning techniques induce Mo/Si optic degradation through the loss of reflectivity associated with surface oxide growth for RF-O2 and an unknown mechanism with hydrogen cleaning. Atomic hydrogen cleaning shows carbon removal rates sufficient for use as an in-situ cleaning strategy for EUVoptics with less risk of optic degradation from overexposures than RF-discharge cleaning. While hydrogen cleaning (RF and atomic) of EUV optics has proven effective in carbon removal, attempts to dissociate hydrogen in co-exposures with EUV radiation have resulted in no detectable removal of carbon contamination.

  7. Highly Stable, Large Format EUV Imager, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Higher detection efficiency and better radiation tolerance imagers are needed for the next generation of EUV instruments. Previously, CCD technology has demonstrated...

  8. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    Science.gov (United States)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  9. EUV-VUV photochemistry in the upper atmospheres of Titan and the early Earth

    Science.gov (United States)

    Imanaka, H.; Smith, M. A.

    2010-12-01

    Titan, the organic-rich moon of Saturn, possesses a thick atmosphere of nitrogen, globally covered with organic haze layers. The recent Cassini’s INMS and CAPS observations clearly demonstrate the importance of complex organic chemistry in the ionosphere. EUV photon radiation is the major driving energy source there. Our previous laboratory study of the EUV-VUV photolysis of N2/CH4 gas mixtures demonstrates a unique role of nitrogen photoionization in the catalytic formation of complex hydrocarbons in Titan’s upper atmosphere (Imanaka and Smith, 2007, 2009). Such EUV photochemistry could also have played important roles in the formation of complex organic molecules in the ionosphere of the early Earth. It has been suggested that the early Earth atmosphere may have contained significant amount of reduced species (CH4, H2, and CO) (Kasting, 1990, Pavlov et al., 2001, Tian et al., 2005). Recent experimental study, using photon radiation at wavelengths longer than 110 nm, demonstrates that photochemical organic haze could have been generated from N2/CO2 atmospheres with trace amounts of CH4 or H2 (Trainer et al., 2006, Dewitt et al., 2009). However, possible EUV photochemical processes in the ionosphere are not well understood. We have investigated the effect of CO2 in the possible EUV photochemical processes in simulated reduced early Earth atmospheres. The EUV-VUV photochemistry using wavelength-tunable synchrotron light between 50 - 150 nm was investigated for gas mixtures of 13CO2/CH4 (= 96.7/3.3) and N2/13CO2/CH4 (= 90/6.7/3.3). The onsets of unsaturated hydrocarbon formation were observed at wavelengths shorter than the ionization potentials of CO2 and N2, respectively. This correlation indicates that CO2 can play a similar catalytic role to N2 in the formation of heavy organic species, which implies that EUV photochemistry might have significant impact on the photochemical generation of organic haze layers in the upper atmosphere of the early Earth.

  10. The Eberline ESP-2

    International Nuclear Information System (INIS)

    Bunker, A.S.

    1987-01-01

    This paper reports that as a health physicist, the author is very excited about the prospects that the Eberline ESP-2 offers for improvements in radiological surveys and data gathering. As an editor, however, the author is somewhat uncomfortable with the length of this review article. Basically, the author had two choices: could wet your appetite with a brief discussion of the ESP-2's capabilities, or the author could cover all of the details necessary for you to understand how the ESP-2 works and why the author thinks it would change the way we perform radiological surveys. In keeping with the how to nature of Radiation Protection Management, the author chose the latter course

  11. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    Science.gov (United States)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  12. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?

    Science.gov (United States)

    Gabor, Allen H.; Brendler, Andrew C.; Brunner, Timothy A.; Chen, Xuemei; Culp, James A.; Levinson, Harry J.

    2018-03-01

    The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term "EPE" has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of "Good Fields"1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma "value" design-rules need to be tested to ensure high yield. The "value" can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement

  13. The Fuge Tube Diode Array Spectrophotometer

    Science.gov (United States)

    Arneson, B. T.; Long, S. R.; Stewart, K. K.; Lagowski, J. J.

    2008-01-01

    We present the details for adapting a diode array UV-vis spectrophotometer to incorporate the use of polypropylene microcentrifuge tubes--fuge tubes--as cuvettes. Optical data are presented validating that the polyethylene fuge tubes are equivalent to the standard square cross section polystyrene or glass cuvettes generally used in…

  14. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing; Chakrabarty, Souvik; Yu, Mufei; Ober, Christopher K.

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have

  15. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  16. Modernization of Atomic Absorption Spectrophotometer

    International Nuclear Information System (INIS)

    Tasic, Visa; Milivojevic, Dragan; Karabasevic, Dejan

    2003-01-01

    In Copper Institute in Bor, connection has been made between absorption spectrophotometer and standard PC with the aim to make its operation more reliable and comfortable. Applied solution includes both software and hardware components. An I/O interface module has been installed in PC [1]. Software component consists of programs for measuring and interpretation of results. Paper presents details of this job realization.(Author)

  17. Design decisions from the history of the EUVE science payload

    Science.gov (United States)

    Marchant, W.

    1993-01-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  18. The inner-relationship of hard X-ray and EUV bursts during solar flares

    International Nuclear Information System (INIS)

    Emslie, A.G.; Brown, J.C.; Donnelly, R.F.

    1978-01-01

    A comparison is made between the flux-versus-time profile in the EUV band and the thick target electron flux profile as inferred from hard X-rays for a number of moderately large solar flares. This complements Kane and Donnelly's (1971) study of small flares. The hard X-ray data are from ESRO TD-1A and the EUV inferred from SFD observations. Use of a chi 2 minimising method shows that the best overall fit between the profile fine structures obtains for synchronism to < approximately 5 s which is within the timing accuracy. This suggests that neither conduction nor convection is fast enough as the primary mechanism of energy transport into the EUV flare and rather favours heating by the electrons themselves or by some MHD wave process much faster than acoustic waves. The electron power deposited, for a thick target model, is however far greater than the EUV luminosity for any reasonable assumptions about the area and depth over which EUV is emitted. This means that either most of the power deposited is conducted away to the optical flare or that only a fraction < approximately 1-10% of the X-ray emitting electrons are injected downwards. Recent work on Hα flare heating strongly favours the latter alternative - i.e. that electrons are mostly confined in the corona. (Auth.)

  19. EUV multilayer mirrors with enhanced stability

    Science.gov (United States)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  20. Automated dual-wavelength spectrophotometer optimized for phytochrome assay

    International Nuclear Information System (INIS)

    Pratt, L.H.; Wampler, J.E.; Rich, E.S. Jr.

    1985-01-01

    A microcomputer-controlled dual-wavelength spectrophotometer suitable for automated phytochrome assay is described. The optomechanical unit provides for sequential irradiation of the sample by the two measuring wavelengths with intervening dark intervals and for actinic irradiation to interconvert phytochrome between its two forms. Photomultiplier current is amplified, converted to a digital value and transferred into the computer using a custom-designed IEEE-488 bus interface. The microcomputer calculates mathematically both absorbance and absorbance difference values with dynamic correction for photomultiplier dark current. In addition, the computer controls the operating parameters of the spectrophotometer via a separate interface. These parameters include control of the durations of measuring and actinic irradiation intervals and their sequence. 14 references, 4 figures

  1. Satellite spectrophotometer for research of the atmospheric ozone

    International Nuclear Information System (INIS)

    Getzov, P.; Mardirossian, G.; Stoyanov, S.

    2014-01-01

    The measurement of atmospheric ozone and its influence upon climate and life on Earth is undoubtedly one of the most pressing issues of present time. A mathematical model of an optical tract of a spectrophotometer has been designed. The paper presents the functional scheme of a satellite optoelectronic spectrophotometer for measuring the total content of atmospheric ozone and other gas components of the atmosphere, which has increased precision, smaller weight and energy consumption, increased space and time resolution, quickness of reaction and increased volume of useful information. The object of the paper is the design of an appliance which ensures research of ozone content in atmosphere from the board of a satellite

  2. Nanoparticle Photoresists: Ligand Exchange as a New, Sensitive EUV Patterning Mechanism

    KAUST Repository

    Kryask, Marie

    2013-01-01

    Hybrid nanoparticle photoresists and their patterning using DUV, EUV, 193 nm lithography and e-beam lithography has been investigated and reported earlier. The nanoparticles have demonstrated very high EUV sensitivity and significant etch resistance compared to other standard photoresists. The current study aims at investigating and establishing the underlying mechanism for dual tone patterning of these nanoparticle photoresist systems. Infrared spectroscopy and UV absorbance studies supported by mass loss and dissolution studies support the current model. © 2013SPST.

  3. [Assessment of tooth bleaching efficacy with spectrophotometer].

    Science.gov (United States)

    Zhu, Wenhao; Liu, Chang; Pan, Jie

    2014-06-01

    To analyze the changes in CIE L*, a*, and b* at cervical, body, and incisal sites after tooth bleaching by using a spectrophotometer. Sixty-seven intact and healthy maxillary central incisors were in-vestigated. These incisors were darker than A3 according to the Vita Classical shade guide. The CIE tooth shade parameters L*, a*, and b* were simultaneously recorded at three tooth areas (cervical, body, and incisal) with a spectrophotometer before and after tooth bleaching (35%H2O2 coordinating with Beyond whitening accelerator irradiating). The shade dif-ferential (DeltaE) was calculated. ANOVA, paired t-test, and Pearson correlation analysis were used for data analysis. The efficacy rates of tooth bleaching were satisfactory, with 86.6%, 86.6%, and 85.1% in the cervical, body, and incisal sites, respectively. The average values of DeltaE were 5.09, 4.44, and 4.40 in the cervical, body, and incisal sites. Tooth bleaching significantly increased L* and significantly decreased a* and b* in all tooth areas (P spectrophotometer could objectively evaluate the whitening effect of tooth bleaching at the different tooth sites. The tooth bleaching system (35%H202 coordinating with Beyond whitening accelerator irradiating) exerts powerful bleaching actions in most of the tooth areas investigated. The order of tooth bleaching effectiveness is cervicalbody>incisal. Yellow coloration is decreased mainly at the cervical site, and brightness was increased mostly at theincisal site. The effectiveness of tooth bleaching increases as the baseline b* value increases.

  4. An ultraviolet-visible spectrophotometer automation system. Part 3: Program documentation

    Science.gov (United States)

    Roth, G. S.; Teuschler, J. M.; Budde, W. L.

    1982-07-01

    The Ultraviolet-Visible Spectrophotometer (UVVIS) automation system accomplishes 'on-line' spectrophotometric quality assurance determinations, report generations, plot generations and data reduction for chlorophyll or color analysis. This system also has the capability to process manually entered data for the analysis of chlorophyll or color. For each program of the UVVIS system, this document contains a program description, flowchart, variable dictionary, code listing, and symbol cross-reference table. Also included are descriptions of file structures and of routines common to all automated analyses. The programs are written in Data General extended BASIC, Revision 4.3, under the RDOS operating systems, Revision 6.2. The BASIC code has been enhanced for real-time data acquisition, which is accomplished by CALLS to assembly language subroutines. Two other related publications are 'An Ultraviolet-Visible Spectrophotometer Automation System - Part I Functional Specifications,' and 'An Ultraviolet-Visible Spectrophotometer Automation System - Part II User's Guide.'

  5. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  6. ESP-TIMOC code manual

    International Nuclear Information System (INIS)

    Jaarsma, R.; Perlado, J.M.; Rief, H.

    1978-01-01

    ESP-TIMOC is an 'Event Scanning Program' to analyse the events (collision or boundary crossing parameters) of Monte Carlo particle transport problems. It is a modular program and belongs to the TIMOC code system. ESP-TIMOC is primarily designed to calculate the time dependent response functions such as energy dependent fluxes and currents at interfaces. An eventual extension to other quantities is simple and straight forward

  7. Development of Raman spectrophotometer

    International Nuclear Information System (INIS)

    Adam, A.I.

    2008-05-01

    In this work, the Raman spectrophotometer HG.2S Jobin Yvon rebuilt and developed, the Raman setup provided as a gift for Neelian University from Amsterdam University. The main parts, which were replaced, include monochromator, an air-cooled photomultiplier tube RCA IP 28, log amplifier, hand scanning lab VIEW card for computer interfacing. The components assembled and the whole device was tested successfully. The developed setup was checked using some standard solutions, which showed perfect consistency with literature in the references and published papers. Solutions included hexane, cyclohexane, carbon tetrachloride, benzene and sodium sulfate.(Author)

  8. Cuvette and method for measuring refractive index in a spectrophotometer

    DEFF Research Database (Denmark)

    2017-01-01

    Embodiments of the present invention include a cuvette (100) for use in determining a refractive index of a sample matter in a spectrophotometer (600), the cuvette comprising a container (102) for holding the sample matter, the container (102) having an entry window (121) that allows input...... or integrally formed in the container and arranged in the radiation path, the photonic crystal having a grating part (111) causing a reflectance spectrum of the photonic crystal to exhibit a resonance. A spectrophotometer is also provided....

  9. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  10. EUV source development for high-volume chip manufacturing tools

    Science.gov (United States)

    Stamm, Uwe; Yoshioka, Masaki; Kleinschmidt, Jürgen; Ziener, Christian; Schriever, Guido; Schürmann, Max C.; Hergenhan, Guido; Borisov, Vladimir M.

    2007-03-01

    Xenon-fueled gas discharge produced plasma (DPP) sources were integrated into Micro Exposure Tools already in 2004. Operation of these tools in a research environment gave early learning for the development of EUV sources for Alpha and Beta-Tools. Further experiments with these sources were performed for basic understanding on EUV source technology and limits, especially the achievable power and reliability. The intermediate focus power of Alpha-Tool sources under development is measured to values above 10 W. Debris mitigation schemes were successfully integrated into the sources leading to reasonable collector mirror lifetimes with target of 10 billion pulses due to the effective debris flux reduction. Source collector mirrors, which withstand the radiation and temperature load of Xenon-fueled sources, have been developed in cooperation with MediaLario Technologies to support intermediate focus power well above 10 W. To fulfill the requirements for High Volume chip Manufacturing (HVM) applications, a new concept for HVM EUV sources with higher efficiency has been developed at XTREME technologies. The discharge produced plasma (DPP) source concept combines the use of rotating disk electrodes (RDE) with laser exited droplet targets. The source concept is called laser assisted droplet RDE source. The fuel of these sources has been selected to be Tin. The conversion efficiency achieved with the laser assisted droplet RDE source is 2-3x higher compared to Xenon. Very high pulse energies well above 200 mJ / 2π sr have been measured with first prototypes of the laser assisted droplet RDE source. If it is possible to maintain these high pulse energies at higher repetition rates a 10 kHz EUV source could deliver 2000 W / 2π sr. According to the first experimental data the new concept is expected to be scalable to an intermediate focus power on the 300 W level.

  11. Compensation for the temperature drift of the wavelength adjustment in an acoustooptic spectrophotometer

    International Nuclear Information System (INIS)

    Vilenskii, A V; Lysoi, B G; Cherednichenko, O B

    2002-01-01

    It is shown that the temperature drift of the wavelength adjustment in acoustooptic spectrophotometers can be compensated by using the reference channel of the spectrophotometer in which the absorption lines of neodymium-doped yttrium - aluminium garnet are employed as reference lines.

  12. Oxidation and metal contamination of EUV optics

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Liu, Feng; Pachecka, Malgorzata; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) for printing smaller features on chips. One of the hallenges is to optimally control the contamination of the multilayer mirrors used in the imaging system. The aim of this project is generating fundamental understanding

  13. Reconstruction of the solar EUV irradiance from 1996 to 2010 based on SOHO/EIT images

    Directory of Open Access Journals (Sweden)

    Haberreiter Margit

    2014-01-01

    Full Text Available The solar Extreme UltraViolet (EUV spectrum has important effects on the Earth’s upper atmosphere. For a detailed investigation of these effects it is important to have a consistent data series of the EUV spectral irradiance available. We present a reconstruction of the solar EUV irradiance based on SOHO/EIT images, along with synthetic spectra calculated using different coronal features which represent the brightness variation of the solar atmosphere. The EIT images are segmented with the SPoCA2 tool which separates the features based on a fixed brightness classification scheme. With the SOLMOD code we then calculate intensity spectra for the 10–100 nm wavelength range and each of the coronal features. Weighting the intensity spectra with the area covered by each of the features yields the temporal variation of the EUV spectrum. The reconstructed spectrum is then validated against the spectral irradiance as observed with SOHO/SEM. Our approach leads to good agreement between the reconstructed and the observed spectral irradiance. This study is an important step toward understanding variations in the solar EUV spectrum and ultimately its effect on the Earth’s upper atmosphere.

  14. Characterizing dusty argon-acetylene plasmas as a first step to understand dusty EUV environments

    NARCIS (Netherlands)

    Wetering, van de F.M.J.H.; Nijdam, S.; Kroesen, G.M.W.

    2012-01-01

    In extreme ultraviolet (EUV) lithography, ionic and particulate debris coming from the plasma source plays an important role. We started up a project looking at the principles of particle formation in plasmas and the interaction with EUV radiation. To this end, we study a low-pressure (10 Pa)

  15. Fundamental studies to develop certified reference material to calibrate spectrophotometer in the ultraviolet region

    International Nuclear Information System (INIS)

    Da Conceição, F C; Borges, P P; Gomes, J F S

    2016-01-01

    Spectrophotometry is the technique used in a great number of laboratories around the world. Quantitative determination of a high number of inorganic, organic and biological species can be made by spectrophotometry using calibrated spectrophotometers. International standards require the use of optical filters to perform the calibration of spectrophotometers. One of the recommended materials is the crystalline potassium dichromate (K_2Cr_2O_7), which is used to prepare solutions in specific concentrations for calibration or verification of spectrophotometers in the ultraviolet (UV) spectral regions. This paper presents the results concerning the fundamental studies for developing a certified reference material (CRM) of crystalline potassium dichromate to be used as standard of spectrophotometers in order to contribute to reliable quantitative analyses. (paper)

  16. Coronal magnetic fields inferred from IR wavelength and comparison with EUV observations

    Directory of Open Access Journals (Sweden)

    Y. Liu

    2009-07-01

    Full Text Available Spectropolarimetry using IR wavelength of 1075 nm has been proved to be a powerful tool for directly mapping solar coronal magnetic fields including transverse component directions and line-of-sight component intensities. Solar tomography, or stereoscopy based on EUV observations, can supply 3-D information for some magnetic field lines in bright EUV loops. In a previous paper \\citep{liu08} the locations of the IR emission sources in the 3-D coordinate system were inferred from the comparison between the polarization data and the potential-field-source-surface (PFSS model, for one of five west limb regions in the corona (Lin et al., 2004. The paper shows that the region with the loop system in the active region over the photospheric area with strong magnetic field intensity is the region with a dominant contribution to the observed Stokes signals. So, the inversion of the measured Stokes parameters could be done assuming that most of the signals come from a relatively thin layer over the area with a large photospheric magnetic field strength. Here, the five limb coronal regions are studied together in order to study the spatial correlation between the bright EUV loop features and the inferred IR emission sources. It is found that, for the coronal regions above the stronger photospheric magnetic fields, the locations of the IR emission sources are closer to or more consistent with the bright EUV loop locations than those above weaker photospheric fields. This result suggests that the structures of the coronal magnetic fields observed at IR and EUV wavelengths may be different when weak magnetic fields present there.

  17. Filter indexing for spectrophotometer system

    International Nuclear Information System (INIS)

    Chamran, M.M.; Scott, L.B.; Williams, P.B.

    1982-01-01

    A spectrophotometer system has an optical system for transmitting a beam from a source at select wavelengths onto a detector. A plurality of filters are positioned in a tray. A stepper mechanism indexes the tray along a path. A microcomputer controls the stepper mechanism and the optical system. The wavelength is successively changed over a range, the tray is indexed to move a select filter into the beam at a predetermined wavelength and the changing is discontinued during indexing

  18. Non-focusing optics spectrophotometer, and methods of use

    Science.gov (United States)

    Kramer, David M.; Sacksteder, Colette A.

    2004-11-02

    In one aspect, the present invention provides kinetic spectrophotometers that each comprise: (a) a light source; and (b) a compound parabolic concentrator disposed to receive light from the light source and configured to (1) intensify and diffuse the light received from the light source, and (2) direct the intensified and diffused light onto a sample. In other aspects, the present invention provides methods for measuring a photosynthetic parameter, the methods comprising the steps of: (a) illuminating a plant leaf until steady-state photosynthesis is achieved; (b) subjecting the illuminated plant leaf to a period of darkness; (c) using a kinetic spectrophotometer of the invention to collect spectral data from the plant leaf treated in accordance with steps (a) and (b); and (d) determining a value for a photosynthetic parameter from the spectral data.

  19. Brewer spectrophotometer measurements in the Canadian Arctic

    Science.gov (United States)

    Kerr, J. B.; Evans, W. F. J.

    1988-01-01

    In the winters of 1987 and 1988 measurements were conducted with the Brewer Spectrophotometer at Alert (82.5 N) and Resolute (74.5 N). The measurements were conducted as part of our Canadian Program to search for an Arctic Ozone Hole (CANOZE). Ozone measurements were conducted in the months of December, January and February using the moon as a light source. The total ozone measurements will be compared with ozonesonde profiles, from ECC sondes, flown once per week from Alert and Resolute. A modified Brewer Spectrophotometer was used in a special study to search for chlorine dioxide at Alert in March 1987. Ground based observations at Saskatoon in February and at Alert in March 1987 failed to detect any measureable chlorine dioxide. Interference from another absorbing gas, which we speculate may be nitrous acid, prevented the measurements at the low levels of chlorine dioxide detected in the Southern Hemisphere by Solomon et al.

  20. Critical parameters influencing the EUV-induced damage of Ru-capped multilayer mirrors

    International Nuclear Information System (INIS)

    Hill, S B; Ermanoski, I; Tarrio, C; Lucatorto, T B; Madey, T E; Bajt, S; Fang, M; Chandhok, M

    2007-01-01

    Ongoing endurance testing of Ru-capped multilayer mirrors (MLMs) at the NIST synchrotron facility has revealed that the damage resulting from EUV irradiation does not always depend on the exposure conditions in an intuitive way. Previous exposures of Ru-capped MLMs to EUV radiation in the presence of water vapor demonstrated that the mirror damage rate actually decreases with increasing water pressure. We will present results of recent exposures showing that the reduction in damage for partial pressures of water up to 5 x 10 -6 Torr is not the result of a spatially uniform decrease in damage across the Gaussian intensity distribution of the incident EUV beam. Instead we observe a drop in the damage rate in the center of the exposure spot where the intensity is greatest, while the reflectivity loss in the wings of the intensity distribution appears to be independent of water partial pressure. (See Fig. 1.) We will discuss how the overall damage rate and spatial profile can be influenced by admixtures of carbon-containing species (e.g., CO, CO 2 , C 6 H 6 ) at partial pressures one-to-two orders of magnitude lower than the water vapor partial pressure. An investigation is underway to find the cause of the non-Gaussian damage profile. Preliminary results and hypotheses will be discussed. In addition to high-resolution reflectometry of the EUV-exposure sites, the results of surface analysis such as XPS will be presented. We will also discuss how the bandwidth and time structure of incident EUV radiation may affect the rate of reflectivity degradation. Although the observations presented here are based on exposures of Ru-capped MLMs, unless novel capping layers are similarly characterized, direct application of accelerated testing results could significantly overestimate mirror lifetime in the production environment

  1. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  2. Measurement of protein-like fluorescence in river and waste water using a handheld spectrophotometer.

    Science.gov (United States)

    Baker, Andy; Ward, David; Lieten, Shakti H; Periera, Ryan; Simpson, Ellie C; Slater, Malcolm

    2004-07-01

    Protein-like fluorescence intensity in rivers increases with increasing anthropogenic DOM inputs from sewerage and farm wastes. Here, a portable luminescence spectrophotometer was used to investigate if this technology could be used to provide both field scientists with a rapid pollution monitoring tool and process control engineers with a portable waste water monitoring device, through the measurement of river and waste water tryptophan-like fluorescence from a range of rivers in NE England and from effluents from within two waste water treatment plants. The portable spectrophotometer determined that waste waters and sewerage effluents had the highest tryptophan-like fluorescence intensity, urban streams had an intermediate tryptophan-like fluorescence intensity, and the upstream river samples of good water quality the lowest tryptophan-like fluorescence intensity. Replicate samples demonstrated that fluorescence intensity is reproducible to +/- 20% for low fluorescence, 'clean' river water samples and +/- 5% for urban water and waste waters. Correlations between fluorescence measured by the portable spectrophotometer with a conventional bench machine were 0.91; (Spearman's rho, n = 143), demonstrating that the portable spectrophotometer does correlate with tryptophan-like fluorescence intensity measured using the bench spectrophotometer.

  3. Enzyme Activity Experiments Using a Simple Spectrophotometer

    Science.gov (United States)

    Hurlbut, Jeffrey A.; And Others

    1977-01-01

    Experimental procedures for studying enzyme activity using a Spectronic 20 spectrophotometer are described. The experiments demonstrate the effect of pH, temperature, and inhibitors on enzyme activity and allow the determination of Km, Vmax, and Kcat. These procedures are designed for teaching large lower-level biochemistry classes. (MR)

  4. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    Science.gov (United States)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  5. [An optical-fiber-sensor-based spectrophotometer for soil non-metallic nutrient determination].

    Science.gov (United States)

    He, Dong-xian; Hu, Juan-xiu; Lu, Shao-kun; He, Hou-yong

    2012-01-01

    In order to achieve rapid, convenient and efficient soil nutrient determination in soil testing and fertilizer recommendation, a portable optical-fiber-sensor-based spectrophotometer including immersed fiber sensor, flat field holographic concave grating, and diode array detector was developed for soil non-metallic nutrient determination. According to national standard of ultraviolet and visible spectrophotometer with JJG 178-2007, the wavelength accuracy and repeatability, baseline stability, transmittance accuracy and repeatability measured by the prototype instrument were satisfied with the national standard of III level; minimum spectral bandwidth, noise and excursion, and stray light were satisfied with the national standard of IV level. Significant linear relationships with slope of closing to 1 were found between the soil available nutrient contents including soil nitrate nitrogen, ammonia nitrogen, available phosphorus, available sulfur, available boron, and organic matter measured by the prototype instrument compared with that measured by two commercial single-beam-based and dual-beam-based spectrophotometers. No significant differences were revealed from the above comparison data. Therefore, the optical-fiber-sensor-based spectrophotometer can be used for rapid soil non-metallic nutrient determination with a high accuracy.

  6. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    Science.gov (United States)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  7. Construction of a photochemical reactor combining a CCD spectrophotometer and a LED radiation source.

    Science.gov (United States)

    Gombár, Melinda; Józsa, Éva; Braun, Mihály; Ősz, Katalin

    2012-10-01

    An inexpensive photoreactor using LED light sources and a fibre-optic CCD spectrophotometer as a detector was built by designing a special cell holder for standard 1.000 cm cuvettes. The use of this device was demonstrated by studying the aqueous photochemical reaction of 2,5-dichloro-1,4-benzoquinone. The developed method combines the highly quantitative data collection of CCD spectrophotometers with the possibility of illuminating the sample independently of the detecting light beam, which is a substantial improvement of the method using diode array spectrophotometers as photoreactors.

  8. High-NA EUV lithography enabling Moore's law in the next decade

    Science.gov (United States)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  9. Estimation and control of large-scale systems with an application to adaptive optics for EUV lithography

    NARCIS (Netherlands)

    Haber, A.

    2014-01-01

    Extreme UltraViolet (EUV) lithography is a new technology for production of integrated circuits. In EUV lithographic machines, optical elements are heated by absorption of exposure energy. Heating induces thermoelastic deformations of optical elements and consequently, it creates wavefront

  10. Evaluation of accuracy of shade selection using two spectrophotometer systems: Vita Easyshade and Degudent Shadepilot.

    Science.gov (United States)

    Kalantari, Mohammad Hassan; Ghoraishian, Seyed Ahmad; Mohaghegh, Mina

    2017-01-01

    The aim of this in vitro study was to evaluate the accuracy of shade matching using two spectrophotometric devices. Thirteen patients who require a full coverage restoration for one of their maxillary central incisors were selected while the adjacent central incisor was intact. 3 same frameworks were constructed for each tooth using computer-aided design and computer-aided manufacturing technology. Shade matching was performed using Vita Easyshade spectrophotometer, Shadepilot spectrophotometer, and Vitapan classical shade guide for the first, second, and third crown subsequently. After application, firing, and glazing of the porcelain, the color was evaluated and scored by five inspectors. Both spectrophotometric systems showed significantly better results than visual method ( P spectrophotometers ( P Spectrophotometers are a good substitute for visual color selection methods.

  11. Performance of one hundred watt HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-03-01

    We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - "GL200E". This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.

  12. Structure analysis of biomolecules using synchrotron radiation circular dichroism spectrophotometer

    International Nuclear Information System (INIS)

    Gekko, Kunihiko; Matsuo, Koichi

    2004-01-01

    We constructed the vacuum-ultraviolet circular dichroism (VUVCD) spectrophotometer, which is capable of measuring circular dichroism spectra to 140 nm for aqueous solutions at temperature from -30 to 70degC, using a small-scale SR source at Hiroshima Synchrotron Radiation Center (HiSOR). This spectrophotometer was used for structural analyses of amino acids, saccharides, and proteins in water. The obtained results demonstrate that a synchrotron radiation VUVCD spectroscopy provides more detailed and new information on the structures of biomolecules, based on the high energy transitions of chromophores such as hydroxyl, acetal, and peptide groups. (author)

  13. Atomic absorption spectrophotometer

    International Nuclear Information System (INIS)

    Stockdale, T. J.

    1985-01-01

    In atomic absorption spectrophotometer, a reference path may be provided for radiation which excludes the flame. This radiation provides a signal from a detector which varies only with the instrumental drift produced by variations in the radiation source brightness and by variations in detector gain. The signal can be used to compensate for drift in other signals received through a sample path including the flame. In the present invention, radiation passes through the sample path continuously during measurement, and only through the reference path between sample measurements. Movable mirrors shift the radiation between the paths upon externally applied commands. Conveniently, the reference path measurement is made while the flame is stabilized during the change between samples. The reference path measurements are stored and used to correct for drift

  14. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    International Nuclear Information System (INIS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Guersel, Selmiye Alkan; Scherer, Guenther G.; Wokaun, Alexander

    2007-01-01

    Nanostructures of the thermoresponsive poly(N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 deg. C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures

  15. Review on the solar spectral variability in the EUV for space weather purposes

    Directory of Open Access Journals (Sweden)

    J. Lilensten

    2008-02-01

    Full Text Available The solar XUV-EUV flux is the main energy source in the terrestrial diurnal thermosphere: it produces ionization, dissociation, excitation and heating. Accurate knowledge of this flux is of prime importance for space weather. We first list the space weather applications that require nowcasting and forecasting of the solar XUV-EUV flux. We then review present models and discuss how they account for the variability of the solar spectrum. We show why the measurement of the full spectrum is difficult, and why it is illusory to retrieve it from its atmospheric effects. We then address the problem of determining a set of observations that are adapted for space weather purposes, in the frame of ionospheric studies. Finally, we review the existing and future space experiments that are devoted to the observation of the solar XUV-EUV spectrum.

  16. Development of a Novel Spectrophotometer for Biochemical Analyzer Based on Volume Holography Transmissive Grating and Linear CCD

    International Nuclear Information System (INIS)

    Ren Zhong; Liu Guodong; Huang Zhen; Zeng Lvming; Dai Longmin

    2011-01-01

    The classical surface-embossed plane and concave grating are usually used as the diffraction grating in some spectrophotometers. But the minute cracks are produced on the surface of the gratings' grooves, which leads to generate the stray-light and decrease the efficiency of instrument. Therefore, a novel custom-built spectrophotometer for BCA is developed in this paper. Meanwhile, the volume holography transmissive (VHT) grating is used as the diffraction grating in this spectrophotometer. Additionally, a high resolution CCD and data acquisition (DAQ) card with combined the virtual software platform based on LabVIEW are used to design the spectral acquisition and analysis system. Experimental results show that the spectral range and the diffraction efficiency of the spectrophotometer for BCA are greatly increased. The spectral range of the spectrophotometer for BCA can reach 300-1000 nm, its wavelength resolution can reach 1nm. And, it uses the back-splitting-light technology and multi-channel parallel analysis. Compared with other same types, this spectrophotometer has many advantages, such as, higher efficiency, simpler algorithm, higher accuracy, cheaper cost and fewer stray-light and higher imaging quality, etc. Therefore, this spectrophotometer for BCA based on VHT grating will has the greatly potential values in the fields of the biochemical or medical research.

  17. Shutter mechanism for spacecraft spectrophotometer

    Science.gov (United States)

    Weilbach, A.

    1972-01-01

    A shutter mechanism is described for the backscatter ultraviolet spectrophotometer experiment on the Nimbus D satellite. The purpose of the experiment is to determine spatial distribution of atmospheric ozone from measurements of ultraviolet radiation backscattered by the earth's atmosphere. The system consists of two independent, rotary cylinder shutters, controlled by a dual star Geneva mechanism, and driven by a single stepper motor. A single driver controls a combination of two independently driven Geneva stars. Design considerations involved the use of low friction, nonmetallic materials.

  18. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  19. INTERACTION OF LASER RADIATION WITH MATTER. LASER PLASMA High-power EUV (13.5 nm) light source

    Science.gov (United States)

    Borisov, Vladimir M.; Borisova, Galina N.; Vinokhodov, Aleksandr Yu; Zakharov, S. V.; Ivanov, Aleksandr S.; Kiryukhin, Yurii B.; Mishchenko, Valentin A.; Prokof'ev, Aleksandr V.; Khristoforov, Oleg B.

    2010-10-01

    Characteristics of a discharge-produced plasma (DPP) light source in the spectral band 13.5±0.135 nm, developed for Extreme Ultra Violet (EUV) lithography, are presented. EUV light is generated by DPP in tin vapour formed between rotating disk electrodes. The discharge is ignited by a focused laser beam. The EUV power 1000 W/(2π sr) in the spectral band 13.5±0.135 nm was achieved with input power about of ~63 kW to the plasma at a pulse repetition rate ~7 kHz . The results of numerical simulation are compared with the experimental data.

  20. Spectrophotometer and ultrasound evaluation of late toxicity following breast-cancer radiotherapy.

    Science.gov (United States)

    Yoshida, E J; Chen, H; Torres, M A; Curran, W J; Liu, T

    2011-10-01

    Radiation-induced normal-tissue toxicities are common, complex, and distressing side effects that affect 90% of patients receiving breast-cancer radiotherapy and 40% of patients post radiotherapy. In this study, the authors investigated the use of spectrophotometry and ultrasound to quantitatively measure radiation-induced skin discoloration and subcutaneous-tissue fibrosis. The study's purpose is to determine whether skin discoloration correlates with the development of fibrosis in breast-cancer radiotherapy. Eighteen breast-cancer patients were enrolled in our initial study. All patients were previously treated with a standard course of radiation, and the median follow-up time was 22 months. The treated and untreated breasts were scanned with a spectrophotometer and an ultrasound. Two spectrophotometer parameters-melanin and erythema indices-were used to quantitatively assess skin discoloration. Two ultrasound parameters-skin thickness and Pearson coefficient of the hypodermis-were used to quantitatively assess severity of fibrosis. These measurements were correlated with clinical assessments (RTOG late morbidity scores). Significant measurement differences between the treated and contralateral breasts were observed among all patients: 27.3% mean increase in skin thickness (p spectrophotometer parameters do not correlate with ultrasound parameters. Spectrophotometry and quantitative ultrasound are objective tools that assess radiation-induced tissue injury. Spectrophotometer parameters did not correlate with those of quantitative ultrasound suggesting that skin discoloration cannot be used as a marker for subcutaneous fibrosis. These tools may prove useful for the reduction of radiation morbidities and improvement of patient quality of life.

  1. Novel EUV resist materials design for 14nm half pitch and below

    Science.gov (United States)

    Tsubaki, Hideaki; Tarutani, Shinji; Fujimori, Toru; Takizawa, Hiroo; Goto, Takahiro

    2014-04-01

    Polymers with a different Tg and activation energy were prepared to clarify influences of acid diffusion on resolution at 15 nm half-pitch (hp) and 14 nm hp using a EUV micro-field exposure tool (MET) at LBNL. Resolution on such a narrow pattern was limited by collapse and pinching. Clear relationship between pinching numbers and polymer Tg indicates that acid diffusion is one of major contributors on the pinching. In addition, polymers with a low thermal activation energy (Ea) on deprotection were effective for reducing pinching. This is probably originated from its high chemically amplification character even in low post-exposure bake (PEB) temperature to obtain both large chemical contrast and short acid diffusion. On the other hand, a good correlation between a cleanable outgassing amount and Ea indicates trade-off relationship between outgassing and resolution. Advantages of n-butyl acetate (nBA) developer have been investigated in viewpoint of dissolution uniformity. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM as indicator of uniformity in development process. To avoid any differences in resist chemistry other than development process, cross linking negative tone resist was applied for this study. The surface roughness obtained by nBA, which is conventional negative-tone imaging (NTI) developer, was 32 % lower than that obtained by 2.38 % TMAH solution. NTI resist system with a nBA developer and optimized resist reduced LWR from 4.8 nm to 3.0 nm in comparison with conventional positive tone resist with a 2.38 % TMAH developer. In addition, advantage on semi-dense trench patterning was well defined. New EUV sensitizer with 1.15 times higher EUV absorption resulted in 1.15 times higher acid yield by EUV exposure. Lithography performance of the new EUV sensitizer has been investigated by MET at SEMATECH Albany. Sensitivity was indeed improved from 20 mJ/cm2 to 17 mJ/cm2 according

  2. Latest developments on EUV reticle and pellicle research and technology at TNO

    Science.gov (United States)

    Verberk, Rogier; Koster, Norbert; te Sligte, Edwin; Staring, Wilbert

    2017-06-01

    At TNO an extensive EUV optics life time program has been running for over 15 years together with our partners ASML and Carl Zeiss. This has contributed to the upcoming introduction of EUV High Volume Manufacturing (HVM). To further help the industry with the introduction of EUV, TNO has worked on extending their facilities with a number of reticle and pellicle research infrastructure facilities. In this paper we will show some of the facilities that are available at TNO and shortly introduce their capabilities. Recently we have opened our EBL2 facility, which is an EUV Beam Line (EBL2) meant for studying the effects of high power EUV illumination on optics, reticles and pellicles up to the power roadmap of 500 W at intermediate Focus (IF). This facility is open to users from all over the world and is beneficial for the industry in helping developing alternative capping layers and contamination control strategies for optics lifetime, new absorber materials, pellicles and resists. The EBL2 system has seen first light in December 2016 and is now in the final stage of acceptance testing and qualification. It is expected that the system will be fully operational in the third quarter of 2017, and available for users. It is possible to transfer reticles to and from the EBL2 by means of the reticle handler using the dual pod interface. This secures backside cleanliness to NXE standards and thus enables wafer printing on a NXE tool in a later stage after the exposures and inspection at EBL2. Besides EBL2, a high performance and ultra-clean reticle handler is available at TNO. This handler incorporates our particle scanner Rapid Nano 4 for front side inspection of reticle blanks with a detection limit down to 20 nm particles. Attached to the handler is also an Optical Coherence Tomography (OCT) inspection tool for back-side reticle or pellicle inspection with a resolution down to 1 micron.

  3. Performance improvement of two-dimensional EUV spectroscopy based on high frame rate CCD and signal normalization method

    International Nuclear Information System (INIS)

    Zhang, H.M.; Morita, S.; Ohishi, T.; Goto, M.; Huang, X.L.

    2014-01-01

    In the Large Helical Device (LHD), the performance of two-dimensional (2-D) extreme ultraviolet (EUV) spectroscopy with wavelength range of 30-650A has been improved by installing a high frame rate CCD and applying a signal intensity normalization method. With upgraded 2-D space-resolved EUV spectrometer, measurement of 2-D impurity emission profiles with high horizontal resolution is possible in high-density NBI discharges. The variation in intensities of EUV emission among a few discharges is significantly reduced by normalizing the signal to the spectral intensity from EUV_—Long spectrometer which works as an impurity monitor with high-time resolution. As a result, high resolution 2-D intensity distribution has been obtained from CIV (384.176A), CV(2x40.27A), CVI(2x33.73A) and HeII(303.78A). (author)

  4. Time Variabilities of Solar Wind Ion Fluxes and of X-ray and EUV Emissions from Comet Hyakutake

    Science.gov (United States)

    Neugebauer, M.; Cravens, T.; Lisse, C.; Ipavich, F.; von Steiger, R.; Shah, P.; Armstrong, T.

    1999-01-01

    Observations of X-ray and extreme ultraviolet (EUV) emissions from comet C/Hyakutake 1996 B2 made by the Rontgen X-ray satellite (ROSAT) and the Extreme Ultraviolet Explorer (EUVE) revealed a total X-ray luminosity of about 500 MW.

  5. The future of EUV lithography: enabling Moore's Law in the next decade

    Science.gov (United States)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  6. Diagnostic system for EUV radiation measurements from dense xenon plasma generated by MPC

    International Nuclear Information System (INIS)

    Petrov, Yu.V.; Garkusha, I.E.; Solyakov, D.G.; Marchenko, A.K.; Chebotarev, V.V.; Ladygina, M.S.; Staltsov, V.V.; Yelisyeyev, D.V.; Hassanein, A.

    2011-01-01

    Magnetoplasma compressor (MPC) of compact geometry has been designed and tested as a source of EUV radiation. In present paper diagnostic system for registration of EUV radiation is described. It was applied for radiation measurements in different operation modes of MPC. The registration system was designed on the base of combination of different types of AXUV photodiodes. Possibility to minimize the influence of electrons and ions flows from dense plasma stream on AXUV detector performance and results of the measurements has been discussed.

  7. EUV multilayer mirror, optical system including a multilayer mirror and method of manufacturing a multilayer mirror

    NARCIS (Netherlands)

    Huang, Qiushi; Louis, Eric; Bijkerk, Frederik; de Boer, Meint J.; von Blanckenhagen, G.

    2016-01-01

    A multilayer mirror (M) reflecting extreme ultraviolet (EUV) radiation from a first wave-length range in a EUV spectral region comprises a substrate (SUB) and a stack of layers (SL) on the substrate, the stack of layers comprising layers comprising a low index material and a high index material, the

  8. Uncertainties in (E)UV model atmosphere fluxes

    Science.gov (United States)

    Rauch, T.

    2008-04-01

    Context: During the comparison of synthetic spectra calculated with two NLTE model atmosphere codes, namely TMAP and TLUSTY, we encounter systematic differences in the EUV fluxes due to the treatment of level dissolution by pressure ionization. Aims: In the case of Sirius B, we demonstrate an uncertainty in modeling the EUV flux reliably in order to challenge theoreticians to improve the theory of level dissolution. Methods: We calculated synthetic spectra for hot, compact stars using state-of-the-art NLTE model-atmosphere techniques. Results: Systematic differences may occur due to a code-specific cutoff frequency of the H I Lyman bound-free opacity. This is the case for TMAP and TLUSTY. Both codes predict the same flux level at wavelengths lower than about 1500 Å for stars with effective temperatures (T_eff) below about 30 000 K only, if the same cutoff frequency is chosen. Conclusions: The theory of level dissolution in high-density plasmas, which is available for hydrogen only should be generalized to all species. Especially, the cutoff frequencies for the bound-free opacities should be defined in order to make predictions of UV fluxes more reliable.

  9. Fiber optic modification of a diode array spectrophotometer

    International Nuclear Information System (INIS)

    Van Hare, D.R.; Prather, W.S.

    1986-01-01

    Fiber optics were adapted to a Hewlett-Packard diode array spectrophotometer to permit the analysis of radioactive samples without risking contamination of the instrument. Instrument performance was not compromised by the fiber optics. The instrument is in routine use at the Savannah River Plant control laboratories

  10. The Spectrophotometer II: A Module on the Spectral Properties of Light. Tech Physics Series.

    Science.gov (United States)

    Frank, Nathaniel; And Others

    This module is designed to give the learner an understanding of the nature of light and how its properties are used in the design of spectrophotometers. Problems promote the use of spectrophotometers in qualitative analysis, the optical elements used in a monochromator, and the physical properties of the prism and the diffraction grating. Other…

  11. Negating HIO-induced metal and carbide EUV surface contamination

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Gleeson, Michael; van de Kruijs, Robbert Wilhelmus Elisabeth; Lee, Christopher James; Kleyn, A.W.; Bijkerk, Frederik

    2011-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) light in order to reduce feature sizes in semiconductor manufactoring. Lens materials for this wavelength do not exist: image projection requires multilayer mirrors that act as an artificial Bragg crystal.

  12. Microwave, EUV, and X-ray observations of active region loops and filaments

    International Nuclear Information System (INIS)

    Schmahl, E.

    1980-01-01

    Until the advent of X-ray and EUV observations of coronal structures, radio observers were forced to rely on eclipse and coronagraph observations in white light and forbidden coronal lines for additional diagnostics of the high temperature microwave sources. While these data provided enough material for theoretical insight into the physics of active regions, there was no way to make direct, simultaneous comparison of coronal structures on the disk as seen at microwave and optical wavelengths. This is now possible, and therefore the author summarizes the EUV and X-ray observations indicating at each point the relevance to microwaves. (Auth.)

  13. Shade determination using camouflaged visual shade guides and an electronic spectrophotometer.

    Science.gov (United States)

    Kvalheim, S F; Øilo, M

    2014-03-01

    The aim of the present study was to compare a camouflaged visual shade guide to a spectrophotometer designed for restorative dentistry. Two operators performed analyses of 66 subjects. One central upper incisor was measured four times by each operator; twice with a camouflaged visual shade guide and twice with a spectrophotometer Both methods had acceptable repeatability rates, but the electronic shade determination showed higher repeatability. In general, the electronically determined shades were darker than the visually determined shades. The use of a camouflaged visual shade guide seems to be an adequate method to reduce operator bias.

  14. Routine maintenance prolongs ESP time between failures

    International Nuclear Information System (INIS)

    Hurst, T.; Lannom, R.W.; Divine, D.L.

    1992-01-01

    This paper reports that routine maintenance of electric submersible motors (ESPs) significantly lengthened the mean time between motor failures (MTBF), decreased operating costs, and extended motor run life in the Sacroc Unit of the Kelly-Snyder field in West Texas. After the oil price boom of the early 1980s. rapidly eroding profit margins from producing properties caused a much stronger focus on reducing operating costs. In Sacroc, ESP operating life and repair costs became a major target of cost reduction efforts. The routine ESP maintenance program has been in place for over 3 years

  15. A multi-channel coronal spectrophotometer.

    Science.gov (United States)

    Landman, D. A.; Orrall, F. Q.; Zane, R.

    1973-01-01

    We describe a new multi-channel coronal spectrophotometer system, presently being installed at Mees Solar Observatory, Mount Haleakala, Maui. The apparatus is designed to record and interpret intensities from many sections of the visible and near-visible spectral regions simultaneously, with relatively high spatial and temporal resolution. The detector, a thermoelectrically cooled silicon vidicon camera tube, has its central target area divided into a rectangular array of about 100,000 pixels and is read out in a slow-scan (about 2 sec/frame) mode. Instrument functioning is entirely under PDP 11/45 computer control, and interfacing is via the CAMAC system.

  16. Myrtaceae da restinga no norte do Espírito Santo, Brasil

    Directory of Open Access Journals (Sweden)

    Augusto Giaretta

    2015-01-01

    Full Text Available Myrtaceae está entre as famílias mais ricas em espécies nas restingas do Espírito Santo. Este estudo objetivou fazer o inventário e a caracterização das espécies de Myrtaceae ocorrentes na vegetação de restinga no norte do Espírito Santo. Foram registradas 52 espécies distribuídas em 10 gêneros. O gênero mais representado foi Eugenia (19 espécies, seguido de Myrcia (12, Marlierea (6, Psidium (4, Myrciaria (3, Calyptranthes (2, Campomanesia (2, Neomitranthes (2, Blepharocalyx (1 e Plinia (1. Foram registradas duas  espécies endêmicas (Eugenia inversa e Myrcia limae. As formações vegetais  com maior número de espécies foram a florestal não inundável (40 espécies,  seguida da arbustiva fechada não inundável (19 e florestal inundável (19. São apresentadas chaves para identificação das espécies, descrições, comentários,  distribuição geográfica e ilustrações dos caracteres diagnósticos.

  17. Versatile IEEE-488 data acquisition and control routines for a diode array spectrophotometer

    OpenAIRE

    Shiundu, Paul M.; Wade, Adrian P.

    1991-01-01

    The UV-visible diode array spectrophotometer is a work-horse instrument for many laboratories. This article provides simple data acquisition and control routines in Microsoft QuickBasic for a HP-8452A diode array spectrophotometer interfaced to an IBM PC/XT/AT, or compatible, microcomputer. These allow capture of full spectra and measure absorbance at one or several wavelengths at preset time intervals. The variance in absorbance at each wavelength is available as an option.

  18. Sub 20nm particle inspection on EUV mask blanks

    NARCIS (Netherlands)

    Bussink, P.G.W.; Volatier, J.B.; Walle, P. van der; Fritz, E.C.; Donck, J.C.J. van der

    2016-01-01

    The Rapid Nano is a particle inspection system developed by TNO for the qualification of EUV reticle handling equipment. The detection principle of this system is dark-field microscopy. The performance of the system has been improved via model-based design. Through our model of the scattering

  19. Revisão das espécies neotropicais de Empididae (Diptera descritas por Mario Bezzi: VII. As espécies descritas em Hilara Meigen

    Directory of Open Access Journals (Sweden)

    José Albertino Rafael

    2011-09-01

    Full Text Available As cinco espécies descritas em Hilara por Mario Bezzi estão sendo redescritas e ilustradas. Atualmente três espécies permanecem em Hilara: H. irritans, H. perplexa e H. perturbans e duas espécies em Hilarigona: H. aberrans e H. abnormis. Todos os tipos primários foram examinados e estão sendo designados lectótipos para as três espécies de Hilara.

  20. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  1. Development of an ultra-compact mid-infrared attenuated total reflectance spectrophotometer

    Science.gov (United States)

    Kim, Dong Soo; Lee, Tae-Ro; Yoon, Gilwon

    2014-07-01

    Mid-infrared spectroscopy has been an important tool widely used for qualitative analysis in various fields. However, portable or personal use is size and cost prohibitive for either Fourier transform infrared or attenuated total reflectance (ATR) spectrophotometers. In this study, we developed an ultra-compact ATR spectrophotometer whose frequency band was 5.5-11.0 μm. We used miniature components, such as a light source fabricated by semiconductor technology, a linear variable filter, and a pyro-electric array detector. There were no moving parts. Optimal design based on two light sources, a zippered configuration of the array detector and ATR optics could produce absorption spectra that might be used for qualitative analysis. A microprocessor synchronized the pulsed light sources and detector, and all the signals were processed digitally. The size was 13.5×8.5×3.5 cm3 and the weight was 300 grams. Due to its low cost, our spectrophotometer can replace many online monitoring devices. Another application could be for a u-healthcare system installed in the bathroom or attached to a smartphone for monitoring substances in body fluids.

  2. Enhancement of EUV emission from a liquid microjet target by use of dual laser pulses

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Koga, Masato; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi; Kikuchi, Takashi; Yugami, Noboru; Kawata, Shigeo; Andreev, Alexander A.

    2005-03-01

    Extreme ultraviolet (EUV) radiation at the wavelength of around 13nm waws observed from a laser-produced plasma using continuous water-jet. Strong dependence of the conversion efficiency (CE) on the laser focal spot size and jet diameter was observed. The EUV CE at a given laser spot size and jet diameter was further enhanced using double laser pulses, where a pre-pulse was used for initial heating of the plasma.

  3. EUV blank defect and particle inspection with high throughput immersion AFM with 1nm 3D resolution

    NARCIS (Netherlands)

    Es, M.H. van; Sadeghian Marnani, H.

    2016-01-01

    Inspection of EUV mask substrates and blanks is demanding. We envision this is a good target application for massively parallel Atomic Force Microscopy (AFM). We envision to do a full surface characterization of EUV masks with AFM enabling 1nm true 3D resolution over the entire surface. The limiting

  4. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Huadong; Zhang, Jun; Ma, Suli [Key Laboratory of Solar Activity, National Astronomical Observatories, Chinese Academy of Sciences, Beijing 100012 (China); Yan, Xiaoli [Yunnan Observatories, Chinese Academy of Sciences, Kunming 650011 (China); Xue, Jianchao, E-mail: hdchen@nao.cas.cn, E-mail: zjun@nao.cas.cn [Key Laboratory for Dark Matter and Space Science, Purple Mountain Observatory, Chinese Academy of Sciences, Nanjing 210008 (China)

    2017-05-20

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s{sup −1}. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  5. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    International Nuclear Information System (INIS)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-01-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s −1 . During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  6. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Science.gov (United States)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-05-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19-20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ˜280 km s-1. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ˜8 G.

  7. Utilization of Android-base Smartphone to Support Handmade Spectrophotometer : A Preliminary Study

    Science.gov (United States)

    Ujiningtyas, R.; Apriliani, E.; Yohana, I.; Afrillianti, L.; Hikmah, N.; Kurniawan, C.

    2018-04-01

    Visible spectrophotometer is a powerful instrument in chemistry. We can identify the chemical species base on their specific color and then we can also determine the amount of the species using the spectrophotometer. However, the availability of visible spectrophotometer still limited, particularly for education. This affect the skill of student to have experience on handling the instrumentation. On the other hand, the communication technology creates an opportunity for student to explore their smart feature, mainly the camera. The objective of this research is to make an application that utilize the camera feature as a detector for handmade visible spectrophotometer. The software have been made based on android program, and we name it as Spectrophone®. The spectrophotometer consists of an acrylic body, sample compartment, and light sources (USB-LED lamp powered by 6600 mAh battery). Before reach the sample, the light source was filtered using colored-mica plastic. The spectrophone® apps utilize the camera to detect the color based on its RGB composition. A different colored solution will show a different RGB composition based on the concentration and specific absorbance wavelength. We then can choose one type of color composition, R or G or B only to be converted as an absorbance using -Log (Cs/Co), where Cs and Co are color composition of sample and blank, respectively. The calibration curve of metilen blue measured. In a red (R) composition, the regression is not linear (R2=0.78) compare to the result of UV-Vis spectrophotomer model Spectroquant Pharo 300 (R2=0.8053). This measurement result shows that The Spectrophone® still need to be evaluated and corrected. One problem than can we identify that the diameter of pick point of RGB composition is too wide and this will affect the reading color composition. Next, we will fix the problem and in advance we will apply this Spectrophone® in a wide scale.

  8. 4-D modeling of CME expansion and EUV dimming observed with STEREO/EUVI

    Directory of Open Access Journals (Sweden)

    M. J. Aschwanden

    2009-08-01

    Full Text Available This is the first attempt to model the kinematics of a CME launch and the resulting EUV dimming quantitatively with a self-consistent model. Our 4-D-model assumes self-similar expansion of a spherical CME geometry that consists of a CME front with density compression and a cavity with density rarefaction, satisfying mass conservation of the total CME and swept-up corona. The model contains 14 free parameters and is fitted to the 25 March 2008 CME event observed with STEREO/A and B. Our model is able to reproduce the observed CME expansion and related EUV dimming during the initial phase from 18:30 UT to 19:00 UT. The CME kinematics can be characterized by a constant acceleration (i.e., a constant magnetic driving force. While the observations of EUVI/A are consistent with a spherical bubble geometry, we detect significant asymmetries and density inhomogeneities with EUVI/B. This new forward-modeling method demonstrates how the observed EUV dimming can be used to model physical parameters of the CME source region, the CME geometry, and CME kinematics.

  9. Versatile IEEE-488 data acquisition and control routines for a diode array spectrophotometer

    Science.gov (United States)

    Shiundu, Paul M.

    1991-01-01

    The UV-visible diode array spectrophotometer is a work-horse instrument for many laboratories. This article provides simple data acquisition and control routines in Microsoft QuickBasic for a HP-8452A diode array spectrophotometer interfaced to an IBM PC/XT/AT, or compatible, microcomputer. These allow capture of full spectra and measure absorbance at one or several wavelengths at preset time intervals. The variance in absorbance at each wavelength is available as an option. PMID:18924888

  10. Study of EUV induced defects on few-layer graphene

    NARCIS (Netherlands)

    Gao, An; Rizo, P.J.; Zoethout, E.; Scaccabarozzi, L.; Lee, Christopher James; Banine, V.; Bijkerk, Frederik

    2012-01-01

    Defects in graphene greatly affect its properties1-3. Radiation induced-defects may reduce the long-term survivability of graphene-based nano-devices. Here, we expose few-layer graphene to extreme ultraviolet (EUV, 13.5nm) radiation and show there is a power-dependent increase in defect density. We

  11. Formation dynamics of UV and EUV induced hydrogen plasma

    NARCIS (Netherlands)

    Dolgov, A.A.; Lee, Christopher James; Yakushev, O.; Lopaev, D.V.; Abrikosov, A.; Krivtsun, V.M.; Zotovich, A.; Bijkerk, F.

    2014-01-01

    The comparative study of the dynamics of ultraviolet (UV) and extreme ultraviolet (EUV) induced hydrogen plasma was performed. It was shown that for low H2 pressures and bias voltages, the dynamics of the two plasmas are significantly different. In the case of UV radiation, the plasma above the

  12. Dobson spectrophotometer ozone measurements during international ozone rocketsonde intercomparison

    Science.gov (United States)

    Parsons, C. L.

    1980-01-01

    Measurements of the total ozone content of the atmosphere, made with seven ground based instruments at a site near Wallops Island, Virginia, are discussed in terms for serving as control values with which the rocketborne sensor data products can be compared. These products are profiles of O3 concentration with altitude. By integrating over the range of altitudes from the surface to the rocket apogee and by appropriately estimating the residual ozone amount from apogee to the top of the atmosphere, a total ozone amount can be computed from the profiles that can be directly compared with the ground based instrumentation results. Dobson spectrophotometers were used for two of the ground-based instruments. Preliminary data collected during the IORI from Dobson spectrophotometers 72 and 38 are presented. The agreement between the two and the variability of total ozone overburden through the experiment period are discussed.

  13. Response of inorganic materials to laser - plasma EUV radiation focused with a lobster eye collector

    Science.gov (United States)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Szczurek, Miroslaw; Havlikova, Radka; Pína, Ladislav; Švéda, Libor; Inneman, Adolf

    2007-05-01

    A single photon of EUV radiation carries enough energy to break any chemical bond or excite electrons from inner atomic shells. It means that the radiation regardless of its intensity can modify chemical structure of molecules. It is the reason that the radiation even with low intensity can cause fragmentation of long chains of organic materials and desorption of small parts from their surface. In this work interaction of EUV radiation with inorganic materials was investigated. Different inorganic samples were irradiated with a 10 Hz laser - plasma EUV source based on a gas puff target. The radiation was focused on a sample surface using a lobster eye collector. Radiation fluence at the surface reached 30 mJ/cm2 within a wavelength range 7 - 20 nm. In most cases there was no surface damage even after several minutes of irradiation. In some cases there could be noticed discolouration of an irradiated surface or evidences of thermal effects. In most cases however luminescent and scattered radiation was observed. The luminescent radiation was emitted in different wavelength ranges. It was recorded in a visible range of radiation and also in a wide wavelength range including UV, VUV and EUV. The radiation was especially intense in a case of non-metallic chemical compounds.

  14. Enabling laboratory EUV research with a compact exposure tool

    Science.gov (United States)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  15. Discharge plasmas as EUV Sources for Future Micro Lithography

    Science.gov (United States)

    Kruecken, Thomas

    2007-08-01

    Future extreme ultraviolet (EUV) lithography will require very high radiation intensities in a narrow wavelength range around 13.5 nm, which is most efficiently emitted as line radiation by highly ionized heavy particles. Currently the most intense EUV sources are based on xenon or tin gas discharges. After having investigated the limits of a hollow cathode triggered xenon pinch discharge Philips Extreme UV favors a laser triggered tin vacuum spark discharge. Plasma and radiation properties of these highly transient discharges will be compared. Besides simple MHD-models the ADAS software package has been used to generate important atomic and spectral data of the relevant ion stages. To compute excitation and radiation properties, collisional radiative equilibria of individual ion stages are computed. For many lines opacity effects cannot be neglected. In the xenon discharges the optical depths allow for a treatment based on escape factors. Due to the rapid change of plasma parameters the abundancies of the different ionization stages must be computed dynamically. This requires effective ionization and recombination rates, which can also be supplied by ADAS. Due to very steep gradients (up to a couple orders of magnitude per mm) the plasma of tin vacuum spark discharges is very complicated. Therefore we shall describe here only some technological aspects of our tin EUV lamp: The electrode system consists of two rotating which are pulled through baths of molten tin such that a tin film remains on their surfaces. With a laser pulse some tin is ablated from one of the wheels and travels rapidly through vacuum towards the other rotating wheel. When the tin plasma reaches the other electrodes it ignites and the high current phase starts, i.e. the capacitor bank is unloaded, the plasma is pinched and EUV is radiated. Besides the good spectral properties of tin this concept has some other advantages: Erosion of electrodes is no severe problem as the tin film is

  16. [Comparison of color reappearance between metal-ceram restoration and foundry-ceram restoration using crystaleye spectrophotometer].

    Science.gov (United States)

    Shi, Tao; Zhang, Ning; Kong, Fan-wen; Zhan, De-song

    2010-10-01

    To study the color reappearance effect of metal-ceram restoration and foundry-ceram restoration using Crystaleye spectrophotometer. 58 metal-ceram restorations and 58 foundry-ceram restorations according to the result of the Crystaleye spectrophotometer were made respectively. The deltaE between restorations and natural teeth as referenced were analyzed. And satisfaction of dentists and patients were evaluated. The deltaE between metal-ceram restorations and natural teeth was 7.13 +/- 0.74. The deltaE between foundry-ceram restorations and teeth was 1.47 +/- 0.84. There were statistical differences between the deltaE (P spectrophotometer can provide accurate reference for foundry-ceram restoration, but for metal-ceram restoration it is not accurate.

  17. Aberration Correction in the Brewer Spectrophotometer

    International Nuclear Information System (INIS)

    Johnston, J.E.; Kerr, J.B.; McElroy, C.T.; Wardle, D.I.

    2000-01-01

    The optical design of the Brewer Spectrophotometer has been optimised for measurements in the 300-320 nm wavelength range. An aberration resolution limit that is much less than the 0.6 nm FWHM (full width at half maximum) is achieved by using an Ebert-Fastie spectrometer design, modified by the inclusion tilted lens that optimises performance at 310 nm. The small contribution of the remaining aberration to the measured instrument function is critical to radiometric measurement quality. Ramifications of this design to the development of instrumentation with enhanced scanning abilities are discussed. (author)

  18. Far-infrared spectrophotometer for astronomical observations

    Science.gov (United States)

    Moseley, H.; Silverberg, R. F.

    1981-01-01

    A liquid-helium-cooled far infrared spectrophotometer was built and used to make low resolution observations of the continua of several kinds of astronomical objects using the Kuiper Airborne Observatory. This instrument fills a gap in both sensitivity to continuum sources and spectral resolution between the broadband photometers with lambda/Delta lambda approximately 1 and spectrometers with lambda/Delta lambda greater than 50. While designed primarily to study planetary nebulae, the instrument permits study of the shape of the continua of many weak sources which cannot easily be observed with high resolution systems.

  19. Spectrophotometer-Integrating-Sphere System for Computing Solar Absorptance

    Science.gov (United States)

    Witte, William G., Jr.; Slemp, Wayne S.; Perry, John E., Jr.

    1991-01-01

    A commercially available ultraviolet, visible, near-infrared spectrophotometer was modified to utilize an 8-inch-diameter modified Edwards-type integrated sphere. Software was written so that the reflectance spectra could be used to obtain solar absorptance values of 1-inch-diameter specimens. A descriptions of the system, spectral reflectance, and software for calculation of solar absorptance from reflectance data are presented.

  20. The EUV Spectrum of Sunspot Plumes Observed by SUMER on ...

    Indian Academy of Sciences (India)

    tribpo

    Abstract. We present results from sunspot observations obtained by. SUMER on SOHO. In sunspot plumes the EUV spectrum differs from the quiet Sun; continua are observed with different slopes and intensities; emission lines from molecular hydrogen and many unidentified species indicate unique plasma conditions ...

  1. Effect of solar UV/EUV heating on the intensity and spatial distribution of Jupiter's synchrotron radiation

    Science.gov (United States)

    Kita, H.; Misawa, H.; Tsuchiya, F.; Tao, C.; Morioka, A.

    2013-10-01

    We analyzed the Very Large Array archived data observed in 2000 to determine whether solar ultraviolet (UV)/extreme ultraviolet (EUV) heating of the Jovian thermosphere causes variations in the total flux density and dawn-dusk asymmetry (the characteristic differences between the peak emissions at dawn and dusk) of Jupiter's synchrotron radiation (JSR). The total flux density varied by 10% over 6 days of observations and accorded with theoretical expectations. The average dawn-dusk peak emission ratio indicated that the dawn side emissions were brighter than those on the dusk side and this was expected to have been caused by diurnal wind induced by the solar UV/EUV. The daily variations in the dawn-dusk ratio did not correspond to the solar UV/EUV, and this finding did not support the theoretical expectation that the dawn-dusk ratio and diurnal wind velocity varies in correspondence with the solar UV/EUV. We tried to determine whether the average dawn-dusk ratio could be explained by a reasonable diurnal wind velocity. We constructed an equatorial brightness distribution model of JSR using the revised Divine-Garrett particle distribution model and used it to derive a relation between the dawn-dusk ratio and diurnal wind velocity. The estimated diurnal wind velocity reasonably corresponded to a numerical simulation of the Jovian thermosphere. We also found that realistic changes in the diurnal wind velocity could not cause the daily variations in the dawn-dusk ratio. Hence, we propose that the solar UV/EUV related variations were below the detection limit and some other processes dominated the daily variations in the dawn-dusk ratio.

  2. Vacuum-ultraviolet circular dichroism of amino acids as revealed by synchrotron radiation spectrophotometer

    International Nuclear Information System (INIS)

    Matsuo, Koichi; Matsushima, Yosuke; Fukuyama, Takayuki; Gekko, Kunihiko; Senba, Shinya

    2002-01-01

    We succeeded in constructing a vacuum-ultraviolet circular dichroism (VUVCD) spectrophotometer with a small-scale synchrotron radiation source (0.7 GeV) at Hiroshima Synchrotron Radiation Center (HiSOR). This VUVCD system revealed for the first time the CD spectra of amino acids in aqueous media in the 310-140 nm region under high vacuum. These data, which cannot be observed by any types of existing CD spectrophotometers, now open a new field in the structural analysis of biomaterials on a basis of the higher energy transition of chromophores. (author)

  3. ESP8266 WI-FI MODULE FOR MONITORING SYSTEM APPLICATION

    OpenAIRE

    Tae-Gue Oh; Chung-Hyuk Yim; Gyu-Sik Kim

    2017-01-01

    The ESP8266 Wi-Fi module is a self-contained system-on-chip (SOC) with integrated TCP/IP protocol stacks that can give any microcontroller access to a Wi-Fi network. In this paper, the interface between the ESP8266 Wi-Fi module and arduino MCU is studied for monitoring system application. Through some experimental studies, we believe that the ESP8266 Wi-Fi module is very useful for monitoring system application.

  4. The Diagnostics of the kappa-Distributions from EUV Spectra

    Czech Academy of Sciences Publication Activity Database

    Dzifčáková, Elena; Kulinová, Alena

    2010-01-01

    Roč. 263, 1-2 (2010), s. 25-41 ISSN 0038-0938 R&D Projects: GA ČR GA205/09/1705 Grant - others:VEGA(SK) 1/0069/08 Institutional research plan: CEZ:AV0Z10030501 Keywords : EUV spectra * non- thermal distributions * plasma diagnostics Subject RIV: BN - Astronomy, Celestial Mechanics, Astrophysics Impact factor: 3.386, year: 2010

  5. Spectroscopic studies of xenon EUV emission in the 40-80 nm wavelength range using an absolutely calibrated monochromator

    Energy Technology Data Exchange (ETDEWEB)

    Merabet, H [Mathematic and Sciences Unit, Dhofar University, Salalah 211, Sultanate of (Oman); Bista, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Bruch, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Fuelling, S [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States)

    2007-03-01

    We have measured and identified numerous Extreme UltraViolet (EUV) radiative line structures arising from xenon (Xe) ions in charge state q = 1 to 10 in the wavelength range 40-80 nm. To obtain reasonable intensities of different charged Xe ions, we have used a compact microwave plasma source which was designed and developed at the Lawrence Berkeley National Laboratory (LBNL). The EUV emission of the ECR plasma has been measured by a 1.5 m grazing incidence monochromator that was absolutely calibrated in the 10-80 nm wavelength range using well known and calibrated EUV light at the Advanced Light Source (ALS), LBNL. This calibration has enabled us to determine absolute intensities of previously measured EUV radiative lines in the wavelengths regions investigated for different ionization stages of Xe. In addition, emission spectra of xenon ions for corresponding measured lines have been calculated. The calculations have been carried out within the relativistic Hartree-Fock (HF) approximation. Results of calculations are found to be in good agreement with current and available experimental and theoretical data.

  6. EUV stimulated emission from MgO pumped by FEL pulses

    Directory of Open Access Journals (Sweden)

    Philippe Jonnard

    2017-09-01

    Full Text Available Stimulated emission is a fundamental process in nature that deserves to be investigated and understood in the extreme ultra-violet (EUV and x-ray regimes. Today, this is definitely possible through high energy density free electron laser (FEL beams. In this context, we give evidence for soft-x-ray stimulated emission from a magnesium oxide solid target pumped by EUV FEL pulses formed in the regime of travelling-wave amplified spontaneous emission in backward geometry. Our results combine two effects separately reported in previous works: emission in a privileged direction and existence of a material-dependent threshold for the stimulated emission. We develop a novel theoretical framework, based on coupled rate and transport equations taking into account the solid-density plasma state of the target. Our model accounts for both observed mechanisms that are the privileged direction for the stimulated emission of the Mg L2,3 characteristic emission and the pumping threshold.

  7. Optical, UV, and EUV Oscillations of SS Cygni in Outburst

    Science.gov (United States)

    Mauche, Christopher W.

    2004-07-01

    I provide a review of observations in the optical, UV (HST), and EUV (EUVE and Chandra LETG) of the rapid periodic oscillations of nonmagnetic, disk-accreting, high mass-accretion rate cataclysmic variables (CVs), with particular emphasis on the dwarf nova SS Cyg in outburst. In addition, I drawn attention to a correlation, valid over nearly six orders of magnitude in frequency, between the frequencies of the quasi-periodic oscillations (QPOs) of white dwarf, neutron star, and black hole binaries. This correlation identifies the high frequency quasi-coherent oscillations (so-called ``dwarf nova oscillations'') of CVs with the kilohertz QPOs of low mass X-ray binaries (LMXBs), and the low frequency and low coherence QPOs of CVs with the horizontal branch oscillations (or the broad noise component identified as such) of LMXBs. Assuming that the same mechanisms produce the QPOs of white dwarf, neutron star, and black hole binaries, this correlation has important implications for QPO models.

  8. Driving down defect density in composite EUV patterning film stacks

    Science.gov (United States)

    Meli, Luciana; Petrillo, Karen; De Silva, Anuja; Arnold, John; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex; Durrant, Danielle; Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Kawakami, Shinichiro; Matsunaga, Koichi

    2017-03-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.

  9. Objective evaluation of whiteness of cooked rice and rice cakes using a portable spectrophotometer.

    Science.gov (United States)

    Goto, Hajime; Asanome, Noriyuki; Suzuki, Keitaro; Sano, Tomoyoshi; Saito, Hiroshi; Abe, Yohei; Chuba, Masaru; Nishio, Takeshi

    2014-03-01

    The whiteness of cooked rice and rice cakes was evaluated using a portable spectrophotometer with a whiteness index (WI). Also, by using boiled rice for measurement of Mido values by Mido Meter, it was possible to infer the whiteness of cooked rice without rice cooking. In the analysis of varietal differences of cooked rice, 'Tsuyahime', 'Koshihikari' and 'Koshinokaori' showed high whiteness, while 'Satonoyuki' had inferior whiteness. The whiteness of rice cakes made from 'Koyukimochi' and 'Dewanomochi' was higher than the whiteness of those made from 'Himenomochi' and 'Koganemochi'. While there was a significant correlation (r = 0.84) between WI values and whiteness scores of cooked rice by the sensory test, no correlation was detected between the whiteness scores and Mido values, indicating that the values obtained by a spectrophotometer differ from those obtained by a Mido Meter. Thus, a spectrophotometer may be a novel device for measurement of rice eating quality.

  10. A comparison between a tristimulus colorimeter (Minolta ChromaMeter CR-200) and two spectrophotometers (Minolta Spectrophotometer CM-508i and CM-2002). Quantification of UV-B induced erythema in a hairless guinea pig model.

    Science.gov (United States)

    Fullerton, A; Keiding, J

    1997-11-01

    A comparison was made between a tristimulus colorimeter (Minolta ChromaMeter CR 200) and two spectrophotometers (Minolta CM-508i and CM-2002). The object was to compare skin colour data in the CIE 1976 L*a*d*colour space system obtained with the different instruments after UV-B exposure. Guinea pigs were exposed on their dorsal trunk with UV-B light for different time periods. Skin colour in the L*a*b* system was measured 6 and 24 h after exposure. Reproducible and objective measurements expressed in the CIE system were obtained with all three instruments. A strong correlation was found between the Minolta Chromameter CR200 and each of the two spectrophotometers. However, absolute values found with the Minolta ChromaMeter CR 200, especially for b*, differed from values obtained with the two spectrophotometers. The instruments were, despite minor inter-instrumental variation, suited for objective evaluation of erythema. The spectrophotometers were somewhat cumbersome to use due to their heavy weight and difficulties in positioning within the test sites; the Minolta CromaMeter CR200 (or later versions) is thus preferable for simple routine measurements. Use of the spectophotometers appears only indicated where specific information about skin pigments or photoactive substances relative to wavelength is needed.

  11. Palmtop spectrophotometer for DNA and protein measurement in micro-nanoliter assays

    International Nuclear Information System (INIS)

    Qiu Tian; Huang Guoliang; Yang Xiaoyong; Ma Li; Yang Xu

    2011-01-01

    Spectrophotometer, an important tool in life science, medicine, and analytical fields, usually uses an optical path of 10 mm or more for absorbance measurement of UV light. This corresponds to a sample consumption of ≥ 50 μL in volume and a narrow measuring range of 0.5-50 ng/μL for nucleic acid samples and 0.05-2 mg/mL for protein samples. Higher concentrations must be diluted for measurement. In this paper, we developed an advanced palmtop spectrophotometer for the measurement of both DNA and protein concentrations in micro-nanoliter assays. We constructed a fiber transmission and a fiber reflection absorbance detection scheme illuminated by either UV-LED or deuterium lamp. The sensitivity of 0.5 ng/μL and a wide measuring range of 0.5-2000 ng/μL in concentrations were obtained for DNA, and the sensitivity of 0.05 mg/mL and a wide measuring range of 0.05-100 mg/mL were also obtained for protein. However, sample consumption is only 1 μL in volume for fiber transmission detection scheme and 500 nL for fiber reflection detection scheme. The linear correlation coefficient of measured concentrations to theoretical concentrations is greater than 0.99. With the profit of this work, a miniaturized spectrophotometer with better sensitivity and wider measuring range can be produced for analytical applications.

  12. Solar Cycle Variation of Microwave Polar Brightening and EUV Coronal Hole Observed by Nobeyama Radioheliograph and SDO/AIA

    Science.gov (United States)

    Kim, Sujin; Park, Jong-Yeop; Kim, Yeon-Han

    2017-08-01

    We investigate the solar cycle variation of microwave and extreme ultraviolet (EUV) intensity in latitude to compare microwave polar brightening (MPB) with the EUV polar coronal hole (CH). For this study, we used the full-sun images observed in 17 GHz of the Nobeyama Radioheliograph from 1992 July to 2016 November and in two EUV channels of the Atmospheric Imaging Assembly (AIA) 193 Å and 171 Å on the Solar Dynamics Observatory (SDO) from 2011 January to 2016 November. As a result, we found that the polar intensity in EUV is anti-correlated with the polar intensity in microwave. Since the depression of EUV intensity in the pole is mostly owing to the CH appearance and continuation there, the anti-correlation in the intensity implies the intimate association between the polar CH and the MPB. Considering the report of tet{gopal99} that the enhanced microwave brightness in the CH is seen above the enhanced photospheric magnetic field, we suggest that the pole area during the solar minimum has a stronger magnetic field than the quiet sun level and such a strong field in the pole results in the formation of the polar CH. The emission mechanism of the MPB and the physical link with the polar CH are not still fully understood. It is necessary to investigate the MPB using high resolution microwave imaging data, which can be obtained by the high performance large-array radio observatories such as the ALMA project.

  13. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    NARCIS (Netherlands)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Louis, Eric; Bijkerk, Frederik

    2017-01-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV

  14. Material design of negative-tone polyphenol resist for EUV and EB lithography

    Science.gov (United States)

    Kojima, Kyoko; Mori, Shigeki; Shiono, Daiju; Hada, Hideo; Onodera, Junichi

    2007-03-01

    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.

  15. Isosbestic points in the quality control oF spectrophotometers

    International Nuclear Information System (INIS)

    Oliveira, E.M. de.

    1987-01-01

    The methodology and results of quality control of spectrophotometers are reported and the calibrating of the monochromator by isosbestic points is presented. Four colorimetric indicators are used. The absorption curves (in acid and alkaline media) and mathematic determination of the common junction point are used for indicated the isosbetic points. (M.A.C.) [pt

  16. Roughness characterization of EUV multilayer coatings and ultra-smooth surfaces by light scattering

    Science.gov (United States)

    Trost, M.; Schröder, S.; Lin, C. C.; Duparré, A.; Tünnermann, A.

    2012-09-01

    Optical components for the extreme ultraviolet (EUV) face stringent requirements for surface finish, because even small amounts of surface and interface roughness can cause significant scattering losses and impair image quality. In this paper, we investigate the roughness evolution of Mo/Si multilayers by analyzing the scattering behavior at a wavelength of 13.5 nm as well as taking atomic force microscopy (AFM) measurements before and after coating. Furthermore, a new approach to measure substrate roughness is presented, which is based on light scattering measurements at 405 nm. The high robustness and sensitivity to roughness of this method are illustrated using an EUV mask blank with a highspatial frequency roughness of as low as 0.04 nm.

  17. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  18. Reflectance Tuning at Extreme Ultraviolet (EUV) Wavelengths with Active Multilayer Mirrors

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Lee, Christopher James; van Goor, F.A.; Koster, Gertjan; Rijnders, Augustinus J.H.M.; Bijkerk, Frederik

    2011-01-01

    At extreme ultraviolet (EUV) wavelengths the refractive power of transmission type optical components is limited, therefore reflective components are used. Reflective optics (multilayer mirrors) usually consist of many bilayers and each bilayer is composed of a high and a low refractive index

  19. RapidNano: towards 20nm Particle Detection on EUV Mask Blanks

    NARCIS (Netherlands)

    Donck, J.C.J. van der; Bussink, P.G.W.; Fritz, E.C.; Walle, P. van der

    2016-01-01

    Cleanliness is a prerequisite for obtaining economically feasible yield levels in the semiconductor industry. For the next generation of lithographic equipment, EUV lithography, the size of yield-loss inducing particles for the masks will be smaller than 20 nm. Consequently, equipment for handling

  20. Last improvements of the DTC 1000 spectrophotometer

    International Nuclear Information System (INIS)

    Blanc, F.

    1988-01-01

    The DTC 1000 spectrophotometer, based on optical fibers and photodiode array was developed for Pu(IV) measurement in fuel reprocessing. The apparatus takes advantage of experience acquired in optical fibers instrumentation and of the study of gamma radiation effects on fibers. This paper give the complete evolution of these last months (memory, hardware, software, background noise). But the principle of the apparatus and examples of results obtained on americium and plutonium on a previous version of the apparatus are recalled. 17 figs., 6 refs [fr

  1. Using a digital multimeter to capture spectral information generated by a spectrophotometer broadcast / atomic absorption

    International Nuclear Information System (INIS)

    Villalobos Chaves, Alberto E.

    2006-01-01

    Spectral analysis capability of the information generated by a spectrophotometer broadcast / shimadzu AA 640-13 atomic absorption has increased, through the capture of data, using a digital multimeter as the interface between the spectrophotometer and a computer. To facilitate the identification of analytes was created Chromulan format files for the 99 chemical elements reported in the literature, and covering the region between 200 nm and 900 nm, the subject of this study. (author) [es

  2. Systematic study of ligand structures of metal oxide EUV nanoparticle photoresists

    KAUST Repository

    Jiang, Jing

    2015-03-19

    Ligand stabilized metal oxide nanoparticle resists are promising candidates for EUV lithography due to their high sensitivity for high-resolution patterning and high etching resistance. As ligand exchange is responsible for the patterning mechanism, we systematically studied the influence of ligand structures of metal oxide EUV nanoparticles on their sensitivity and dissolution behavior. ZrO2 nanoparticles were protected with various aromatic ligands with electron withdrawing and electron donating groups. These nanoparticles have lower sensitivity compared to those with aliphatic ligands suggesting the structures of these ligands is more important than their pka on resist sensitivity. The influence of ligand structure was further studied by comparing the nanoparticles’ solubility for a single type ligand to mixtures of ligands. The mixture of nanoparticles showed improved pattern quality. © (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  3. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    Science.gov (United States)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  4. Spectroscopic modeling for tungsten EUV spectra

    International Nuclear Information System (INIS)

    Murakami, Izumi; Kato, Daiji; Sakaue, Hiroyuki A.; Suzuki, Chihiro; Morita, Shigeru; Goto, Motoshi; Sasaki, Akira; Nakamura, Nobuyuki; Yamamoto, Norimasa; Koike, Fumihiro

    2014-01-01

    We have constructed an atomic model for tungsten extreme ultraviolet (EUV) spectra to reconstruct characteristic spectral feature of unresolved transition array (UTA) observed at 4-7 nm for tungsten ions. In the tungsten atomic modeling, we considered fine-structure levels with the quantum principal number n up to 6 as the atomic structure and calculated the electron-impact collision cross sections by relativistic distorted-wave method, using HULLAC atomic code. We measured tungsten EUV spectra in Large Helical Device (LHD) and Compact Electron Beam Ion Trap device (CoBIT) and compared them with the model calculation. The model successfully explain series of emission peaks at 1.5-3.5 nm as n=5-4 and 6-4 transitions of W"2"4"+ - W"3"2"+ measured in CoBIT and LHD and the charge state distributions were estimated for LHD plasma. The UTA feature observed at 4-7 nm was also successfully reconstructed with our model. The peak at ∼5 nm is produced mainly by many 4f-4d transition of W"2"2"+ - W"3"5"+ ions, and the second peak at ∼6 nm is produced by 4f-4d transition of W"2"5"+ - W"2"8"+ ions, and 4d-4p inner-shell transitions, 4p"54d"n"+"1 - 4p"64d"n, of W"2"9"+ - W"3"5"+ ions. These 4d-4p inner-shell transitions become strong since we included higher excited states such as 4p"54d"n4f state, which ADAS atomic data set does not include for spectroscopic modeling with fine structure levels. (author)

  5. The Most Prominent Roles of an ESP Teacher

    Science.gov (United States)

    Ghafournia, Narjes; Sabet, Shokoofeh Ahmadian

    2014-01-01

    One prominent feature of many ESP (English for Specific Purposes) courses, which make them rather different from EGP (English for General Purposes) courses, is the presence of adult learners, who are primary workers and secondary learners. As ESP is a highly learner-cantered approach, paying close attention to the multidimensional needs of…

  6. Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering

    Science.gov (United States)

    Soltwisch, Victor; Wernecke, Jan; Haase, Anton; Probst, Jürgen; Schoengen, Max; Krumrey, Michael; Scholze, Frank; Pomplun, Jan; Burger, Sven

    2014-09-01

    Non-imaging techniques like X-ray scattering are supposed to play an important role in the further development of CD metrology for the semiconductor industry. Grazing Incidence Small Angle X-ray Scattering (GISAXS) provides directly assessable information on structure roughness and long-range periodic perturbations. The disadvantage of the method is the large footprint of the X-ray beam on the sample due to the extremely shallow angle of incidence. This can be overcome by using wavelengths in the extreme ultraviolet (EUV) spectral range, EUV small angle scattering (EUVSAS), which allows for much steeper angles of incidence but preserves the range of momentum transfer that can be observed. Generally, the potentially higher momentum transfer at shorter wavelengths is counterbalanced by decreasing diffraction efficiency. This results in a practical limit of about 10 nm pitch for which it is possible to observe at least the +/- 1st diffraction orders with reasonable efficiency. At the Physikalisch-Technische Bundesanstalt (PTB), the available photon energy range extends from 50 eV up to 10 keV at two adjacent beamlines. PTB commissioned a new versatile Ellipso-Scatterometer which is capable of measuring 6" square substrates in a clean, hydrocarbon-free environment with full flexibility regarding the direction of the incident light polarization. The reconstruction of line profiles using a geometrical model with six free parameters, based on a finite element method (FEM) Maxwell solver and a particle swarm based least-squares optimization yielded consistent results for EUV-SAS and GISAXS. In this contribution we present scatterometry data for line gratings and consistent reconstruction results of the line geometry for EUV-SAS and GISAXS.

  7. Observations and predictions of EUV emission from classical novae

    International Nuclear Information System (INIS)

    Starrfield, S.; Truran, J.W.; Sparks, W.M.; Krautter, J.

    1989-01-01

    Theoretical modeling of novae in outburst predicts that they should be active emitters of radiation both in the EUV and soft X-ray wavelengths twice during the outburst. The first time is very early in the outburst when only an all sky survey can detect them. This period lasts only a few hours. They again become bright EUV and soft X-ray emitters late in the outburst when the remnant object becomes very hot and is still luminous. The predictions imply both that a nova can remain very hot for months to years and that the peak temperature at this time strongly depends upon the mass of the white dwarf. It is important to observe novae at these late times because a measurement of both the flux and temperature can provide information about the mass of the white dwarf, the tun-off time scale, and the energy budget of the outburst. We review the existing observations of novae in late stages of their outburst and present some newly obtained data for GQ Mus 1983. We then provide results of new hydrodynamic simulations of novae in outburst and compare the predictions to the observations. 43 refs., 6 figs

  8. Study of crystalline thin films and nanofibers by means of the laser–plasma EUV-source based microscopy

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Bartnik, A.; Baranowska-Korczyc, A.; Pánek, D.; Brůža, P.; Kostecki, J.; Węgrzyński, Ł.; Jarocki, R.; Szczurek, M.; Fronc, K.; Elbaum, D.; Fiedorowicz, H.

    2013-01-01

    New developments in nanoscience and nanotechnology require nanometer scale resolution imaging tools and techniques such as an extreme ultraviolet (EUV) and soft X-ray (SXR) microscopy, based on Fresnel zone plates. In this paper, we report on applications of a desk-top microscopy using a laser-plasma EUV source based on a gas-puff target for studies of morphology of thin silicon membranes coated with NaCl crystals and samples composed of ZnO nanofibers

  9. EUV soft X-ray characterization of a FEL multilayer optics damaged by multiple shot laser beam

    International Nuclear Information System (INIS)

    Giglia, A.; Mahne, N.; Bianco, A.; Svetina, C.; Nannarone, S.

    2011-01-01

    We have investigated the damaging effects of a femtosecond pulsed laser beam with 400 nm wavelength on a Mo/Si EUV multilayer. The exposures have been done in vacuum with multiple pulses (5 pulses/mm 2 ) of 120 fs varying the laser fluence in the 38-195 mJ/cm 2 range. The analysis of the different irradiated regions has been performed ex-situ by means of different techniques, including specular and diffuse reflectivity, X-ray photoemission spectroscopy (XPS) and total electron yield (TEY) in the EUV and soft X-ray range. Surface images have been acquired by atomic force microscopy (AFM) and scanning electron microscopy (SEM). Results clearly indicate a progressive degradation of the EUV multilayer performances with the increase of the laser fluence. Spectroscopic analysis allowed to correlate the decrease of reflectivity with the degradation of the multilayer stacking, ascribed to Mo-Si intermixing at the Mo/Si interfaces of the first layers, close to the surface of the mirror.

  10. Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method

    Science.gov (United States)

    Shao, Feng; Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas

    2009-10-01

    This paper employs the Waveguide decomposition method as an efficient rigorous electromagnetic field (EMF) solver to investigate three dimensional mask-induced imaging artifacts in EUV lithography. The major mask diffraction induced imaging artifacts are first identified by applying the Zernike analysis of the mask nearfield spectrum of 2D lines/spaces. Three dimensional mask features like 22nm semidense/dense contacts/posts, isolated elbows and line-ends are then investigated in terms of lithographic results. After that, the 3D mask-induced imaging artifacts such as feature orientation dependent best focus shift, process window asymmetries, and other aberration-like phenomena are explored for the studied mask features. The simulation results can help lithographers to understand the reasons of EUV-specific imaging artifacts and to devise illumination and feature dependent strategies for their compensation in the optical proximity correction (OPC) for EUV masks. At last, an efficient approach using the Zernike analysis together with the Waveguide decomposition technique is proposed to characterize the impact of mask properties for the future OPC process.

  11. A serendipitous observation of the gamma-ray burst GRB 921013b field with EUVE

    DEFF Research Database (Denmark)

    Castro-Tirado, A.J.; Gorosabel, J.; Bowyer, S.

    1999-01-01

    hours after the burst is 1.8 x10(-16) erg s(-1) cm(-2) after correction for absorption by the Galactic interstellar medium. Even if we exclude an intrinsic absorption, this is well below the detection limit of the EUVE measurement. Although it is widely accepted that gamma-ray bursts are at cosmological......We report a serendipitous extreme ultraviolet observation by EUVE of the field containing GRB 921013b, similar to 11 hours after its occurrence. This burst was detected on 1992 October 13 by the WATCH and PHEBUS on Granat, and by the GRB experiment on Ulysses. The lack of any transient (or...

  12. Enraizamento de estacas lenhosas de espécies florestais

    OpenAIRE

    Santos,Juliano de Paulo dos; Davide,Antonio Claudio; Teixeira,Luis Antonio Fonseca; Melo,Ana Julia Santos; Melo,Lucas Amaral de

    2011-01-01

    Neste estudo, objetivou-se estudar o potencial de enraizamento de estacas lenhosas de 20 espécies florestais nativas. Dois experimentos distintos foram conduzidos. Em ambos, as estacas caulinares apresentavam em média de 15 a 20 cm de comprimento. No primeiro, estacas de nove espécies foram selecionadas em quatro classes de diâmetro, com média de 5,0; 9,0; 14,5 e 24 mm. No segundo, estacas de 20 espécies foram tratadas com ácido indolbutirico (AIB). Cada tratamento foi avaliado em quatro repe...

  13. Analysis of Ozone (O3 and Erythemal UV (EUV measured by TOMS in the equatorial African belt

    Directory of Open Access Journals (Sweden)

    Øyvind Frette

    2010-03-01

    Full Text Available We presented time series of total ozone column amounts (TOCAs and erythemal UV (EUV doses derived from measurements by TOMS (Total Ozone Mapping Spectrometer instruments on board the Nimbus-7 (N7 and the Earth Probe (EP satellites for three locations within the equatorial African belt for the period 1979 to 2000. The locations were Dar-es-Salaam (6.8° S, 39.26° E in Tanzania, Kampala (0.19° N, 32.34° E in Uganda, and Serrekunda (13.28° N, 16.34° W in Gambia. Equatorial Africa has high levels of UV radiation, and because ozone shields UV radiation from reaching the Earth’s surface, there is a need to monitor TOCAs and EUV doses. In this paper we investigated the trend of TOCAs and EUV doses, the effects of annual and solar cycles on TOCAs, as well as the link between lightning and ozone production in the equatorial African belt. We also compared clear-sky simulated EUV doses with the corresponding EUV doses derived from TOMS measurements. The TOCAs were found to vary in the ranges 243 DU − 289 DU, 231 DU − 286 DU, and 236 DU − 296 DU, with mean values of 266.9 DU, 260.9 DU, and 267.8 DU for Dar-es-Salaam, Kampala and Serrekunda, respectively. Daily TOCA time series indicated that Kampala had the lowest TOCA values, which we attributed to the altitude effect. There were two annual ozone peaks in Dar-es-Salaam and Kampala, and one annual ozone peak in Serrekunda. The yearly TOCA averages showed an oscillation within a five-year period. We also found that the EUV doses were stable at all three locations for the period 1979−2000, and that Kampala and Dar-es-Salaam were mostly cloudy throughout the year, whereas Serrekunda was mostly free from clouds. It was also found that clouds were among the major factors determining the level of EUV reaching the Earth´s surface. Finally, we noted that during rainy seasons, horizontal advection effects augmented by lightning activity may be responsible for enhanced ozone production in the tropics.

  14. Evaluation of EUV resist performance using interference lithography

    Science.gov (United States)

    Buitrago, E.; Yildirim, O.; Verspaget, C.; Tsugama, N.; Hoefnagels, R.; Rispens, G.; Ekinci, Y.

    2015-03-01

    Extreme ultraviolet lithography (EUVL) stands as the most promising solution for the fabrication of future technology nodes in the semiconductor industry. Nonetheless, the successful introduction of EUVL into the extremely competitive and stringent high-volume manufacturing (HVM) phase remains uncertain partly because of the still limiting performance of EUV resists below 16 nm half-pitch (HP) resolution. Particularly, there exists a trade-off relationship between resolution (half-pitch), sensitivity (dose) and line-edge roughness (LER) that can be achieved with existing materials. This trade-off ultimately hampers their performance and extendibility towards future technology nodes. Here we present a comparative study of highly promising chemically amplified resists (CARs) that have been evaluated using the EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). In this study we have focused on the performance qualification of different resists mainly for 18 nm and 16 nm half-pitch line/space resolution (L/S = 1:1). Among the most promising candidates tested, there are a few choices that allow for 16 nm HP resolution to be achieved with high exposure latitude (up to ~ 33%), low LER (down to 3.3 nm or ~ 20% of critical dimension CD) and low dose-to-size (or best-energy, BE) < 41 mJ/cm2 values. Patterning was even demonstrated down to 12 nm HP with one of CARs (R1UL1) evaluated for their extendibility beyond the 16 nm HP resolution. 11 nm HP patterning with some pattern collapse and well resolved patterns down 12 nm were also demonstrated with another CAR (R15UL1) formulated for 16 nm HP resolution and below. With such resist it was possible even to obtain a small process window for 14 nm HP processing with an EL ~ 8% (BE ~ 37 mJ/cm2, LER ~ 4.5 nm). Though encouraging, fulfilling all of the requirements necessary for high volume production, such as high resolution, low LER, high photon

  15. Feasibility of compensating for EUV field edge effects through OPC

    Science.gov (United States)

    Maloney, Chris; Word, James; Fenger, Germain L.; Niroomand, Ardavan; Lorusso, Gian F.; Jonckheere, Rik; Hendrickx, Eric; Smith, Bruce W.

    2014-04-01

    As EUV Lithography (EUVL) continues to evolve, it offers a possible solution to the problems of additional masks and lithography steps that drive up the cost and complexity of 193i multiple patterning. EUVL requires a non-telecentric reflective optical system for operation. This requirement causes EUV specific effects such as shadowing. The absorber physically shadows the reflective multilayer (ML) on an EUV reticle resulting in pattern fidelity degradation. To reduce this degradation, a thinner absorber may help. Yet, as the absorber thickness decreases, reflectivity increases in the `dark' region around the image field, resulting in a loss of contrast. The region around the edge of the die on the mask of unpatterned absorber material deposited on top of ML, known as the image border, is also susceptible to undesirable reflections in an ideally dark region. For EUVL to be enabled for high-volume manufacturing (HVM), reticle masking (REMA) blades are used to shield light from the image border to allow for the printing of densely spaced die. When die are printed densely, the image border of each neighboring die will overlap with the edge of a given die resulting in an increase of dose that overexposes features at the edge of the field. This effect is convolved with a fingerprint from the edge of the REMA blades. This phenomenon will be referred to as a field edge effect. One such mitigation strategy that has been investigated to reduce the field edge effect is to fully remove the ML along the image border to ensure that no actinic-EUV radiation can be reflected onto neighboring die. This has proven to suppress the effect, but residual out-of-band radiation still provides additional dose to features near the image border, especially in the corners where three neighboring fields overlap. Measurements of dense contact holes (CHs) have been made along the image border with and without a ML-etched border at IMEC in collaboration with Micron using the ASML NXE:3100. The

  16. A low cost short wave near infrared spectrophotometer: application for determination of quality parameters of diesel fuel.

    Science.gov (United States)

    Gonzaga, Fabiano Barbieri; Pasquini, Celio

    2010-06-18

    A low cost absorption spectrophotometer for the short wave near infrared spectral region (850-1050 nm) is described. The spectrophotometer is basically composed of a conventional dichroic lamp, a long-pass filter, a sample cell and a Czerny-Turner type polychromator coupled to a 1024 pixel non-cooled photodiode array. A preliminary evaluation of the spectrophotometer showed good repeatability of the first derivative of the spectra at a constant room temperature and the possibility of assigning some spectral regions to different C-H stretching third overtones. Finally, the spectrophotometer was successfully applied for the analysis of diesel samples and the determination of some of their quality parameters using partial least squares calibration models. The values found for the root mean square error of prediction using external validation were 0.5 for the cetane index and from 2.5 to 5.0 degrees C for the temperatures achieved during distillation when obtaining 10, 50, 85, and 90% (v/v) of the distilled sample, respectively. 2010 Elsevier B.V. All rights reserved.

  17. An extinction scale-expansion unit for the Beckman DK2 spectrophotometer

    Science.gov (United States)

    Dixon, M.

    1967-01-01

    The paper describes a simple but accurate unit for the Beckman DK2 recording spectrophotometer, whereby any 0·1 section of the extinction (`absorbance') scale may be expanded tenfold, while preserving complete linearity in extinction. PMID:6048800

  18. English for Specific Purposes (ESP): A Holistic Review

    Science.gov (United States)

    Rahman, Momtazur

    2015-01-01

    English for Specific Purposes, known as acronym-"ESP", has been a distinct activity in the field of English Language Teaching (ELT) since 1960s. The flowering period of ESP has been identified due to many incidents like the second world war in 1945, the rapid expansion in scientific, the growth of science and technology, the increased…

  19. Compact and Light-Weight Solar Spaceflight Instrument Designs Utilizing Newly Developed Miniature Free-Standing Zone Plates: EUV Radiometer and Limb-Scanning Monochromator

    Science.gov (United States)

    Seely, J. F.; McMullin, D. R.; Bremer, J.; Chang, C.; Sakdinawat, A.; Jones, A. R.; Vest, R.

    2014-12-01

    Two solar instrument designs are presented that utilize newly developed miniature free-standing zone plates having interconnected Au opaque bars and no support membrane resulting in excellent long-term stability in space. Both instruments are based on a zone plate having 4 mm outer diameter and 1 to 2 degree field of view. The zone plate collects EUV radiation and focuses a narrow bandpass through a pinhole aperture and onto a silicon photodiode detector. As a miniature radiometer, EUV irradiance is accurately determined from the zone plate efficiency and the photodiode responsivity that are calibrated at the NIST SURF synchrotron facility. The EUV radiometer is pointed to the Sun and measures the absolute solar EUV irradiance in high time cadence suitable for solar physics and space weather applications. As a limb-scanning instrument in low earth orbit, a miniature zone-plate monochromator measures the extinction of solar EUV radiation by scattering through the upper atmosphere which is a measure of the variability of the ionosphere. Both instruments are compact and light-weight and are attractive for CubeSats and other missions where resources are extremely limited.

  20. Enhanced performance of an EUV light source (λ = 84 nm) using short-pulse excitation of a windowless dielectric barrier discharge in neon

    International Nuclear Information System (INIS)

    Carman, R J; Kane, D M; Ward, B K

    2010-01-01

    The electrical and optical characteristics of a dielectric barrier discharge (DBD) based neon excimer lamp generating output in the extreme ultraviolet (EUV) spectral range (λ = 84 nm) have been investigated experimentally. We report a detailed comparison of lamp performance for both pulsed and sinusoidal voltage excitation waveforms, using otherwise identical operating conditions. The results show that pulsed voltage excitation yields a ∼50% increase in the overall electrical to EUV conversion efficiency compared with sinusoidal waveforms, when operating in the pressure range 500-900 mbar. Pulsed operation allows greater control of parameters associated with the temporal evolution of the EUV pulse shapes (risetime, instantaneous peak power). The Ne DBD based source is also found to be highly monochromatic with respect to its spectral output from the second continuum band at λ ∼ 84 nm (5 nm FWHM). This continuum band dominates the spectral emission over the wavelength range 30-550 nm. Lamp performance; as measured by the overall EUV output energy, electrical to EUV conversion efficiency and spectral purity at λ ∼ 84 nm; improves with increasing gas pressure up to p = 900 mbar.

  1. Rocket flight of a multilayer coated high-density EUV toroidal grating

    Science.gov (United States)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Davila, Joseph M.

    1992-01-01

    A multilayer coated high density toroidal grating was flown on a sounding rocket experiment in the Solar EUV Rocket Telescope and Spectrograph (SERTS) instrument. To our knowledge this is the first space flight of a multilayer coated grating. Pre-flight performance evaluation showed that the application of a 10-layer Ir/Si multilayer coating to the 3600 l/mm blazed toroidal replica grating produced a factor of 9 enhancement in peak efficiency near the design wavelength around 30 nm in first order over the standard gold coating, with a measured EUV efficiency that peaked at 3.3 percent. In addition, the grating's spectral resolution of better than 5000 was maintained. The region of enhanced grating efficiency due to the multilayer coating is clearly evident in the flight data. Within the bandpass of the multilayer coating, the recorded film densities were roughly equivalent to those obtained with a factor of six longer exposure on the previous flight of the SERTS instrument.

  2. Determination of the Performance Parameters of a Spectrophotometer: An Advanced Experiment.

    Science.gov (United States)

    Cope, Virgil W.

    1978-01-01

    Describes an advanced analytical chemistry laboratory experiment developed for the determination of the performance parameters of a spectrophotometer. Among the parameters are the baseline linearity with wavelength, wavelength accuracy and respectability, stray light, noise level and pen response time. (HM)

  3. Portable visible and near-infrared spectrophotometer for triglyceride measurements.

    Science.gov (United States)

    Kobayashi, Takanori; Kato, Yukiko Hakariya; Tsukamoto, Megumi; Ikuta, Kazuyoshi; Sakudo, Akikazu

    2009-01-01

    An affordable and portable machine is required for the practical use of visible and near-infrared (Vis-NIR) spectroscopy. A portable fruit tester comprising a Vis-NIR spectrophotometer was modified for use in the transmittance mode and employed to quantify triglyceride levels in serum in combination with a chemometric analysis. Transmittance spectra collected in the 600- to 1100-nm region were subjected to a partial least-squares regression analysis and leave-out cross-validation to develop a chemometrics model for predicting triglyceride concentrations in serum. The model yielded a coefficient of determination in cross-validation (R2VAL) of 0.7831 with a standard error of cross-validation (SECV) of 43.68 mg/dl. The detection limit of the model was 148.79 mg/dl. Furthermore, masked samples predicted by the model yielded a coefficient of determination in prediction (R2PRED) of 0.6856 with a standard error of prediction (SEP) and detection limit of 61.54 and 159.38 mg/dl, respectively. The portable Vis-NIR spectrophotometer may prove convenient for the measurement of triglyceride concentrations in serum, although before practical use there remain obstacles, which are discussed.

  4. Application of microplate spectrophotometer in determination of apparent amylose content and mutant selection in rice

    International Nuclear Information System (INIS)

    Zhu Xiaoyang; Shen Shengquan; Chen Wenyue; Shu Qingyao

    2004-01-01

    The application of automatic microplate spectrophotometer (SpectraMax 190, Sunnyvale, USA) was investigated for measurement of apparent amylose content (AAC) of rice grains. By using five rice varieties (IR24, Iri371, Z413, KMD1 and Xiushui 11), a microplate spectrophotometer-facilitated AAC measurement system was established with similar accuracy to that of standard protocol (NY147-88). In this system, sample was treated as NY147-88, but directly stained in a microplate and the OD values of each sample were determined by a microplate spectrophotometer. A regression equation was established by using the AAC and OD value of standard samples, and the AAC of test samples were estimated by using the equation. The system is simple, accurate and high-throughput. By using this system, about 1500 progenies of M 3 seeds (harvested from individual M 2 plants) were screened for putative AAC mutants, from which one mutant line ZXY16 was developed with a middle AAC of about 16%

  5. Optimized qualification protocol on particle cleanliness for EUV mask infrastructure

    Science.gov (United States)

    van der Donck, J. C. J.; Stortelder, J. K.; Derksen, G. B.

    2011-11-01

    With the market introduction of the NXE:3100, Extreme Ultra Violet Lithography (EUVL) enters a new stage. Now infrastructure in the wafer fabs must be prepared for new processes and new materials. Especially the infrastructure for masks poses a challenge. Because of the absence of a pellicle reticle front sides are exceptionally vulnerable to particles. It was also shown that particles on the backside of a reticle may cause tool down time. These effects set extreme requirements to the cleanliness level of the fab infrastructure for EUV masks. The cost of EUV masks justifies the use of equipment that is qualified on particle cleanliness. Until now equipment qualification on particle cleanliness have not been carried out with statistically based qualification procedures. Since we are dealing with extreme clean equipment the number of observed particles is expected to be very low. These particle levels can only be measured by repetitively cycling a mask substrate in the equipment. Recent work in the EUV AD-tool presents data on added particles during load/unload cycles, reported as number of Particles per Reticle Pass (PRP). In the interpretation of the data, variation by deposition statistics is not taken into account. In measurements with low numbers of added particles the standard deviation in PRP number can be large. An additional issue is that particles which are added in the routing outside the equipment may have a large impact on the testing result. The number mismatch between a single handling step outside the tool and the multiple cycling in the equipment makes accuracy of measurements rather complex. The low number of expected particles, the large variation in results and the combined effect of added particles inside and outside the equipment justifies putting good effort in making a test plan. Without a proper statistical background, tests may not be suitable for proving that equipment qualifies for the limiting cleanliness levels. Other risks are that a

  6. Embedded top-coat for reducing the effect out of band radiation in EUV lithography

    Science.gov (United States)

    Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew

    2017-03-01

    Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure

  7. Stellar and Laboratory XUV/EUV Line Ratios in Fe XVIII and Fe XIX

    Science.gov (United States)

    Träbert, Elmar; Beiersdorfer, P.; Clementson, J.

    2011-09-01

    A so-called XUV excess has been suspected with the relative fluxes of Fe XVIII and Fe XIX lines in XUV and EUV spectra of the star Capella as observed by the Chandra spacecraft [1] when comparing the observations with simulations of stellar spectra based on APEC or FAC. We have addressed this problem by laboratory studies using the Livermore electron beam ion trap (EBIT). Our understanding of the EBIT spectrum is founded on work by Brown et al. [2]. The electron density of the electron beam in an EBIT is compatible to the density in energetic stellar flares. In our experiments, the relative detection efficiencies of two flat-field grating spectrographs operating in the EUV (near 100 Å) and XUV (near 16 Å) ranges have been determined using the calculated branching ratio of 1-3 and 2-3 transition in the H-like spectrum O VIII. FAC calculations assuming several electron beam energies and electron densities serve to correct the EBIT observations for the Maxwellian excitation in a natural plasma. In the EUV, the line intensity pattern predicted by FAC agrees reasonably well with the laboratory and Capella observations. In the XUV wavelength range, agreement of laboratory and astrophysical line intensities is patchy. The spectral simulation results from FAC are much closer to stellar and laboratory observation than those obtained by APEC. Instead of claiming an XUV excess, the XUV/EUV line intensities can be explained by a somewhat higher temperature of Capella than the previously assumed T=6 MK. This work was performed under the auspices of the USDoE by LLNL under Contract DE-AC52-07NA27344 and was supported by the NASA under work order NNH07AF81I issued by the APRA Program. E.T. acknowledges support by DFG Germany. 1. P. Desai et al., ApJ 625, L59 (2005). 2. G. V. Brown et al., ApJS 140, 589 (2002).

  8. Comparison of accuracies of an intraoral spectrophotometer and conventional visual method for shade matching using two shade guide systems.

    Science.gov (United States)

    Parameswaran, Vidhya; Anilkumar, S; Lylajam, S; Rajesh, C; Narayan, Vivek

    2016-01-01

    This in vitro study compared the shade matching abilities of an intraoral spectrophotometer and the conventional visual method using two shade guides. The results of previous investigations between color perceived by human observers and color assessed by instruments have been inconclusive. The objectives were to determine accuracies and interrater agreement of both methods and effectiveness of two shade guides with either method. In the visual method, 10 examiners with normal color vision matched target control shade tabs taken from the two shade guides (VITAPAN Classical™ and VITAPAN 3D Master™) with other full sets of the respective shade guides. Each tab was matched 3 times to determine repeatability of visual examiners. The spectrophotometric shade matching was performed by two independent examiners using an intraoral spectrophotometer (VITA Easyshade™) with five repetitions for each tab. Results revealed that visual method had greater accuracy than the spectrophotometer. The spectrophotometer; however, exhibited significantly better interrater agreement as compared to the visual method. While VITAPAN Classical shade guide was more accurate with the spectrophotometer, VITAPAN 3D Master shade guide proved better with visual method. This in vitro study clearly delineates the advantages and limitations of both methods. There were significant differences between the methods with the visual method producing more accurate results than the spectrophotometric method. The spectrophotometer showed far better interrater agreement scores irrespective of the shade guide used. Even though visual shade matching is subjective, it is not inferior and should not be underrated. Judicious combination of both techniques is imperative to attain a successful and esthetic outcome.

  9. EUV emission stimulated by use of dual laser pulses from continus liquid microjet targets

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Sasaki, Wataru; Kubodera, Shoichi

    2004-11-01

    A continuous water-jet or water-jet mixed with LiF with several tens μm diameter was formed in a vacuum chamber through a small capillary nozzle. Usage of two laser pulses is an efficient way to produce EUV emission, since a density and temperature of a plasma formed by the first laser pulse are regulated by the second laser pulse. By adjusting the delay of the second pulse, one could maximize the EUV emission. A subpicosecond Ti:Sapphire laser at a wavelength of 800 nm produced a maximum energy around 30 mJ. The beam was divided by a Michelson interferometer, which produced two laser pulses with energies of 5 mJ. The pulse duration was adjusted around 300 fs (FWHM). Both beams were focused on a micro-jet using a lens with a focal length of 15 cm. The delay time between the two pulses was varied from 100 to 800 ps by use of an optical delay line. Clear enhancement of the EUV emission yield was observed when the delay between the two pulses was around 500 ps. The experimentally observed delay agrees reasonably well with that of a plasma to expand to its critical density of 10^21 cm-3.

  10. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    Science.gov (United States)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  11. The EUV-observatory TESIS on board Coronas-Photon: scientific goals and initial plan of observations

    Science.gov (United States)

    Bogachev, Sergey

    The TESIS a EUV-observatory for solar research from space will be launched in 2008 September on board the satellite Coronas-Photon from cosmodrome Plesetsk. TESIS is a project of Lebedev Physical Institute of Russian Academy of Science with contribution from Space Research Center of Polish Academy of Science (the spectrometer SphinX). The experiment will focus on quasi-monochromatic imaging of the Sun and XUV spectroscopy of solar plasma. The scientific payload of TESIS contains five instruments: (1) Bragg crystal spectroheliometer for Sun monochromatic imaging in the line MgXII 8.42 A, (2) the normal-incidence Herschelian EUV telescopes with a resolution of 1.7 arc sec operated in lines FeXXII 133 A, FeIX 171 A and HeII 304 A, (3) the EUV imaging spectrometer, (4) the wide-field Ritchey-Chretien coronograph and (5) the X-ray spectrometer SphinX. The TESIS will focus on coordinated study of solar activity from the transition region to the outer corona up to 4 solar radii in wide temperature range from 5*104 to 2*107 K. We describe the scientific goals of the TESIS and its initial plan of observations.

  12. Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Bastiaens, Hubertus M.J.; Bruineman, Caspar; Vratzov, Boris; Bijkerk, Frederik

    2016-01-01

    Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band are essential in applications such as photolithography. Most light sources however also emit radiation outside this wavelength band and have a spectrum extending up to deep ultraviolet (DUV)

  13. Krmiljenje DC motorja z mikrokrmilnikom ESP32

    OpenAIRE

    Pleterski, Jan

    2017-01-01

    Sodobni mikrokrmilniki z integriranimi brezžičnimi komunikacijskimi moduli omogočajo razvoj novih aplikacij interneta stvari (ang. Internet of Things), kjer do komunikacije pride brez neposrednega človeškega posredovanja. Cilj zaključne naloge je preizkusiti mikrokrmilnik ESP32 Thing, še posebej njegove nove zmožnosti brezžične povezave. Mikrokrmilnik je preizkušan s PID krmiljenjem DC motorja s programiranjem v programskih okoljih Arduino in ESP-IDF. Zaključno delo je osredotočeno predvse...

  14. Inner shell transitions of BrI in the EUV

    Energy Technology Data Exchange (ETDEWEB)

    Mazzoni, M [Florence Univ. (Italy). Ist. di Astronomia; Pettini, M [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1981-10-12

    The EUV line spectrum originating from transitions of the inner 3d shell of neutral atomic bromine has been observed in absorption. Fano parameters have been derived for the three autoionized resonances nd/sup 10/(n + 1)s/sup 2/(n + 1)p/sup 5/ /sup 2/P-nd/sup 9/(n + 1)s/sup 2/(n + 1)p/sup 62/D observed in both bromine (n = 3) and iodine (n = 4) spectra.

  15. The Impact of Mobile Learning on ESP Learners' Performance

    Science.gov (United States)

    Alkhezzi, Fahad; Al-Dousari, Wadha

    2016-01-01

    This study explores the impact of using mobile phone applications, namely Telegram Messenger, on teaching and learning English in an ESP context. The main objective is to test whether using mobile phone applications have an impact on ESP learners' performance by mainly investigating the influence such teaching technique can have on learning…

  16. Dissolution of heavy metals from electrostatic precipitator (ESP) dust ...

    African Journals Online (AJOL)

    Coal based sponge iron industries in India generate considerable quantity of solid waste, 40% of which is flue dust produced from the electrostatic precipitator (ESP) connected to rotary kiln. This paper reports the dissolution of Zn, Cu, Pb, Mn and Fe from the ESP dust using three fungal species, Aspergillus niger, ...

  17. Integral characteristics of spectra of ions important for EUV lithography

    International Nuclear Information System (INIS)

    Karazija, R; Kucas, S; Momkauskaite, A

    2006-01-01

    The emission spectrum corresponding to the 4p 5 4d N+1 + 4p 6 4d N-1 4f → 4p 6 4d N transition array is concentrated in a narrow interval of wavelengths. That is due to the existence of an approximate selection rule and quenching of some lines by configuration mixing. Thus such emission of elements near Z = 50 is considered to be the main candidate for the EUV lithography source at λ = 13.5 nm. In the present work the regularities of these transition arrays are considered using their integral characteristics: average energy, total line strength, variance and interval of array containing some part of the total transition probability. Calculations for various ions of elements In, Sn, Sb, Te, I and Xe have been performed in a two-configuration pseudorelativistic approximation, which describes fairly well the main features of the spectra. The variation in the values of the main integral characteristics of the spectra with atomic number and ionization degree gives the possibility of comparing quantitatively the suitability of the emission of various ions for EUV lithography

  18. UNDERCOVER EUV SOLAR JETS OBSERVED BY THE INTERFACE REGION IMAGING SPECTROGRAPH

    Energy Technology Data Exchange (ETDEWEB)

    Chen, N.-H. [Korea Astronomy and Space Science Institute, Daejeon (Korea, Republic of); Innes, D. E. [Max-Planck-Institut für Sonnensystemforschung, D-37077 Göttingen (Germany)

    2016-12-10

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT to 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si iv spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg ii line profiles produced by the jets spires: reversed and non-reversed. Mg ii lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (10{sup 8} erg cm{sup −2} s{sup −1}) toward the corona and the downward component is less than 3%.

  19. Chemical Fouling Reduction of a Submersible Steel Spectrophotometer in Estuarine Environments Using a Sacrificial Zinc Anode.

    Science.gov (United States)

    Tait, Zachary S; Thompson, Megan; Stubbins, Aron

    2015-07-01

    The availability of in situ spectrophotometers, such as the S::CAN spectro::lyser, has expanded the possibilities for high-frequency water quality data collection. However, biological and chemical fouling can degrade the performance of in situ spectrophotometers, especially in saline environments with rapid flow rates. A complex freshwater washing system has been previously designed to reduce chemical fouling for the S::CAN spectro::lyser spectrophotometer. In the current study, we present a simpler, cheaper alternative: the attachment of a sacrificial zinc anode. Results are presented detailing the S::CAN spectro::lyser performance with and without the addition of the sacrificial anode. Attachment of the zinc anode provided efficient corrosion protection during 2-wk deployments in a highly dynamic (average tidal range, 2.5 m) saline tidal saltmarsh creek at Groves Creek, Skidaway Institute of Oceanography, Savannah, GA. Copyright © by the American Society of Agronomy, Crop Science Society of America, and Soil Science Society of America, Inc.

  20. EUV multilayer defect compensation (MDC) by absorber pattern modification: from theory to wafer validation

    Science.gov (United States)

    Pang, Linyong; Hu, Peter; Satake, Masaki; Tolani, Vikram; Peng, Danping; Li, Ying; Chen, Dongxue

    2011-11-01

    According to the ITRS roadmap, mask defects are among the top technical challenges to introduce extreme ultraviolet (EUV) lithography into production. Making a multilayer defect-free extreme ultraviolet (EUV) blank is not possible today, and is unlikely to happen in the next few years. This means that EUV must work with multilayer defects present on the mask. The method proposed by Luminescent is to compensate effects of multilayer defects on images by modifying the absorber patterns. The effect of a multilayer defect is to distort the images of adjacent absorber patterns. Although the defect cannot be repaired, the images may be restored to their desired targets by changing the absorber patterns. This method was first introduced in our paper at BACUS 2010, which described a simple pixel-based compensation algorithm using a fast multilayer model. The fast model made it possible to complete the compensation calculations in seconds, instead of days or weeks required for rigorous Finite Domain Time Difference (FDTD) simulations. Our SPIE 2011 paper introduced an advanced compensation algorithm using the Level Set Method for 2D absorber patterns. In this paper the method is extended to consider process window, and allow repair tool constraints, such as permitting etching but not deposition. The multilayer defect growth model is also enhanced so that the multilayer defect can be "inverted", or recovered from the top layer profile using a calibrated model.

  1. EUV and radio spectrum of coronal holes

    Energy Technology Data Exchange (ETDEWEB)

    Chiuderi Drago, F [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1980-03-01

    From the intensity of 19 EUV lines whose formation temperature anti T ranges from 3 x 10/sup 4/ to 1.4 x 10/sup 6/, two different models of the transition region and corona for the cell-centre and the network are derived. It is shown that both these models give radio brightness temperatures systematically higher than the observed ones. An agreement with radio data can be found only with lines formed at low temperature (anti T < 8.5 x 10/sup 5/) by decreasing the coronal temperature and the emission measure. The possibility of resolving the discrepancy by using different ion abundances has also been investigated with negative results.

  2. Application of ESP for gas cleaning in cement industry--with reference to India.

    Science.gov (United States)

    Bapat, J D

    2001-02-16

    Electrostatic precipitators (ESP) are used for gas cleaning in almost every section of cement manufacture. Application of ESP is studied, keeping in view Indian conditions. The characterisation of dust emissions has been done for different units, such as rotary kiln and raw mill, alkali by-pass, clinker cooler, cement and coal mill, in terms of exit gas quantity, temperature, dew point, dust content and particle size. It is seen that all these characteristics have a wide range of variance. The ESP system must effectively deal with these variations. The fundamental analytical expression governing the performance of ESP, i.e. the Deutsch equation, and that for particle migration velocity, were analysed to predict the effect of major operating parameters, namely particle size, temperature and applied voltage. Whereas the migration velocity (and the efficiency) varies directly with the particle size, it is proportional to the square and square root of applied voltage and absolute temperature of the gas, respectively. The increase in efficiency due to temperature is not seen in dc based ESP, perhaps due to more pronounced negative effect on the applied voltage due to the increase in dust resistivity at higher temperatures. The effect of gas and dust characteristics on the collection efficiency of ESP, as seen in the industrial practice, is summarised. Some main process and design improvements effectively dealing with the problem of gas and dust characteristics have been discussed. These are gas conditioning, pulse energization, ESP-fabric filter (FF) combination, improved horizontal flow as well as open top ESP.Generally, gas conditioning entails higher operating and maintenance costs. Pulse energization allows the use of hot gas, besides reducing the dust emission and power consumption. The improved horizontal flow ESP has been successfully used in coal dust cleaning. The open top or vertical flow ESP has a limitation on collection efficiency as it provides for only

  3. Diversidade de angiospermas e espécies medicinais de uma área de Cerrado

    Directory of Open Access Journals (Sweden)

    A.F. SILVA

    2015-01-01

    Full Text Available RESUMO Este trabalho teve como objetivo conhecer a diversidade vegetal de uma área de Cerrado em Prudente de Morais, MG, bem como suas indicações medicinais. Foram feitas nove excursões à reserva da Fazenda Experimental Santa Rita da Empresa de Pesquisa Agropecuária de Minas Gerais (FESR/EPAMIG (19°26’20”’ S e 44°09’15”’ W. O material vegetal coletado foi herborizado, identificado e incorporado ao acervo do Herbário PAMG/EPAMIG. O sistema de classificação utilizado foi o APG III. Após a identificação, realizou-se uma pesquisa bibliográfica buscando dados sobre a utilização medicinal das espécies. Coletaram-se 108 espécies pertencentes a 47 famílias. As famílias mais representativas foram: Fabaceae, com 16 espécies, Myrtaceae com sete espécies, Asteraceae e Rubiaceae com seis espécies cada, Malpighiaceae e Solanaceae com cinco espécies cada, Erythroxylaceae, Euphorbiaceae e Vochysiaceae, com quatro espécies cada, Anacardiaceae, Apocynaceae, Lamiaceae e Sapindaceae com três espécies cada, Annonaceae, Arecaceae, Bignoniaceae, Celastraceae e Primulaceae com duas espécies cada. Vinte e nove famílias foram monoespecíficas. Das 108 espécies, 39 são árvores (36%, 43 arbustos (40%, seis subarbustos (5,5%, 14 lianas (13% e seis são ervas (5,5%. Sessenta e seis (61% espécies pertencentes a 39 famílias (83% são utilizadas popularmente, para o tratamento de alguma doença. As famílias com maior número de espécies medicinais foram: Fabaceae com oito espécies; Rubiaceae com cinco espécies e Solanaceae com quatro espécies. As espécies que apresentaram mais finalidades terapêuticas foram: Brosimum gaudichaudii Trécul (Moraceae, Caryocar brasiliense Cambess. (Caryocaraceae, Cochlospermum regium (Mart. ex Schrank Pilg. (Bixaceae, Croton urucurana Bail. (Euphorbiaceae, Gomphrena officinalis Mart. (Amaranthaceae, Hymenaea stigonocarpa Mart. ex Hayne (Fabaceae, Lithrea molleoides (Vell. Engl. (Anacardiaceae

  4. A role for the budding yeast separase, Esp1, in Ty1 element retrotransposition.

    Directory of Open Access Journals (Sweden)

    Krystina L Ho

    2015-03-01

    Full Text Available Separase/Esp1 is a protease required at the onset of anaphase to cleave cohesin and thereby enable sister chromatid separation. Esp1 also promotes release of the Cdc14 phosphatase from the nucleolus to enable mitotic exit. To uncover other potential roles for separase, we performed two complementary genome-wide genetic interaction screens with a strain carrying the budding yeast esp1-1 separase mutation. We identified 161 genes that when mutated aggravate esp1-1 growth and 44 genes that upon increased dosage are detrimental to esp1-1 viability. In addition to the expected cell cycle and sister chromatid segregation genes that were identified, 24% of the genes identified in the esp1-1 genetic screens have a role in Ty1 element retrotransposition. Retrotransposons, like retroviruses, replicate through reverse transcription of an mRNA intermediate and the resultant cDNA product is integrated into the genome by a conserved transposon or retrovirus encoded integrase protein. We purified Esp1 from yeast and identified an interaction between Esp1 and Ty1 integrase using mass spectrometry that was subsequently confirmed by co-immunoprecipitation analysis. Ty1 transposon mobility and insertion upstream of the SUF16 tRNA gene are both reduced in an esp1-1 strain but increased in cohesin mutant strains. Securin/Pds1, which is required for efficient localization of Esp1 to the nucleus, is also required for efficient Ty1 transposition. We propose that Esp1 serves two roles to mediate Ty1 transposition - one to remove cohesin and the second to target Ty1-IN to chromatin.

  5. Extreme ultraviolet (EUV) degradation of poly(olefin sulfone)s: Towards applications as EUV photoresists

    International Nuclear Information System (INIS)

    Lawrie, Kirsten; Blakey, Idriss; Blinco, James; Gronheid, Roel; Jack, Kevin; Pollentier, Ivan; Leeson, Michael J.; Younkin, Todd R.; Whittaker, Andrew K.

    2011-01-01

    Poly(olefin sulfone)s, formed by the reaction of sulfur dioxide (SO 2 ) and an olefin, are known to be highly susceptible to degradation by radiation and thus have been identified as candidate materials for chain scission-based extreme ultraviolet lithography (EUVL) resist materials. In order to investigate this further, the synthesis and characterisation of two poly(olefin sulfone)s namely poly(1-pentene sulfone) (PPS) and poly(2-methyl-1-pentene sulfone) (PMPS), was achieved and the two materials were evaluated for possible chain scission EUVL resist applications. It was found that both materials possess high sensitivities to EUV photons; however; the rates of outgassing were extremely high. The only observed degradation products were found to be SO 2 and the respective olefin suggesting that depolymerisation takes place under irradiation in a vacuum environment. In addition to depolymerisation, a concurrent conversion of SO 2 moieties to a sulfide phase was observed using XPS.

  6. Anatomia do lenho de cinco espécies comercializadas como 'Sucupira'

    Directory of Open Access Journals (Sweden)

    Warley Felício Soares

    Full Text Available A análise comparativa da anatomia do lenho das espécies Bowdichia nitida Spruce ex Benth, Diplotropis brasiliensis (Tul. Benth., Diplotropis martiusii Benth., Diplotropis purpurea (Rich. Amshoff e Diplotropis racemosa (Hoehne Amshoff é descrita no presente trabalho, visando a determinar as principais características anatômicas necessárias à distinção dessas espécies. Qualitativamente, as características anatômicas mais importantes para a diferenciação do lenho dessas espécies foram: camadas de crescimento, estratificação dos raios, arranjo dos vasos e fileira marginal dos raios. Quantitativamente, a análise estatística mostrou que os parâmetros anatômicos mais importantes no agrupamento das espécies foram: diâmetro dos elementos de vasos, largura dos raios em micrometros, comprimento, espessura da parede e largura das fibras. Verificou-se que a anatomia do lenho pode ser utilizada como importante subsídio na identificação das espécies estudadas.

  7. Model for predicting fabric filter and ESP costs

    International Nuclear Information System (INIS)

    Hoskins, W.; Terrill, J.K.

    1992-01-01

    United Engineers and Constructors (UE and C) has developed a personal computer (PC) based program (Model) for estimating capital and operating costs of fabric filters (FFs) and electrostatic precipitators (ESPs). The program contains proprietary sizing routines for both types of particulate control devices. For the FF, it determines the number of compartments, number of bags, physical dimensions and other important information. For the ESP, it determines specific collection area (SCA), number of cells, and number of TR sets. This paper reports that the program has the capability of handling a wide range of gas flows. It adjusts construction costs for the labor productivity factors in different locations. The capital costs are considered conceptual in nature with an absolute accuracy range of ±25%. The capital and operating costs are used along with economic factors to determine present worth costs. This allows site specific side-by-side comparisons of FFs and ESPs

  8. A problem to be solved for tungsten diagnostics through EUV spectroscopy in fusion devices

    International Nuclear Information System (INIS)

    Morita, S.; Murakami, I.; Sakaue, H.A.; Dong, C.F.; Goto, M.; Kato, D.; Oishi, T.; Huang, X.L.; Wang, E.H.

    2013-01-01

    Tungsten spectra have been observed from Large Helical Device (LHD) in extreme ultraviolet (EUV) wavelength ranges of 10-650Å. When the electron temperature is less than 2keV, the EUV spectra from plasma core are dominated by unresolved transition array (UTA) composing of a lot of spectral lines, e.g., 6g-4f, 5g-4f, 5f-4d and 5p-4d transitions for W"+"2"4"-"+"3"3 in 15-35Å. In order to understand the UTA spectrum, the EUV spectra measured from LHD plasmas are compared to those measured from Compact electron Beam Ion Trap (CoBIT), in which the electron beam is operated with monoenergetic energy of E_e ≤ 2keV. The tungsten spectra from LHD are well analyzed based on the knowledge from CoBIT tungsten spectra. The collisional-radiative (C-R) model has been developed to explain the UTA spectra from LHD in details. Radial profiles of EUV spectra from highly ionized tungsten ions have been measured and analyzed by impurity transport simulation code with ADPAK atomic database to examine the ionization balance determined by ionization and recombination rate coefficients. If the electron temperature is higher than 2keV, Zn-like WXLV (W"4"4"+) and Cu-like WXLVI (W"4"5"+) spectra can be observed in LHD. Such ions of W"4"4"+ and W"4"5"+ can exhibit much simpler atomic configuration compared to other ionization stages of tungsten. Quantitative analysis of the tungsten density is attempted for the first time on the radial profile of Zn-like WXLV (W"4"4"+) 4p-4s transition measured at 60.9Å, based on the emission rate coefficient calculated with HULLAC code. As a result, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center of LHD is reasonably obtained. Finally, the present problem for tungsten diagnostics in fusion plasmas is summarized. (author)

  9. UV-observations with a Brewer spectrophotometer at Hohenpeissenberg

    Science.gov (United States)

    Vandersee, Winfried; Koehler, U.

    1994-01-01

    Regular spectral UV-B measurements with a Brewer spectrophotometer have been performed at Hohenpeissenberg since 1990. Intercomparison of the Brewer instrument with other UV-B monitoring devices have shown agreement to within plus or minus 10 percent. Comparisons of UV-B spectra measured on fair weather days reveal the well known increasing influence of ozone on UV-B irradiance with decreasing wavelengths. The integral amplification factor the erythemal irradiance reaches values up to 2.8, which can be diminished by increasing turbidity. The influence of cirrus cloud on the UV-B is also shown.

  10. Results of international Dobson spectrophotometer calibrations at Arosa, Switzerland, 1990

    Science.gov (United States)

    Grass, R. D.; Komhyr, W. D.; Koenig, G. L.; Evans, R. D.

    1994-01-01

    An international comparison of Dobson ozone spectrophotometers, organized and partially funded by the World Meteorological Organization (WMO), was held at the Lichtklimatisches Observatorium (LKO) in Arosa, Switzerland, July-August 1990. Countries participating with a total of 18 Dobson instruments were Belgium, Czechoslovakia, Denmark, Germany, Greece, Hungary, Iceland, Norway, Poland, Portugal, Rumania, Spain, Switzerland, the United Kingdom, the United States, and the United Soviet Socialist Republics. The reference standard instrument for the comparison was U.S.A. Secondary Standard Dobson Spectrophotometer 65 maintained by the NOAA Climate and Monitoring and Diagnostics Laboratory, Boulder, Colorado. The mean difference in ozone obtained with the Dobson instruments relative to Dobson instrument 65, calculated from ADDSGQP observations in the air mass range 1.15-3.2, was minus 1.0 plus or minus 1.2 (1 sigma) percent. The WMO Standard Brewer Spectrometer 39 also participated. In the mean, the Brewer instrument measured 0.6 plus or minus 0.2 (1 sigma) percent more ozone than did Dobson instrument 65. Results are presented, also, of ozone vertical profile measurements made with the Dobson instruments, two Brewer spectrometers, a LIDAR, a balloon ozonesonde flown from Hohenpeissenberg, Germany, and balloon ozonesondes flown from Payerne, Switzerland.

  11. Use of the SF-8 detection spectrophotometer for measuring absorption spectra of molten salts

    International Nuclear Information System (INIS)

    Kotlin, V.P.; Barbanel', Yu.A.

    1975-01-01

    Three versions of the use of the SF-8 spectrophotometer for high-temperature measurements are described: 1) based on the standard optical scheme at temperatures of up to 400 0 C; 2) based on a modified one-wave scheme; 3) based on a modified twin-wave scheme. The external heater used in the schemes 2 and 3 ensures that measurements can be performed at temperatures of up to 1000 0 C. In order to obtain the spectra of thin-layer samples vessels with an insert are used. For operation of the spectrophotometer according to the schemes 2 and 3 te heater may in principle be replaced by a cryostat or some other external device

  12. Exploring EUV Spicules Using 304 Ang He II Data from SDO/AIA

    Science.gov (United States)

    Snyder, Ian; Sterling, Alphonse C.; Falconer, David A.; Moore, Ronald L.

    2015-01-01

    We present results from a statistical study of He II 304 Angstrom EUV spicules and macrospicules at the limb of the Sun. We use high-cadence (12 sec) and high-resolution (0.6 arcsec pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred in quiet or coronal hole regions near the solar pole. Spicules and macrospicules are typically transient jet-like chromospheric-material features, the macrospicules are wider and have taller maximum heights than the spicules. We looked for characteristics of the populations of these two phenomena that might indicate whether they have the same or different initiation mechanisms. We examined the maximum heights, time-averaged rise velocities, and lifetimes of about two dozen EUV spicules and about five EUV macrospicules. For spicules, these quantities are, respectively, approx. 5-30 km, 5-50 km/s, and a few 100- approx. 1000 sec. Macrospicules were approx. 60,000 km, 55 km/s, and had lifetimes of approx. 1800 sec. Therefore the macrospicules were taller and longer-lived than the spicules, and had velocities comparable to that of the fastest spicules. The rise profiles of both the spicules and the macrospicules matched well a second-order ("parabolic'') trajectory, although the acceleration was generally weaker than that of solar gravity in the profiles fitted to the trajectories. The Macrospicules also had obvious brightenings at their bases at their birth, while such brightenings were not apparent for most of the spicules. Most of the spicules and several of the macrospicules remained visible during their decent back to the solar surface, although a small percentage of the spicules faded out before their fall was completed. Are findings are suggestive of the two phenomena possibly having different initiation mechanisms, but this is not yet conclusive. Qualitatively the EUV 304 Angstrom spicules match well the properties quoted for "Type I

  13. Heights integrated model as instrument for simulation of hydrodynamic, radiation transport, and heat conduction phenomena of laser-produced plasma in EUV applications.

    Energy Technology Data Exchange (ETDEWEB)

    Sizyuk, V.; Hassanein, A.; Morozov, V.; Sizyuk, T.; Mathematics and Computer Science

    2007-01-16

    The HEIGHTS integrated model has been developed as an instrument for simulation and optimization of laser-produced plasma (LPP) sources relevant to extreme ultraviolet (EUV) lithography. The model combines three general parts: hydrodynamics, radiation transport, and heat conduction. The first part employs a total variation diminishing scheme in the Lax-Friedrich formulation (TVD-LF); the second part, a Monte Carlo model; and the third part, implicit schemes with sparse matrix technology. All model parts consider physical processes in three-dimensional geometry. The influence of a generated magnetic field on laser plasma behavior was estimated, and it was found that this effect could be neglected for laser intensities relevant to EUV (up to {approx}10{sup 12} W/cm{sup 2}). All applied schemes were tested on analytical problems separately. Benchmark modeling of the full EUV source problem with a planar tin target showed good correspondence with experimental and theoretical data. Preliminary results are presented for tin droplet- and planar-target LPP devices. The influence of three-dimensional effects on EUV properties of source is discussed.

  14. Online analysis by a fiber-optic diode array spectrophotometer

    International Nuclear Information System (INIS)

    Van Hare, D.R.; Prather, W.S.; O'Rourke, P.E.

    1987-01-01

    An online photometric analyzer has been developed which can make remote measurements over the 350 to 900 nm region at distances of up to 100 feet. The analyzer consists of a commercially available diode array spectrophotometer interfaced to a fiber-optic multiplexer to allow online monitoring of up to ten locations sequentially. The development of the fiber-optic interface is discussed and data from several online applications are presented to demonstrate the capabilities of the measurement system

  15. Espécies de cigarrinhas em cultivo de café no Município de Santa Teresa, Espírito Santo, Brasil (Hemiptera, Cicadellidae, Cicadellinae

    Directory of Open Access Journals (Sweden)

    Rachel A. Carvalho

    2015-01-01

    Full Text Available As cigarrinhas da subfamília Cicadellinae são importantes vetores de patógenos de plantas cultivadas, dentre eles, a bactéria Xylella fastidiosa, que, no Brasil, ataca cultivos de citros, café e também de ameixa. Pouca informação é conhecida sobre a ocorrência, distribuição e biologia de cicadelíneos em plantações de café. Este trabalho apresenta uma lista de 141 espécimes, coletados em meses alternados, no período entre junho de 2009 e abril de 2010, em plantação de café no Município de Santa Teresa no Estado do Espírito Santo, Brasil. Esses espécimes estão distribuídos em 16 gêneros e 21 espécies pertencentes às duas tribos de Cicadellinae: Cicadellini e Proconiini. Dentre os Cicadellini listados, o gênero Graphocephala é, pela primeira vez, registrado para o Brasil.

  16. Correlations Between Variations in Solar EUV and Soft X-Ray Irradiance and Photoelectron Energy Spectra Observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-01-01

    Solar extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F(10.7) index currently used.

  17. Correlations between variations in solar EUV and soft X-ray irradiance and photoelectron energy spectra observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-11-01

    extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F10.7 index currently used.

  18. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    Science.gov (United States)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  19. Identification and Validation of ESP Teacher Competencies: A Research Design

    Science.gov (United States)

    Venkatraman, G.; Prema, P.

    2013-01-01

    The paper presents the research design used for identifying and validating a set of competencies required of ESP (English for Specific Purposes) teachers. The identification of the competencies and the three-stage validation process are also discussed. The observation of classes of ESP teachers for field-testing the validated competencies and…

  20. Ultra High Efficiency ESP for Fine Particulate and Air Toxics Control

    International Nuclear Information System (INIS)

    Srinivasachar, Srivats; Pease, Benjamin R.; Porle, Kjell; Mauritzson, Christer; Haythornthwaite, Sheila

    1997-01-01

    Nearly ninety percent of U.S. coal-fired utility boilers are equipped with electrostatic precipitators (ESP). Cost effective retrofittable ESP technologies are the only means to accomplish Department of Energy's (DOE) goal of a major reduction in fine particulate and air toxic emissions from coal-fired power plants. Particles in the size range of 0.1 to 5 (micro)m typically escape ESPs. Metals, such as arsenic, cadmium, lead, molybdenum and antimony, concentrate on these particles. This is the main driver for improved fine particulate control. Vapor phase emissions of mercury, selenium and arsenic are also of major concern. Current dry ESPs, which operate at temperatures greater than 280 F, provide little control for vapor phase toxics. The need for inherent improvement to ESPs has to be considered keeping in perspective the current trend towards the use of low sulfur coals. Switching to low sulfur coals is the dominant approach for SO 2 emission reduction in the utility industry. Low sulfur coals generate high resistivity ash, which can cause an undesirable phenomenon called ''back corona.'' Higher particulate emissions occur if there is back corona in the ESP. Results of the pilot-scale testing identified the ''low temperature ESP'' concept to have the biggest impact for the two low sulfur coals investigated. Lowering the flue gas temperature to 220 F provided the maximum impact in terms of decreased emissions. Intermediate operating temperatures (reduction from 340 to 270 F) also gave significant ESP performance improvement. A significant reduction in particulate emissions was also noted when the flue gas humidity was increased (temperature held constant) from the baseline condition for these moderately high resistivity ash coals. Independent control of flue gas humidity and temperature was an important and a notable element in this project. Mercury emissions were also measured as a function of flue gas temperature. Mercury emissions decreased as the flue gas

  1. Espécies vegetais indicadas na odontologia

    Directory of Open Access Journals (Sweden)

    Francielda Q. Oliveira

    Full Text Available Foi realizada uma revisão bibliográfica sobre plantas medicinais indicadas para afecções odontológicas, incluindo livros, artigos e sites científicos e populares. Os dados foram compilados em uma tabela contendo informações como nome científico, popular, família, parte utilizada e forma farmacêutica. Foram encontradas 132 espécies, distribuídas em 52 Famílias Botânicas citadas como úteis no tratamento de afecções odontológicas. As espécies mais citadas de acordo com a bibliografia consultada foram Punica granatum L. (10 citações, Althaea officinalis L.(8, Salvia officinalis L. (8, Calendula officinalis L.(8, Malva sylvestris L (7, Plantago major L. (6. O conjunto destes resultados deve permitir um perfil de espécies para uso em odontologia e contribuir para o direcionamento de pesquisas nesta área, culminando com o desenvolvimento de fitoterápicos de qualidade e validados para uso odontológico, bem como permitindo a divulgação destes estudos para a população e profissionais afins.

  2. Automated spectrophotometer for plutonium and uranium determination

    International Nuclear Information System (INIS)

    Jackson, D.D.; Hodgkins, D.J.; Hollen, R.M.; Rein, J.E.

    1975-09-01

    The automated spectrophotometer described is the first in a planned series of automated instruments for determining plutonium and uranium in nuclear fuel cycle materials. It has a throughput rate of 5 min per sample and uses a highly specific method of analysis for these elements. The range of plutonium and uranium measured is 0.5 to 14 mg and 1 to 14 mg, respectively, in 0.5 ml or less of solution with an option to pre-evaporate larger volumes. The precision of the measurements is about 0.02 mg standard deviation over the range corresponding to about 2 rel percent at the 1-mg level and 0.2 rel percent at the 10-mg level. The method of analysis involves the extraction of tetrapropylammonium plutonyl and uranyl trinitrate complexes into 2-nitropropane and the measurement of the optical absorbances in the organic phase at unique peak wavelengths. Various aspects of the chemistry associated with the method are presented. The automated spectrophotometer features a turntable that rotates as many as 24 samples in tubes to a series of stations for the sequential chemical operations of reagent addition and phase mixing to effect extraction, and then to a station for the absorbance measurement. With this system, the complications of sample transfers and flow-through cells are avoided. The absorbance measurement system features highly stable interference filters and a microcomputer that controls the timing sequence and operation of the system components. Output is a paper tape printout of three numbers: a four-digit number proportional to the quantity of plutonium or uranium, a two-digit number that designates the position of the tube in the turntable, and a one-digit number that designates whether plutonium or uranium was determined. Details of the mechanical and electrical components of the instrument and of the hardware and software aspects of the computerized control system are provided

  3. On the Possibility of ESP Data Use in Natural Language Processing

    OpenAIRE

    Knopp, Tomáš

    2011-01-01

    The aim of this bachelor thesis is to explore this image label database coming from the ESP game from the natural language processing (NLP) point of view. ESP game is an online game, in which human players do useful work - they label images. The output of the ESP game is then a database of images and their labels. What interests us is whether the data collected in the process of labeling images will be of any use in NLP tasks. Specifically, we are interested in the tasks of automatic corefere...

  4. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    Science.gov (United States)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  5. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Banine, V Y; Osorio, E A

    2015-01-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure. (fast track communication)

  6. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  7. Quantitative analysis of skin reaction by reflectance spectrophotometer. Acute reaction following proton therapy

    International Nuclear Information System (INIS)

    Kawashima, Mitsuhiko; Okumura, Toshiyuki; Tatsuzaki, Hideo; Tsuji, Hiroshi; Tsujii, Hirohiko.

    1994-01-01

    Acute reactions induced by proton irradiation were measured using a reflectance spectrophotometer, which is commonly used in the printing and textile industries. In this method, the skin color was expressed by three parameters, lightness (L * ), chroma (C * ) and hue (h). At first, in order to evaluate the accuracy of this spectrophotometer, the skin color of a normal volunteer was measured 100 times. The values of the three parameters for normal skin were as follows (mean values and standard deviation), L * : 68.64±0.29, C * : 19.08±0.13, h: 69.41±0.76. The standard deviations with regard to L * and h, were considered to be sufficiently small when compared with the changes of these parameters (prefix: Δ) in the irradiated sites (ΔL * * and h values significantly decreased with time, and the L * values were highly correlated with elapsed treatment days. The h values had a relatively low linear correlation compared with L * . The C * values had no trends as the treatment period was extended. Among these parameters, the L * values were the most valuable for assessment of proton-induced skin reactions, and it was suggested that the L * values measured with this spectrophotometer were a useful index for showing biological effects induced by proton irradiation. Further experiments are needed to apply this method to quantify the biological effects induced by other forms of ionizing radiation. (author)

  8. Post graduate ESP curriculum: reading and writing needs.

    Directory of Open Access Journals (Sweden)

    Afsaneh Dehnad

    2014-05-01

    Full Text Available Assessing learners' needs is an integral part of any curriculum and course design , namely English for specific purposes (ESP, syllabus design, materials development, teaching methods and testing issues. Critical approach to needs analysis, which is a relatively recent approach, acknowledges the rights of different stakeholders including teachers, students and administrators in the process of needs analysis. However, there has been no formal need analysis for syllabus design at postgraduate level in Medical Universities affiliated to the Ministry of Health in Iran. This study, conducted in 2011, was an attempt to assess the reading and writing needs of postgraduate students in ESP courses on the basis of critical approach to needs analysis. The study population consisted of 67 people: 56 postgraduate students, 5 heads of departments, 5 ESP instructors and 1 executive manager at the Ministry of Health in Iran. Ethical and demographic forms, needs analysis questionnaires, and a form of semi-structured interview were the instruments of the study. According to the findings, there was a discrepancy between students' and instructors' perception of learners' needs and the assumed needs appearing in the syllabi prescribed by the Ministry of Health in Iran. This study showed that a call for critical needs analysis in which the rights of different stakeholders are acknowledged is necessary for meeting the requirements of any ESP classes especially at postgraduate level where the instructors and learners are fully aware of learners' needs.

  9. Towards ESP Programmes in Technical and Vocational Institutions in Malaysia.

    Science.gov (United States)

    Shukor, Haji; And Others

    This paper examines the nature of vocational, technical, and polytechnic education in Malaysia, the extent to which English for special purposes (ESP) programs are incorporated into such education, and the need for ESP program reform. In 1992, Malaysia possessed 70 vocational and 9 technical secondary schools, all of which offered some form of ESP…

  10. EUV FLICKERING OF SOLAR CORONAL LOOPS: A NEW DIAGNOSTIC OF CORONAL HEATING

    Energy Technology Data Exchange (ETDEWEB)

    Tajfirouze, E.; Reale, F.; Peres, G. [Dipartimento di Fisica e Chimica, Università di Palermo, Piazza del Parlamento 1, I-90134 (Italy); Testa, P., E-mail: reale@astropa.unipa.it [Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States)

    2016-02-01

    A previous work of ours found the best agreement between EUV light curves observed in an active region core (with evidence of super-hot plasma) and those predicted from a model with a random combination of many pulse-heated strands with a power-law energy distribution. We extend that work by including spatially resolved strand modeling and by studying the evolution of emission along the loops in the EUV 94 Å and 335 Å channels of the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. Using the best parameters of the previous work as the input of the present one, we find that the amplitude of the random fluctuations driven by the random heat pulses increases from the bottom to the top of the loop in the 94 Å channel and from the top to the bottom in the 335 Å channel. This prediction is confirmed by the observation of a set of aligned neighboring pixels along a bright arc of an active region core. Maps of pixel fluctuations may therefore provide easy diagnostics of nanoflaring regions.

  11. ESP and NOAH: computer programs for flood-risk analysis of nuclear power plants

    International Nuclear Information System (INIS)

    Wagner, D.P.; Montague, D.F.; Rooney, J.J.; Fussell, J.B.; Baker, L.S.

    1982-06-01

    This report describes a computer program package that aids in assessing the impact of floods on risk from nuclear power plants. The package consists of two distinct computer programs: ESP and NOAH. The ESP program improves the efficiency of a flood analysis by screening accident sequences and identifying accident sequences that are potentially significant contributors to risk in the event of a flood. Input to ESP includes accident sequences from an existing risk assessment and flood screening criteria. The NOAH program provides detailed qualitative analysis of the plant systems identified by ESP. NOAH performs a qualitative flood simulation of the fault tree

  12. Analysing ESP Texts, but How?

    Science.gov (United States)

    Borza, Natalia

    2015-01-01

    English as a second language (ESL) teachers instructing general English and English for specific purposes (ESP) in bilingual secondary schools face various challenges when it comes to choosing the main linguistic foci of language preparatory courses enabling non-native students to study academic subjects in English. ESL teachers intending to…

  13. Authentic Performance in the Instrumental Analysis Laboratory: Building a Visible Spectrophotometer Prototype

    Science.gov (United States)

    Wilson, Mark V.; Wilson, Erin

    2017-01-01

    In this work we describe an authentic performance project for Instrumental Analysis in which students designed, built, and tested spectrophotometers made from simple components. The project addressed basic course content such as instrument design principles, UV-vis spectroscopy, and spectroscopic instrument components as well as skills such as…

  14. Comparing predictive abilities of three visible-near infrared spectrophotometers for soil organic carbon and clay determination

    DEFF Research Database (Denmark)

    Knadel, Maria; Stenberg, Bo; Deng, Fan

    2013-01-01

    carbon (SOC) and clay calibrations for 194 Danish top soils. Scanning procedures for the three spectrophotometers where done according to uniform laboratory protocols. SOC and clay calibrations were performed using PLS regression. One third of the data was used as an independent test set. A range...... of spectral preprocessing methods was applied in search for model improvement. Validation for SOC content using an independent data set derived from all three spectrophotometers provided values of RMSEP between 0.45 and 0.52 %, R2=0.44-0.58 and RPD=1.3-1.5. Clay content was predicted with a higher precision...

  15. Development of Simple and Precise Method of Arginine Determination in Rumen Fluid by Spectrophotometer

    International Nuclear Information System (INIS)

    Chacher, B.; Marghazani, I. B.; Liu, J. X.; Liu, H. Y.

    2015-01-01

    The objective of current study was to build up a convenient, economic and accurate procedure to determine arginine (ARG) concentration in rumen fluid. Rumen fluid was collected from 3 rumen fistulated Chinese Holstein dairy cows and added with or without (control) 1mmol/l unprotected ARG and blank (with only medium) in to syringe system in triplicate as a replicate. All syringes were incubated in water bath at 39 Degree C for 0, 2, 4, 6, 12 and 24 h and were terminated to measure the ARG concentration. Sakaguchi reaction method was used to analyze the ARG concentration in rumen fluid by determining the rumen degradation rate of protected and unprotected ARG. Temperature, time and absorbance were optimized in the procedure based on Sakaguchi reaction. Color consistency remained 4-6 min. The optimum temperature (0-5) Degree C was observed for maximum optical density 0.663 at wave length 500 nm. Minimum ARG that could be determined in rumen fluid by spectrophotometer was 4-5 μ g/ml. No significance (P>0.05) difference were observed between two results derived from spectrophotometer and amino acid analyzer methods. In conclusion, the spectrophotometer method of ARG determination in rumen fluid based on Sakaguchi reaction is easy, accurate, and economical and could be useful in learning ARG metabolism in the rumen. (author)

  16. Correlation between skin color evaluation by skin color scale chart and narrowband reflectance spectrophotometer.

    Science.gov (United States)

    Treesirichod, Arucha; Chansakulporn, Somboon; Wattanapan, Pattra

    2014-07-01

    Various methods are available for the evaluation of skin color. A skin color scale chart is a convenient and inexpensive tool. However, the correlation between a skin color scale chart and objective measurement has not been evaluated. To assess the correlation between skin color evaluation done by a skin color scale chart (Felix von Luschan skin color chart) and a narrowband reflectance spectrophotometer (Mexameter MX18). The participants were evaluated for skin color by using the Felix von Luschan skin color chart (range 1-36) and a narrowband reflectance spectrophotometer (Mexameter MX18) in which the results of the measurements were expressed as Erythema (E) and Melanin (M) indices. Skin color was measured on four different anatomical skin sites from each participant on the medial aspect of the volar and the dorsal regions of both forearms. A total of 208 records from 52 participants were established. The majority of participants (19.2%) were rated with the skin color scale at the number 16 (range 14-33). The mean M plus E, M, and E indices were 498.9 ± 143.9, 230.4 ± 74.4, and 268.5 ± 73.2, respectively. The correlation coefficient between the number on the skin color scale and each index: M plus E, M, and E indices were 0.90, 0.90, and 0.86, respectively, with a statistical significance of P spectrophotometer.

  17. Enhancement of the EUV emission of a metallic capillary discharge operated with argon ambient gas

    Energy Technology Data Exchange (ETDEWEB)

    Chan, L. S., E-mail: lschan1982@yahoo.com; Tan, D., E-mail: lschan1982@yahoo.com; Saboohi, S., E-mail: lschan1982@yahoo.com; Yap, S. L., E-mail: lschan1982@yahoo.com; Wong, C. S., E-mail: lschan1982@yahoo.com [Plasma Technology Research Centre, Physics Department, University of Malaya, 50603 Kuala Lumpur (Malaysia)

    2014-03-05

    In this work, the metallic capillary discharge is operated with two different ambients: air and argon. In the experiments reported here, the chamber is first evacuated to 10{sup −5} mbar. The discharge is initiated by the transient hollow cathode effect generated electron beam, with either air ambient or argon ambient at 10{sup −4} mbar. The bombardment of electron beam at the tip of the stainless steel anode gives rise to a metallic vapor, which is injected into the capillary and initiates the main discharge through the capillary. The EUV emission is measured for different discharge voltages for both conditions and compared. It is found that the metallic capillary discharge with argon ambientis able to produce higher EUV energy compared to that with air ambient.

  18. Evaluation of Two ESP Textbooks

    Science.gov (United States)

    Al Fraidan, Abdullah

    2012-01-01

    This paper evaluated two ESP textbooks using the evaluation of McDonough and Shaw (2003) based on external and internal evaluation. The first textbook is "Business Objectives" (1996) by Vicki Hollett, and the second textbook is "Business Studies, Second Edition" (2002) by Alain Anderton. To avoid repetition, I will use BO and…

  19. ESP Learners’ Needs Related Learning for the Workplace: A Pragmatic Study for Business School

    Directory of Open Access Journals (Sweden)

    Hussain Ahmed Liton

    2015-07-01

    Full Text Available Typically, an ESP course is designed to develop students’ communication skills not solely for the office, but also for useful in a specific workplace. Unfortunately, ESP for Schools of Business at some South-East Asian universities is not being very effective in promoting students’ performance in the workplace. Behind this backdrop, this paper explores learners’ pragmatic workplace learning practices that impact on their profession and have immediate applicability to their professional responsibilities. This article, in other words, addresses the gaps between what students learn in ESP class and what they need in real workplace. The data were collected through questionnaires from 30 ESP teachers. The data were analysed both qualitatively and quantitatively. The research results revealed that the current ESP in use fails to capture the learners’ needs and skills in workplace communication. It, therefore, suggests that ESP textbook has to adapt the practical workplace needs related materials as well as supplementing extra materials through teachers’ constant ‘needs analysis’.

  20. Rocket-borne EUV-visible emission measurements

    International Nuclear Information System (INIS)

    Schmidtke, G.; Baker, K.D.; Stasek, G.

    1982-01-01

    Two rocket-borne experiments for measuring EUV atmospheric emissions have been conducted. The first measured emissions at 391.4 nm and 557.7 nm, and the second measured emissions in the range from 50 to 650 nm. Height profiles of selected auroral emissions from atomic oxygen at 130.4 nm (exhibiting resonant radiation diffusion) and from atomic oxygen at 557.7 nm, and from neutral and ionized molecular nitrogen are shown. Some details of the recorded spectra are given. In the shorter wavelength regions, emissions from atomic oxygen and nitrogen dominate. Over 140 nm, Lyman-Birge-Hopfield bands, second positive bands and Vegard-Kaplan bands of molecular nitrogen contribute most strongly except for some atomic lines. The Lyman-Birge-Hopfield bands of molecular nitrogen are relatively weak during the auroral arc as compared to the diffuse aurora

  1. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    Science.gov (United States)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  2. Needs Analysis in ESP Context: Saudi Engineering Students as a Case Study

    Directory of Open Access Journals (Sweden)

    Hashem Ahmed Alsamadani

    2017-12-01

    Full Text Available Needs analysis can be a vital asset for teachers of English for specific purposes (ESP to identify their learners’ key requirements or needs and determine the areas in which they are lacking skills. Against this background, this study was undertaken during the academic year 2015-16 to define the English language needs of engineering students (N= 200 majoring in civil engineering and industrial engineering. The data of this study was collected from different sources, including a classroom observation protocol, a questionnaire, and a semi-structured interview with both ESP and subject-matter teachers (SM at Umm Al-Qura University. Results of the data analysis offered significant insights as to the teaching of ESP course. The study revealed that receptive skills (i.e., reading and listening were mostly focused on in ESP classes. It has been also reported that writing and reading along with speaking skills were needed more than others. The data analysis helped to determine the most important language tasks in the context of engineering studies. Finally, a call was being made by both ESP and SM teachers to enhance the quality of the ESP course taught to engineering students by offering relevant suggestions. Based on these findings, the present study concluded with implications for course designers and recommendations for future studies.

  3. ROSAT EUV and soft X-ray studies of atmospheric composition and structure in G191-B2B

    Science.gov (United States)

    Barstow, M. A.; Fleming, T. A.; Finley, D. S.; Koester, D.; Diamond, C. J.

    1993-01-01

    Previous studies of the hot DA white dwarf GI91-B2B have been unable to determine whether the observed soft X-ray and EUV opacity arises from a stratified hydrogen and helium atmosphere or from the presence of trace metals in the photosphere. New EUV and soft X-ray photometry of this star, made with the ROSAT observatory, when analyzed in conjunction with the earlier data, shows that the stratified models cannot account for the observed fluxes. Consequently, we conclude that trace metals must be a substantial source of opacity in the photosphere of G191-B2B.

  4. Structural and functional properties of chimeric EspA-FliCi filaments of EPEC.

    Science.gov (United States)

    Crepin, Valerie F; Martinez, Eric; Shaw, Robert K; Frankel, Gad; Daniell, Sarah J

    2008-04-18

    Enteropathogenic Escherichia coli utilise a filamentous type III secretion system to translocate effector proteins into host gut epithelial cells. The primary constituent of the extracellular component of the filamentous type III secretion system is EspA. This forms a long flexible helical conduit between the bacterium and host and has a structure almost identical to that of the flagella filament. We have inserted the D3 domain of FliCi (from Salmonella typhimurium) into the outer domain of EspA and have studied the structure and function of modified filaments when expressed in an enteropathogenic E. coli espA mutant. We found that the chimeric protein EspA-FliCi filaments were biologically active as they supported protein secretion and translocation [assessed by their ability to trigger actin polymerisation beneath adherent bacteria (fluorescent actin staining test)]. The expressed filaments were recognised by both EspA and FliCi antisera. Visualisation and analysis of the chimeric filaments by electron microscopy after negative staining showed that, remarkably, EspA filaments are able to tolerate a large protein insertion without a significant effect on their helical architecture.

  5. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  6. EUV-driven ionospheres and electron transport on extrasolar giant planets orbiting active stars

    Science.gov (United States)

    Chadney, J. M.; Galand, M.; Koskinen, T. T.; Miller, S.; Sanz-Forcada, J.; Unruh, Y. C.; Yelle, R. V.

    2016-03-01

    The composition and structure of the upper atmospheres of extrasolar giant planets (EGPs) are affected by the high-energy spectrum of their host stars from soft X-rays to the extreme ultraviolet (EUV). This emission depends on the activity level of the star, which is primarily determined by its age. In this study, we focus upon EGPs orbiting K- and M-dwarf stars of different ages - ɛ Eridani, AD Leonis, AU Microscopii - and the Sun. X-ray and EUV (XUV) spectra for these stars are constructed using a coronal model. These spectra are used to drive both a thermospheric model and an ionospheric model, providing densities of neutral and ion species. Ionisation - as a result of stellar radiation deposition - is included through photo-ionisation and electron-impact processes. The former is calculated by solving the Lambert-Beer law, while the latter is calculated from a supra-thermal electron transport model. We find that EGP ionospheres at all orbital distances considered (0.1-1 AU) and around all stars selected are dominated by the long-lived H+ ion. In addition, planets with upper atmospheres where H2 is not substantially dissociated (at large orbital distances) have a layer in which H3+ is the major ion at the base of the ionosphere. For fast-rotating planets, densities of short-lived H3+ undergo significant diurnal variations, with the maximum value being driven by the stellar X-ray flux. In contrast, densities of longer-lived H+ show very little day/night variability and the magnitude is driven by the level of stellar EUV flux. The H3+ peak in EGPs with upper atmospheres where H2 is dissociated (orbiting close to their star) under strong stellar illumination is pushed to altitudes below the homopause, where this ion is likely to be destroyed through reactions with heavy species (e.g. hydrocarbons, water). The inclusion of secondary ionisation processes produces significantly enhanced ion and electron densities at altitudes below the main EUV ionisation peak, as

  7. EUV actinic defect inspection and defect printability at the sub-32 nm half pitch

    Energy Technology Data Exchange (ETDEWEB)

    Huh, Sungmin; Kearney, Patrick; Wurm, Stefan; Goodwin, Frank; Han, Hakseung; Goldberg, Kenneth; Mochi, Iacopp; Gullikson, Eric M.

    2009-08-01

    Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.

  8. Low-cost, digital lock-in module with external reference for coating glass transmission/reflection spectrophotometer

    Science.gov (United States)

    Alonso, R.; Villuendas, F.; Borja, J.; Barragán, L. A.; Salinas, I.

    2003-05-01

    A versatile, low-cost, digital signal processor (DSP) based lock-in module with external reference is described. This module is used to implement an industrial spectrophotometer for measuring spectral transmission and reflection of automotive and architectonic coating glasses over the ultraviolet, visible and near-infrared wavelength range. The light beams are modulated with an optical chopper. A digital phase-locked loop (DPLL) is used to lock the lock-in to the chop frequency. The lock-in rejects the ambient radiation and permits the spectrophotometer to work in the presence of ambient light. The algorithm that implements the dual lock-in and the DPLL in the DSP56002 evaluation module from Motorola is described. The use of a DSP allows implementation of the lock-in and DPLL by software, which gives flexibility and programmability to the system. Lock-in module cost, under 300 euro, is an important parameter taking into account that two modules are used in the system. Besides, the algorithms implemented in this DSP can be directly implemented in the latest DSP generations. The DPLL performance and the spectrophotometer are characterized. Capture and lock DPLL ranges have been measured and checked to be greater than the chop frequency drifts. The lock-in measured frequency response shows that the lock-in performs as theoretically predicted.

  9. High Quality, Low-Scatter SiC Optics Suitable for Space-based UV & EUV Applications, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — SSG Precision Optronics proposes the development and demonstration of a new optical fabrication process for the production of EUV quality Silicon Carbide (SiC)...

  10. Method for the manufacture of phase shifting masks for EUV lithography

    Science.gov (United States)

    Stearns, Daniel G.; Sweeney, Donald W.; Mirkarimi, Paul B.; Barty, Anton

    2006-04-04

    A method for fabricating an EUV phase shift mask is provided that includes a substrate upon which is deposited a thin film multilayer coating that has a complex-valued reflectance. An absorber layer or a buffer layer is attached onto the thin film multilayer, and the thickness of the thin film multilayer coating is altered to introduce a direct modulation in the complex-valued reflectance to produce phase shifting features.

  11. [Construction of enterohemorrhagic Escherichia coli O157:H7 strains with espF gene deletion and complementation].

    Science.gov (United States)

    Hua, Ying; Sun, Qi; Wang, Xiangyu; DU, Yanli; Shao, Na; Zhang, Qiwei; Zhao, Wei; Wan, Chengsong

    2015-11-01

    To construct enterohemorrhagic Escherichia coli (EHEC) O157:H7 strains with delection espF gene and its nucleotide fragment and with espF gene complementation. A pair of homologous arm primers was designed to amplify the gene fragment of kanamycin resistance, which was transformed into EHEC O157:H7 EDL933w strain via the PKD46 plasmid by electroporation. The replacement of the espF gene by kanamycin resistance gene through the PKD46-mediated red recombination system was confirmed by PCR and sequencing. The entire coding region of espF along with its nucleotide fragment was amplified by PCR and cloned into pBAD33 plasmid, which was transformed into a mutant strain to construct the strain with espF complementation. RT-PCR was used to verify the transcription of espF and its nucleotide fragment in the complemented mutant strain. We established EHEC O157:H7 EDL933w strains with espF gene deletion and with espF gene complementation. Both espF and its nucleotide fragment were transcribed in the complemented mutant strain. The two strains provide a basis for further study of the regulatory mechanism of espF.

  12. EFL Teachers' Perception of University Students' Motivation and ESP Learning Achievement

    Science.gov (United States)

    Dja'far, Veri Hardinansyah; Cahyono, Bambang Yudi; Bashtomi, Yazid

    2016-01-01

    This research aimed at examining Indonesian EFL Teachers' perception of students' motivation and English for Specific Purposes (ESP) learning achievement. It also explored the strategies applied by teachers based on their perception of students' motivation and ESP learning achievement. This research involved 204 students who took English for…

  13. Atomic structure calculations and identification of EUV and SXR spectral lines in Sr XXX

    International Nuclear Information System (INIS)

    Goyal, Arun; Khatri, Indu; Aggarwal, Sunny; Singh, A.K.; Mohan, Man

    2015-01-01

    We report an extensive theoretical study of atomic data for Sr XXX in a wide range with L-shell electron excitations to the M-shell. We have calculated energy levels, wave-function compositions and lifetimes for lowest 113 fine structure levels and wavelengths of an extreme Ultraviolet (EUV) and soft X-ray (SXR) transitions. We have employed multi-configuration Dirac Fock method (MCDF) approach within the framework of Dirac–Coulomb Hamiltonian including quantum electrodynamics (QED) and Breit corrections. We have also presented the radiative data for electric and magnetic dipole (E1, M1) and quadrupole (E2, M2) transitions from the ground state. We have made comparisons with available energy levels compiled by NIST and achieve good agreement. But due to inadequate data in the literature, analogous relativistic distorted wave calculations have also been performed using flexible atomic code (FAC) to assess the reliability and accuracy of our results. Additionally, we have provided new atomic data for Sr XXX which is not published elsewhere in the literature and we believe that our results may be beneficial in fusion plasma research and astrophysical investigations and applications. - Highlights: • 113 Lowest levels for Sr XXX are calculated. • Extreme Ultraviolet (EUV) and soft-X ray (SXR) spectral lines are identified. • Wavelengths of EUV and SXR spectral lines are reported. • E1, E2, M1 and M2 transition rates, oscillator strengths and lines strengths for lowest 113 levels are presented. • Lifetimes for lowest 113 fine structure levels are provided

  14. Automated atomic absorption spectrophotometer, utilizing a programmable desk calculator

    International Nuclear Information System (INIS)

    Futrell, T.L.; Morrow, R.W.

    1977-01-01

    A commercial, double-beam atomic absorption spectrophotometer has been interfaced with a sample changer and a Hewlett-Packard 9810A calculator to yield a completely automated analysis system. The interface electronics can be easily constructed and should be adaptable to any double-beam atomic absorption instrument. The calculator is easily programmed and can be used for general laboratory purposes when not operating the instrument. The automated system has been shown to perform very satisfactorily when operated unattended to analyze a large number of samples. Performance statistics agree well with a manually operated instrument

  15. Reflectivity and surface roughness of multilayer-coated substrate recovery layers for EUV lithographic optics

    NARCIS (Netherlands)

    Nedelcu, I.; van de Kruijs, R.W.E.; Yakshin, A. E.; von Blanckenhagen, G.; F. Bijkerk,

    2008-01-01

    We investigated the use of separation, or substrate recovery, layers (SRLs), to enable the reuse of optical substrates after the deposition of multilayer reflective coatings, in particular Mo/Si multilayers as used for EUV lithography. An organic material (polyimide), known from other work to reduce

  16. Simple LED spectrophotometer for analysis of color information.

    Science.gov (United States)

    Kim, Ji-Sun; Kim, A-Hee; Oh, Han-Byeol; Goh, Bong-Jun; Lee, Eun-Suk; Kim, Jun-Sik; Jung, Gu-In; Baek, Jin-Young; Jun, Jae-Hoon

    2015-01-01

    A spectrophotometer is the basic measuring equipment essential to most research activity fields requiring samples to be measured, such as physics, biotechnology and food engineering. This paper proposes a system that is able to detect sample concentration and color information by using LED and color sensor. Purity and wavelength information can be detected by CIE diagram, and the concentration can be estimated with purity information. This method is more economical and efficient than existing spectrophotometry, and can also be used by ordinary persons. This contribution is applicable to a number of fields because it can be used as a colorimeter to detect the wavelength and purity of samples.

  17. Palm Oil Fuel Ash (POFA and Eggshell Powder (ESP as Partial Replacement for Cement in Concrete

    Directory of Open Access Journals (Sweden)

    Mohamad Mazizah Ezdiani

    2018-01-01

    Full Text Available This study is an attempt to partially replace Ordinary Portland cement (OPC in concrete with palm oil fuel ash (POFA and eggshell powder (ESP. The mix proportions of POFA and ESP were varied at 10% of cement replacement and compared with OPC concrete as control specimen. The fineness of POFA is characterized by passing through 300 μm sieve and ESP by passing through 75 μm sieve. Compressive strength testing was conducted on concrete specimens to determine the optimum mix proportion of POFA and ESP. Generally the compressive strength of OPC concrete is higher compared to POFA-ESP concrete. Based on the results of POFA-ESP concrete overall, it shows that the optimum mix proportion of concrete is 6%POFA:4% ESP achieved compressive strength of 38.60 N/mm2 at 28 days. The compressive strength of OPC concrete for the same period was 42.37 N/mm2. Higher water demand in concrete is needed due to low fineness of POFA that contributing to low compressive strength of POFA-ESP concrete. However, the compressive strength and workability of the POFA-ESP concrete were within the ranges typically encountered in regular concrete mixtures indicating the viability of this replacement procedure for structural and non-structural applications.

  18. Palm Oil Fuel Ash (POFA) and Eggshell Powder (ESP) as Partial Replacement for Cement in Concrete

    Science.gov (United States)

    Ezdiani Mohamad, Mazizah; Mahmood, Ali A.; Min, Alicia Yik Yee; Nur Nadhira A., R.

    2018-03-01

    This study is an attempt to partially replace Ordinary Portland cement (OPC) in concrete with palm oil fuel ash (POFA) and eggshell powder (ESP). The mix proportions of POFA and ESP were varied at 10% of cement replacement and compared with OPC concrete as control specimen. The fineness of POFA is characterized by passing through 300 μm sieve and ESP by passing through 75 μm sieve. Compressive strength testing was conducted on concrete specimens to determine the optimum mix proportion of POFA and ESP. Generally the compressive strength of OPC concrete is higher compared to POFA-ESP concrete. Based on the results of POFA-ESP concrete overall, it shows that the optimum mix proportion of concrete is 6%POFA:4% ESP achieved compressive strength of 38.60 N/mm2 at 28 days. The compressive strength of OPC concrete for the same period was 42.37 N/mm2. Higher water demand in concrete is needed due to low fineness of POFA that contributing to low compressive strength of POFA-ESP concrete. However, the compressive strength and workability of the POFA-ESP concrete were within the ranges typically encountered in regular concrete mixtures indicating the viability of this replacement procedure for structural and non-structural applications.

  19. EUV observations of the active Sun from the Havard experiment on ATM

    International Nuclear Information System (INIS)

    Noyes, R.W.; Foukal, P.V.; Huber, M.C.E.; Reeves, E.M.; Schmahl, E.J.; Timothy, J.G.; Vernazza, J.E.; Withbroe, G.L.

    1975-01-01

    The authors review some preliminary results from the Harvard College Observatory Extreme Ultraviolet Spectroheliometer on ATM that pertain to solar activity. The results reviewed are described in more detail in other papers referred to in the text. They first describe the instrument and its capabilities, and then turm to results on active regions, sunspots, flares, EUV bright points, coronal holes, and prominences. (Auth.)

  20. Study of the influence of substrate and spectrophotometer characteristics on the in vitro measurement of sunscreens efficiency.

    Science.gov (United States)

    Couteau, C; Philippe, A; Vibet, M-A; Paparis, E; Coiffard, L

    2018-05-16

    All the methods used for the in vitro measurement of the SPF, the universal indicator of sunscreens efficiency, rely on a spectrophotometric analysis. What can vary about the experimental protocol used is mainly the substrate and the type of spectrophotometer chosen. We decided to work with polymethylmetacrylate plates that we analyzed using two spectrophotometers equipped with integrating spheres, the UV1000S and the UV2000 apparatus. Two marketed products were such tested, after spreading 2 mg/cm 2 on the plates, using one apparatus after another. We applied a non-parametric Wilcoxon test for paired data to the measures realized on 10 plates (as we systematically used the 2 apparatus), in order to compare the series of measures obtained with the two machines. This way, we were able to show a significant difference between the SPF values respectively obtained with the UV1000S and the UV2000 spectrophotometers. This difference could be explained by the decrease of the stray light in the case of the UV2000 apparatus. Copyright © 2017. Published by Elsevier B.V.

  1. Developing and Integrating Courseware for Oral Presentations into ESP Learning Contexts

    Science.gov (United States)

    Tsai, Shu-Chiao

    2010-01-01

    This study reports on the development of ESP (English for Specific Purposes) multimedia courseware on oral presentations, and its integration into self-study learning and elective courses for students with different English proficiencies, as one solution to problems in ESP courses in Taiwan. The courseware design is based on Mayer's multimedia…

  2. AUTHENTIC MATERIALS VS TEXTBOOKS IN ESP (ENGLISH FOR SPECIFIC PURPOSES

    Directory of Open Access Journals (Sweden)

    Elena Spirovska Tevdovska

    2018-03-01

    Full Text Available The purpose of this study is to analyze and compare the value of authentic materials and textbooks in ESP English for Specific Purposes learning and teaching context. The study compares the characteristics of the authentic materials and the characteristics of textbooks designed and selected for the purpose of teaching and learning English as a foreign language in ESP setting. The study defines the role of materials in ESP setting and compares the benefits and possible drawbacks of both types of materials and resources: the authentic materials in English for Specific Purposes context compared to traditional textbooks designed for the purpose of teaching and learning English for Specific Purposes. The study identifies the benefits of authentic materials, which include the richness as an input source and the authenticity of the tasks offered to learners, as well as the negative sides of authentic materials, which include the level of difficulty that these materials might present to the learner . Furthermore, the study identifies the benefits of textbooks, which include available sources for the learners and less time consuming class preparation for the teachers, as well as the drawbacks which include the impossibility of finding a textbook which corresponds entirely to learners’ needs. In addition, the article focuses on teachers’ attitudes and opinions regarding the appropriateness and exploitability of each source and their preferences and reasons for material selection. The target population consists of eleven lecturers who teach English for Specific Purposes in tertiary education. The lecturers teach various branches of ESP, including ESP for Computer Sciences, Business, Communication and Legal Studies. Data collection is conducted through a specifically designed questionnaire, addressing the questions of materials selection, teachers’ preferences regarding textbooks or authentic materials and the selection criteria applied by the

  3. Generic Structure of Discussion Sections in ESP Research Articles across International and Iranian Journals

    Directory of Open Access Journals (Sweden)

    Masoud Khalili Sabet

    2015-04-01

    Full Text Available Reviewing literature reveals that identifying generic structure of research articles (RAs in different fields of study, especially ESP, has received much attention. The major purpose behind such trends of research has been raising researchers' awareness of the common conventions in writing RAs. Along with this universal trend, a lot of genre studies have been done in Iranian context; however, it seems that ESP RAs have not been paid due attention yet. Hence this study aimed at investigating the generic structure of ESP RAs in international and Iranian journals. Applying Kanoksilapatham's (2007 model to the compiled corpus, it was found that contextualizing the study (Move 1 and consolidating results (Move 2 were the obligatory moves in Discussion section of ESP RAs across international and Iranian journals. Evaluation of the findings was a new step found in international Discussion sections but absent in Iranian ones. Related discussion of these findings prepares the researchers for publishing in international and Iranian ESP journals. Keywords: ESP, Discussion section, Move, Step, Author presence, Generic structure

  4. MULTI-VIEWPOINT OBSERVATIONS OF A WIDELY DISTRIBUTED SOLAR ENERGETIC PARTICLE EVENT: THE ROLE OF EUV WAVES AND WHITE-LIGHT SHOCK SIGNATURES

    Energy Technology Data Exchange (ETDEWEB)

    Kouloumvakos, A.; Patsourakos, S.; Nindos, A. [Section of Astrogeophysics, Department of Physics, University of Ioannina, 45110 Ioannina (Greece); Vourlidas, A. [The Johns Hopkins University Applied Physics Laboratory, Laurel, MD 20723 (United States); Anastasiadis, A.; Sandberg, I. [Institute for Astronomy, Astrophysics, Space Applications and Remote Sensing, National Observatory of Athens, 15236 Penteli (Greece); Hillaris, A. [Section of Astrophysics, Astronomy and Mechanics, Department of Physics, National and Kapodistrian University of Athens, 15783 Athens (Greece)

    2016-04-10

    On 2012 March 7, two large eruptive events occurred in the same active region within 1 hr from each other. Each consisted of an X-class flare, a coronal mass ejection (CME), an extreme-ultraviolet (EUV) wave, and a shock wave. The eruptions gave rise to a major solar energetic particle (SEP) event observed at widely separated (∼120°) points in the heliosphere. From multi-viewpoint energetic proton recordings we determine the proton release times at STEREO B and A (STB, STA) and the first Lagrange point (L1) of the Sun–Earth system. Using EUV and white-light data, we determine the evolution of the EUV waves in the low corona and reconstruct the global structure and kinematics of the first CME’s shock, respectively. We compare the energetic proton release time at each spacecraft with the EUV waves’ arrival times at the magnetically connected regions and the timing and location of the CME shock. We find that the first flare/CME is responsible for the SEP event at all three locations. The proton release at STB is consistent with arrival of the EUV wave and CME shock at the STB footpoint. The proton release time at L1 was significantly delayed compared to STB. Three-dimensional modeling of the CME shock shows that the particle release at L1 is consistent with the timing and location of the shock’s western flank. This indicates that at L1 the proton release did not occur in low corona but farther away from the Sun. However, the extent of the CME shock fails to explain the SEP event observed at STA. A transport process or a significantly distorted interplanetary magnetic field may be responsible.

  5. Revisiting the STEC Testing Approach: Using espK and espV to Make Enterohemorrhagic Escherichia coli (EHEC) Detection More Reliable in Beef

    OpenAIRE

    Delannoy, Sabine; Chaves, Byron D.; Ison, Sarah A.; Webb, Hattie E.; Beutin, Lothar; Delaval, José; Billet, Isabelle; Fach, Patrick

    2016-01-01

    Current methods for screening Enterohemorrhagic Escherichia coli (EHEC) O157 and non-O157 in beef enrichments typically rely on the molecular detection of stx, eae, and serogroup-specific wzx or wzy gene fragments. As these genetic markers can also be found in some non-EHEC strains, a number of ‘false positive’ results are obtained. Here, we explore the suitability of five novel molecular markers, espK, espV, ureD, Z2098, and CRISPRO26:H11 as candidates for a more accurate screening of EHEC s...

  6. Interface morphology of Mo/Si multilayer systems with varying Mo layer thickness studied by EUV diffuse scattering.

    Science.gov (United States)

    Haase, Anton; Soltwisch, Victor; Braun, Stefan; Laubis, Christian; Scholze, Frank

    2017-06-26

    We investigate the influence of the Mo-layer thickness on the EUV reflectance of Mo/Si mirrors with a set of unpolished and interface-polished Mo/Si/C multilayer mirrors. The Mo-layer thickness is varied in the range from 1.7 nm to 3.05 nm. We use a novel combination of specular and diffuse intensity measurements to determine the interface roughness throughout the multilayer stack and do not rely on scanning probe measurements at the surface only. The combination of EUV and X-ray reflectivity measurements and near-normal incidence EUV diffuse scattering allows to reconstruct the Mo layer thicknesses and to determine the interface roughness power spectral density. The data analysis is conducted by applying a matrix method for the specular reflection and the distorted-wave Born approximation for diffuse scattering. We introduce the Markov-chain Monte Carlo method into the field in order to determine the respective confidence intervals for all reconstructed parameters. We unambiguously detect a threshold thickness for Mo in both sample sets where the specular reflectance goes through a local minimum correlated with a distinct increase in diffuse scatter. We attribute that to the known appearance of an amorphous-to-crystallization transition at a certain thickness threshold which is altered in our sample system by the polishing.

  7. The first detection of ionized helium in the local ISM - EUVE and IUE spectroscopy of the hot DA white dwarf GD 246

    Science.gov (United States)

    Vennes, Stephane; Dupuis, Jean; Rumph, Todd; Drake, Jeremy; Bowyer, Stuart; Chayer, Pierre; Fontaine, Gilles

    1993-01-01

    We report observations of the extreme ultraviolet spectrum of the hot degenerate star GD 246 obtained with the EUVE. Our initial attempt at modeling the photospheric emission from the white dwarf reveals a relatively uncontaminated pure H spectrum in the range above 200 A, allowing a study of interstellar continuum absorption features in the line of sight of GD 246. Modeling of the He I autoionization transition discussed by Rumph et al. (1993), and the EUV continuum using the white dwarf as a source of background radiation provides measurements of both neutral and, for the first time, singly ionized He column densities in the local ISM (LISM). We estimate the He ionization fraction He II/(He I + He II) at roughly 25 percent with a total He column of 1.40-1.65 x 10 exp 18/sq cm. We have measured and compared H I column densities from the saturated Ly-alpha ISM absorption in IUE high-dispersion spectroscopy and from EUV continuum absorption: the two measurements are in good agreement with a total H column of 1.2-1.6 x 10 exp 19/sq cm. We discuss some implications for the nature of the LISM, particularly in the context of current models of the EUV radiation field.

  8. Detecting EUV transients in near real time with ALEXIS

    Energy Technology Data Exchange (ETDEWEB)

    Roussel-Dupre`, D.; Bloch, J.J.; Theiler, J.; Pfafman, T.; Beauchesne, B.

    1995-12-31

    The Array of Low Energy X-ray Imaging Sensors (ALEXIS) experiment consists of a mini-satellite containing six wide angle EUV/ultrasoft X-ray telescopes (Priedhorsky et al. 1989, and Bloch et al. 1994). Its scientific objective is to map out the sky in three narrow ({Delta}E/E {approx} 5%) bandpasses around 66, 71, and 93 eV. During each 50 second satellite rotation period the six telescopes, each with a 30{degrees} field, of:view and a spatial resolution of 0.25{degrees}, scan most of the antisolar hemisphere of the sky. The project is a collaborative effort between Los Alamos National Laboratory, Sandia National Laboratory, and the University of California-Berkeley Space Sciences Laboratory. It is controlled entirely from a small ground station located at Los Alamos. The mission was launched on a Pegasus Air Launched Vehicle on April 25, 1993. An incident at launch delayed our ability to properly analyze the data until November of 1994. In January of 1995, we brought on line automated software to routinely carry out the transient search. After the data is downlinked from the satellite, the software processes and transforms it into sky maps that are automatically searched for new sources. The software then sends the results of these searches by e-mail to the science team within two hours of the downlink. This system has successfully detected the Cataclysmic Variables VW Hyi, U Gem and AR UMa in outburst, and has detected at least two unidentified short duration EUV transients (Roussel-Dupre et al 1995, Roussel-Dupre 1995).

  9. PMAS: The Potsdam Multi-Aperture Spectrophotometer. II. The Wide Integral Field Unit PPak

    NARCIS (Netherlands)

    Kelz, Andreas; Verheijen, Marc A. W.; Roth, Martin M.; Bauer, Svend M.; Becker, Thomas; Paschke, Jens; Popow, Emil; Sánchez, Sebastian F.; Laux, Uwe

    2006-01-01

    PPak is a new fiber-based integral field unit (IFU) developed at the Astrophysical Institute of Potsdam and implemented as a module into the existing Potsdam Multi-Aperture Spectrophotometer (PMAS) spectrograph. The purpose of PPak is to provide an extended field of view with a large

  10. Authenticity in the Context of Technologically Enriched ESP

    Directory of Open Access Journals (Sweden)

    Vilhelmina Vaičiūnienė

    2013-01-01

    Full Text Available Purpose—to determine student attitudes towards authenticity and the interrelation between authenticity and the use of ICT in the ESP learning context. Design/methodology/approach—the research conducted in autumn 2011 was aimed at comparative analysis of students of two study modes—full-time and part-time. It followed research focusing on Bachelor study programme student attitudes (Business Informatics and Finance Economics to authentic resources carried out in spring of 2010. The survey participants were Bachelor study cycle first year students from several faculties of MRU (Law, Politics and Management, Economics and Finance Management, Social Informatics, Social Policy. The paper discusses the ESP learning experience at Mykolas Romeris University and presents research findings obtained through the questionnaire survey. Findings—comparative analysis between full-time and part-time study mode student responses reveals similar learning patterns; however, certain differences can also be observed. The full-time students are motivated by more advanced authentic learning materials and they are more computer literate and able to access these materials, whereas the respondents from the part-time study mode appreciate more traditional Internet-based language learning tools. Research limitations/implications—the research sample was composed of first year Bachelor study programme students, therefore the results cannot be generalised and applied to Master level students. Practical implications—awareness of student needs and problems encountered in authentic learning environment may help improve ESP teaching methods. Originality/Value—gaining insight into student perception of authenticity in learning process and technological proficiency to access authentic resources Keywords: authenticity, student autonomy, ESP context, information communication technologies (ICT. Research type: research paper.

  11. Authenticity in the Context of Technologically Enriched ESP

    Directory of Open Access Journals (Sweden)

    Vilhelmina Vaičiūnienė

    2012-07-01

    Full Text Available Purpose—to determine student attitudes towards authenticity and the interrelation between authenticity and the use of ICT in the ESP learning context.Design/methodology/approach—the research conducted in autumn 2011 was aimed at comparative analysis of students of two study modes—full-time and part-time. It followed research focusing on Bachelor study programme student attitudes (Business Informatics and Finance Economics to authentic resources carried out in spring of 2010. The survey participants were Bachelor study cycle first year students from several faculties of MRU (Law, Politics and Management, Economics and Finance Management, Social Informatics, Social Policy. The paper discusses the ESP learning experience at Mykolas Romeris University and presents research findings obtained through the questionnaire survey.Findings—comparative analysis between full-time and part-time study mode student responses reveals similar learning patterns; however, certain differences can also be observed. The full-time students are motivated by more advanced authentic learning materials and they are more computer literate and able to access these materials, whereas the respondents from the part-time study mode appreciate more traditional Internet-based language learning tools.Research limitations/implications—the research sample was composed of first year Bachelor study programme students, therefore the results cannot be generalised and applied to Master level students.Practical implications—awareness of student needs and problems encountered in authentic learning environment may help improve ESP teaching methods.Originality/Value—gaining insight into student perception of authenticity in learning process and technological proficiency to access authentic resourcesKeywords: authenticity, student autonomy, ESP context, information communication technologies (ICT.Research type: research paper.

  12. Sorbent Injection for Small ESP Mercury Control in Low Sulfur Eastern Bituminous Coal Flue Gas

    Energy Technology Data Exchange (ETDEWEB)

    Carl Richardson; Katherine Dombrowski; Douglas Orr

    2006-12-31

    This project Final Report is submitted to the U.S. Department of Energy (DOE) as part of Cooperative Agreement DE-FC26-03NT41987, 'Sorbent Injection for Small ESP Mercury Control in Low Sulfur Eastern Bituminous Coal Flue Gas.' Sorbent injection technology is targeted as the primary mercury control process on plants burning low/medium sulfur bituminous coals equipped with ESP and ESP/FGD systems. About 70% of the ESPs used in the utility industry have SCAs less than 300 ft2/1000 acfm. Prior to this test program, previous sorbent injection tests had focused on large-SCA ESPs. This DOE-NETL program was designed to generate data to evaluate the performance and economic feasibility of sorbent injection for mercury control at power plants that fire bituminous coal and are configured with small-sized electrostatic precipitators and/or an ESP-flue gas desulfurization (FGD) configuration. EPRI and Southern Company were co-funders for the test program. Southern Company and Reliant Energy provided host sites for testing and technical input to the project. URS Group was the prime contractor to NETL. ADA-ES and Apogee Scientific Inc. were sub-contractors to URS and was responsible for all aspects of the sorbent injection systems design, installation and operation at the different host sites. Full-scale sorbent injection for mercury control was evaluated at three sites: Georgia Power's Plant Yates Units 1 and 2 [Georgia Power is a subsidiary of the Southern Company] and Reliant Energy's Shawville Unit 3. Georgia Power's Plant Yates Unit 1 has an existing small-SCA cold-side ESP followed by a Chiyoda CT-121 wet scrubber. Yates Unit 2 is also equipped with a small-SCA ESP and a dual flue gas conditioning system. Unit 2 has no SO2 control system. Shawville Unit 3 is equipped with two small-SCA cold-side ESPs operated in series. All ESP systems tested in this program had SCAs less than 250 ft2/1000 acfm. Short-term parametric tests were conducted on Yates

  13. Evaluation of an ESP Course of Qur'anic Sciences and Tradition

    Science.gov (United States)

    Salehi, Hadi; Davari, Ameneh; Yunus, Melor Md

    2015-01-01

    Evaluation is defined as matching process that matches the needs to available solutions. The present study is an attempt to evaluate English for specific purposes (ESP) course book on "the ESP Course of Qur'anic Sciences and Tradition" taught at some universities in Iran. To achieve this goal, a researcher-made questionnaire and an…

  14. Online Lexicological Tools in ESP – Towards an Approach to Strategy Training

    Directory of Open Access Journals (Sweden)

    Jaroslaw Krajka

    2015-11-01

    Full Text Available Together with great proliferation of online resources on the one hand and a striking lack of commercially published materials for specific ESP domains on the other, the ESP teacher needs to reflect on using Internet materials judiciously in the language classroom. An indispensable element of the teaching process in any context is effective resourcing, or the ability to find, evaluate and use reference tools of various kinds. This strategy is also necessary in the ESP context, and the range of available resources goes beyond dictionaries only and encompasses, among others, specialized dictionaries, glossaries, terminology databanks. The purpose of the present paper is to outline the procedure of online resourcing, by giving specific steps for the training of the skill based on ESP materials of various types. The theoretical discussion of strategy training will be substantiated with the practical activities for the procedure.

  15. Planeamiento estratégico del espárrago en el Perú

    OpenAIRE

    Cuyuche Yupari, Lissete Alicia; Planas Rivarola, Juan Jorge Miguel; Salazar Oré, Fernando Alonso

    2017-01-01

    El cultivo del espárrago constituye una importante fuente generadora de trabajo y de ingresos para el Perú. El crecimiento del sector esparraguero y su expansión comercial, desde la década del 80, ha posicionado al país como el primer exportador mundial de espárragos. En el 2015, se alcanzaron ventas por US$ 589 millones, equivalente al 37% de las exportaciones mundiales de espárragos, lo cual contribuyó con el 1.8% de las exportaciones totales del país. Además, ubicó a esta ho...

  16. QUALITATIVE INDICATORS OF EFFICIENCY OF TECHNOLOGIES DEVELOPING ESP COMPETENCE IN STUDENTS MAJORING IN SCIENCES

    Directory of Open Access Journals (Sweden)

    Наталія Микитинко

    2015-05-01

    Full Text Available The article is dedicated to identifying and diagnosing qualitative indicators of efficiency of technologies developing ESP competence in students majoring in Sciences, namely: indicators of objective and subjective assessment  of students’ ESP competence, students’ motivation regarding professional choice, organizational features of professional training, its contents, the most popular learning activities, use of active methods of study in educational process. The paradigm of experimental research of efficiency of technologies developing ESP competence in students majoring in Sciences has been defined. Based on the interpretation of the qualitative indicators the hypothesis of efficiency of technologies developing ESP competence in students majoring in Sciences has been proven.

  17. Ultraviolet spectrophotometer for measuring columnar atmospheric ozone from aircraft

    Science.gov (United States)

    Hanser, F. A.; Sellers, B.; Briehl, D. C.

    1978-01-01

    An ultraviolet spectrophotometer (UVS) to measure downward solar fluxes from an aircraft or other high altitude platform is described. The UVS uses an ultraviolet diffuser to obtain large angular response with no aiming requirement, a twelve-position filter wheel with narrow (2-nm) and broad (20-nm) bandpass filters, and an ultraviolet photodiode. The columnar atmospheric ozone above the UVS (aircraft) is calculated from the ratios of the measured ultraviolet fluxes. Comparison with some Dobson station measurements gives agreement to 2%. Some UVS measured ozone profiles over the Pacific Ocean for November 1976 are shown to illustrate the instrument's performance.

  18. The comparative effect of FUV, EUV and X-ray disc photoevaporation on gas giant separations

    Science.gov (United States)

    Jennings, Jeff; Ercolano, Barbara; Rosotti, Giovanni P.

    2018-04-01

    Gas giants' early (≲ 5 Myr) orbital evolution occurs in a disc losing mass in part to photoevaporation driven by high energy irradiance from the host star. This process may ultimately overcome viscous accretion to disperse the disc and halt migrating giants by starving their orbits of gas, imprinting on giant planet separations in evolved systems. Inversion of this distribution could then give insight into whether stellar FUV, EUV or X-ray flux dominates photoevaporation, constraining planet formation and disc evolution models. We use a 1D hydrodynamic code in population syntheses for gas giants undergoing Type II migration in a viscously evolving disc subject to either a primarily FUV, EUV or X-ray flux from a pre-solar T Tauri star. The photoevaporative mass loss profile's unique peak location and width in each energetic regime produces characteristic features in the distribution of giant separations: a severe dearth of ≲ 2 MJ planets interior to 5 AU in the FUV scenario, a sharp concentration of ≲ 3 MJ planets between ≈1.5 - 2 AU in the EUV case, and a relative abundance of ≈2 - 3.5 MJ giants interior to 0.5 AU in the X-ray model. These features do not resemble the observational sample of gas giants with mass constraints, though our results do show some weaker qualitative similarities. We thus assess how the differing photoevaporative profiles interact with migrating giants and address the effects of large model uncertainties as a step to better connect disc models with trends in the exoplanet population.

  19. Interference of different ionic species on the analysis of phosphate in HLW using spectrophotometer

    International Nuclear Information System (INIS)

    Mishra, P.K.; Ghongane, D.E.; Valsala, T.P.; Sonavane, M.S.; Kulkarni, Y.; Changrani, R.D.

    2010-01-01

    During reprocessing of spent nuclear fuel by PUREX process different categories of radioactive liquid wastes like High Level (HL), Intermediate Level (IL) and Low Level (LL) are generated. Different methodologies are adopted for management of these wastes. Since PUREX solvent (30% Tri butyl phosphate-70% Normal Paraffin Hydrocarbon) undergoes chemical degradation in the highly acidic medium of dissolver solution, presence of phosphate in the waste streams is inevitable. Since higher concentrations of phosphate in the HLW streams will affect its management by vitrification, knowledge about the concentration of phosphate in the waste is essential before finalising the glass composition. Since a large number of anionic and cationic species are present in the waste, these species may interfere phosphate analysis using spectrophotometer. In the present work, the interference of different anionic and cationic species on the analysis of phosphate in waste solutions using spectrophotometer was studied

  20. Application of ZnO Nanoparticle as Sulphide Gas Sensor Using UV/VIS/NIR-Spectrophotometer

    International Nuclear Information System (INIS)

    Juliasih, N; Buchari; Noviandri, I

    2017-01-01

    The nanoparticle of metal oxides has great unique characteristics that applicable to the wide industrial as sensors and catalysts for reducing environmental pollution. Sulphide gas monitors and detectors are required for assessing safety aspects, due to its toxicity level. A thin film of ZnO as the sulphide gas sensor was synthesised by the simple method of chemical liquid deposition with variation of annealing temperature from 200 ºC to 500 ºC, and characterised by Scanning Electron Microscope (SEM), X-Ray Diffraction (XRD), and UV/VIS/NIR-Spectrophotometer. Characterization studies showed nanoparticle size from the range 62 – 92 nm of diameters. The application this ZnO thin film to sulfide gas, detected by UV/VIS/NIR Spectrophotometer with diffuse reflectance, showed specific chemical reaction by the shifting of maximum % Reflectance peak. The gas sensing using this method is applicable at room. (paper)

  1. Surface modification by EUV laser beam based on capillary discharge

    Czech Academy of Sciences Publication Activity Database

    Frolov, Oleksandr; Koláček, Karel; Schmidt, Jiří; Štraus, Jaroslav; Prukner, Václav; Shukurov, A.

    -, č. 58 (2011), s. 484-487 ISSN 2010-376X. [International Conference on Fusion and Plasma Physics. Bali, Indonésie, 26.10.2011-28.10.2011] R&D Projects: GA AV ČR KAN300100702; GA MŠk LA08024; GA MŠk(CZ) LC528 Institutional research plan: CEZ:AV0Z20430508 Keywords : soft x-ray * EUV * laser * radiation * source * capillary * discharge * plasma * ablation * surface modification Subject RIV: BL - Plasma and Gas Discharge Physics http://www.waset.org/journals/waset/v58/v58-99.pdf

  2. Spectral calibration of filters and detectors of solar EUV telescope for 13.2 nm for the TESIS experiment

    International Nuclear Information System (INIS)

    Kuzin, S.V.; Shestov, S.V.; Pertsov, A.A.; Reva, A.A.; Zuev, S.Yu.; Lopatin, A.Ya.; Luchin, V.I.; Zhou, Kh.; Khuo, T.

    2008-01-01

    The full-sun EUV telescope for 13.2 nm spectral band for the TESIS experiment is designed to produce images of hot coronal plasma (T ∼ 10 MK). Calibration process of optical elements is presented. Spectral transmission of multilayer Zr/Si filters, sensitivity and radiation tolerance of CCD detector have been measured. Peak transmission of EUV filters in working, spectral band reaches 40-50% (filters with 50 and 55 layers are used), spectral dependence of transmission is close to calculated one. Transmission of filters in white light is equal to (1-2)x10 -6 . Sensitivity of CCD ranges from 0.01 to 0.1 ADC units per photon, radiation tolerance is better than 10 9 rad [ru

  3. The ESP Instruction: A Study Based on the Pattern of Autonomous Inquiry

    Science.gov (United States)

    Zhang, Jianfeng

    2013-01-01

    Autonomous inquiry learning is a kind of learning model, which relies mainly on learners and emphasizes that learners should inquire knowledge actively; moreover, ESP, which emphasizes the combination of language learning and specific purposes learning, is a goal-oriented and well targeted instruction system. Therefore, ESP and autonomous inquiry…

  4. Transformação genética em espécies florestais.

    Directory of Open Access Journals (Sweden)

    Claudia Studart-Guimarães

    2010-08-01

    Full Text Available A transformação genética, que compreende a introdução de genes exógenos de forma controlada no genoma de uma célula vegetal e posterior regeneração da planta transgênica, tem contribuído com os programas de melhoramento genético de plantas pela obtenção de genótipos com novas características de interesse. O melhoramento de espécies florestais é limitado por características intrínsecas a tais espécies, como a altura dos indivíduos e o ciclo longo de vida. A transformação genética constitui, portanto, uma alternativa para a obtenção de espécies florestais com características desejáveis em um menor espaço de tempo. Plantas transgênicas com resistência a determinadas pragas, com melhor qualidade de madeira, maior produção de biomassa, tolerância a herbicidas, entre outras características de interesse, já foram obtidas para diferentes espécies florestais de importância econômica como álamo, eucalipto e pinheiros em geral. Este trabalho mostra a importância da transformação genética, associada a outras técnicas biotecnológicas no melhoramento de espécies florestais, as técnicas de transformação mais utilizadas e as características que já foram introduzidas nessas espécies pela transformação.

  5. Flameless atomic absorption determination of ruthenium using a ''Saturn-1'' spectrophotometer

    International Nuclear Information System (INIS)

    Pichkov, V.N.; Sinitsyn, N.M.; Sadikova, F.G.; Govorova, M.I.; Yakshinskij, A.I.

    1980-01-01

    A flameless atomic absorption method is suggested for determining ruthenium in samples of complicated composition using a ''Saturn-1'' spectrophotometer with a L'vov graphite cuvette. The method was used for determining ruthenium in a copper-based sample (10 -3 % Ru) and in electrolyte slurries (10 -3 -10 -2 %). The limit of detection Csub(min, 0.95) = 3.0x10 -3 μg Ru/ml. Other platinum metals do not interfere [ru

  6. An Improved Flame Test for Qualitative Analysis Using a Multichannel UV-Visible Spectrophotometer

    Science.gov (United States)

    Blitz, Jonathan P.; Sheeran, Daniel J.; Becker, Thomas L.

    2006-01-01

    Qualitative analysis schemes are used in undergraduate laboratory settings as a way to introduce equilibrium concepts and logical thinking. The main component of all qualitative analysis schemes is a flame test, as the color of light emitted from certain elements is distinctive and a flame photometer or spectrophotometer in each laboratory is…

  7. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemyslaw; Jarocki, Roman; Fiedorowicz, Henryk; Limpouch, Jiri

    2018-01-01

    Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm) laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis) range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE), the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  8. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Directory of Open Access Journals (Sweden)

    Saber Ismail

    2018-01-01

    Full Text Available Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE, the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  9. Stellar observations with the Voyager EUV objective grating spectrograph

    International Nuclear Information System (INIS)

    Holberg, J.B.; Polidan, R.S.; Barry, D.C.

    1986-01-01

    During the periods of interplanetary cruise the Voyager ultraviolet spectrometers are used to provide unique and otherwise unobtainable observations in the extreme ultraviolet (EUV, 500 to 1200) and the far ultraviolet (FUV, 912 to 1220 A). These observations include the spectra of hot stellar sources as well as emission from the interplanetary medium. Recent results of note include: (1) extensive spectrophotometric coverage of a superoutburst of the dwarf nova VW Hydri, which showed a clear 1/2 day delay in the outburst at 1000 A relative to that observed in the optical and a curious dip in the FUV light curve near maximum light. The Voyager observations were part of a comprehensive and highly successful campaign involving EXOSAT, IUE and ground based observations of this dwarf nova; (2) a comprehensive study of Be star spectra and variability. These results show the critical importance of FUV observations in the study of the effects of stellar rotation in hot stars; (3) the detection of a strong O VI absorption feature in the spectrum of the PG 1159-like object H1504+65. This detection along with the optical identification of weak O IV lines was a key to the interpretation of this object; which is of extremely high (>150,000K) temperature and appears to be a unique example of a stellar atmosphere devoid of H and He; (4) an analysis of an extremely long duration spectrum of the EUV and FUV sky background, which establishes important new upper limits on both continuum and line emission. This result also provide the first detection of interplanetary Lyman gamma

  10. Levantamento das espécies exóticas arbóreas e seu impacto nas espécies nativas em áreas adjacentes a depósitos de resíduos domiciliares

    Directory of Open Access Journals (Sweden)

    Otacílio Antunes Santana

    2011-09-01

    Full Text Available http://dx.doi.org/10.5007/2175-7925.2008v21n4p29 Os objetivos deste trabalho, em áreas adjacentes à deposição de resíduos domiciliares, foram: i realizar o levantamento das espécies exóticas, ii verificar o seu impacto nas espécies nativas arbóreas de Cerrado, e iii comparar os resultados com áreas controles. Vinte e duas espécies exóticas foram encontradas nas parcelas estudadas próximos aos depósitos de resíduos domiciliares, distribuídas entre treze famílias. Apenas duas espécies foram encontradas nas áreas controle. A presença de depósitos de resíduos domiciliares aumenta a incidência de espécies exóticas arbóreas, causando um gradiente na distribuição de seus indivíduos, padrão não observado nas áreas controles.

  11. Laser-plasma sourced, temperature dependent, VUV spectrophotometer using dispersive analysis

    International Nuclear Information System (INIS)

    French, R.H.

    1990-01-01

    We have developed a vacuum ultraviolet spectrophotometer with wide energy and temperature range coverage, utilizing a laser-plasma light source (LPLS), CO 2 -laser sample heating and time-resolved dispersive analysis. Reflection and transmission spectra can be taken from 1.7 to 40 eV (31-700 nm) on samples at 15-1800 K with a time resolution of 20-400 ns. These capabilities permit the study of the temperature dependence of the electronic structure, encompassing the effects of thermal lattice expansion and electron-phonon interaction, and changes in the electronic structure associated with equilibrium and metastable phase transitions and stress relaxation. The LPLS utilizes a samarium laser-plasma created by a Q-switched Nd:YAG laser (500 mJ/pulse) to produce high brightness, stable, continuum radiation. The spectrophotometer is of a single beam design using calibrated iridium reference mirrors. White light is imaged off the sample in to the entrance slit of a 1-m polychromator. The resolution is 0.1 to 0.3 nm. The dispersed light is incident on a focal plane phosphor, fiber-optic-coupled to an image-intensified reticon detector. For spectroscopy between 300 and 1800 K, the samples are heated in situ with a 150 Watt CO 2 laser. The signal to noise ratio in the VUV, for samples at 1800 K, is excellent. From 300 K to 15 K samples are cooled using a He cryostat. (orig.)

  12. Uncovering New Thermal and Elastic Properties of Nanostructured Materials Using Coherent EUV Light

    Science.gov (United States)

    Hernandez Charpak, Jorge Nicolas

    Advances in nanofabrication have pushed the characteristic dimensions of nanosystems well below 100nm, where physical properties are often significantly different from their bulk counterparts, and accurate models are lacking. Critical technologies such as thermoelectrics for energy harvesting, nanoparticle-mediated thermal therapy, nano-enhanced photovoltaics, and efficient thermal management in integrated circuits depend on our increased understanding of the nanoscale. However, traditional microscopic characterization tools face fundamental limits at the nanoscale. Theoretical efforts to build a fundamental picture of nanoscale thermal dynamics lack experimental validation and still struggle to account for newly reported behaviors. Moreover, precise characterization of the elastic behavior of nanostructured systems is needed for understanding the unique physics that become apparent in small-scale systems, such as thickness-dependent or fabrication-dependent elastic properties. In essence, our ability to fabricate nanosystems has outstripped our ability to understand and characterize them. In my PhD thesis, I present the development and refinement of coherent extreme ultraviolet (EUV) nanometrology, a novel tool used to probe material properties at the intrinsic time- and length-scales of nanoscale dynamics. By extending ultrafast photoacoustic and thermal metrology techniques to very short probing wavelengths using tabletop coherent EUV beams from high-harmonic upconversion (HHG) of femtosecond lasers, coherent EUV nanometrology allows for a new window into nanoscale physics, previously unavailable with traditional techniques. Using this technique, I was able to probe both thermal and acoustic dynamics in nanostructured systems with characteristic dimensions below 50nm with high temporal (sub-ps) and spatial (size and spacing of the nanoscale heat sources with the phonon spectrum of a material. This makes our technique one of the only experimental routes to

  13. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  14. Instrumentation-related uncertainty of reflectance and transmittance measurements with a two-channel spectrophotometer.

    Science.gov (United States)

    Peest, Christian; Schinke, Carsten; Brendel, Rolf; Schmidt, Jan; Bothe, Karsten

    2017-01-01

    Spectrophotometers are operated in numerous fields of science and industry for a variety of applications. In order to provide confidence for the measured data, analyzing the associated uncertainty is valuable. However, the uncertainty of the measurement results is often unknown or reduced to sample-related contributions. In this paper, we describe our approach for the systematic determination of the measurement uncertainty of the commercially available two-channel spectrophotometer Agilent Cary 5000 in accordance with the Guide to the expression of uncertainty in measurements. We focus on the instrumentation-related uncertainty contributions rather than the specific application and thus outline a general procedure which can be adapted for other instruments. Moreover, we discover a systematic signal deviation due to the inertia of the measurement amplifier and develop and apply a correction procedure. Thereby we increase the usable dynamic range of the instrument by more than one order of magnitude. We present methods for the quantification of the uncertainty contributions and combine them into an uncertainty budget for the device.

  15. White light photothermal lens spectrophotometer for the determination of absorption in scattering samples.

    Science.gov (United States)

    Marcano, Aristides; Alvarado, Salvador; Meng, Junwei; Caballero, Daniel; Moares, Ernesto Marín; Edziah, Raymond

    2014-01-01

    We developed a pump-probe photothermal lens spectrophotometer that uses a broadband arc-lamp and a set of interference filters to provide tunable, nearly monochromatic radiation between 370 and 730 nm as the pump light source. This light is focused onto an absorbing sample, generating a photothermal lens of millimeter dimensions. A highly collimated monochromatic probe light from a low-power He-Ne laser interrogates the generated lens, yielding a photothermal signal proportional to the absorption of light. We measure the absorption spectra of scattering dye solutions using the device. We show that the spectra are not affected by the presence of scattering, confirming that the method only measures the absorption of light that results in generation of heat. By comparing the photothermal spectra with the usual absorption spectra determined using commercial transmission spectrophotometers, we estimate the quantum yield of scattering of the sample. We discuss applications of the device for spectroscopic characterization of samples such as blood and gold nanoparticles that exhibit a complex behavior upon interaction with light.

  16. Repeatability in Color Measurements of a Spectrophotometer using Different Positioning Devices.

    Science.gov (United States)

    Hemming, Michael; Kwon, So Ran; Qian, Fang

    2015-12-01

    This study aimed to evaluate the repeatability of color measurements of an intraoral spectrophotometer with the use of three different methods by two operators. A total of 60 teeth were obtained, comprising 30 human maxillary teeth [central incisors (n = 10); canines (n = 10); molars (n = 10)] and 30 artificial teeth [lateral incisors (n = 10); premolar (n = 20)]. Multiple repeated color measurements were obtained from each tooth using three measuring methods by each of the two operators. Five typodonts with alternating artificial and human teeth were made. Measurements were taken by two operators with the Vita EasyShade spectrophotometer using the custom tray (CT), custom jig (CJ) and free hand (FH) method, twice, at an interval of 2 to 7 days. Friedman test was used to detect difference among the three color measuring methods. Post hoc Wilcoxon signed-rank test with Bonferroni correction applied was used for pair-wise comparison of color measurements among the three methods. Additionally, a paired-sample t-test was used to assess a significant difference between the two duplicated measurements made on the same tooth by the same operator for each color parameter and measuring method. For operator A, mean (SD) overall color change-ΔE* (SD) perceived for FH, CT and CJ were 2.21(2.00), 2.39 (1.58) and 2.86 (1.92), respectively. There was statistically significant difference in perceived ΔE* in FH vs CJ (p = 0.0107). However, there were no significant differences between FH and CT (p = 0.2829) or between CT and CJ (p = 0.1159). For operator B mean ΔE* (SD) for FH, CT and CJ were 3.24 (3.46), 1.95 (1.19) and 2.45 (1.56), respectively. There was a significant difference between FH and CT (p = 0.0031). However, there were no statistically significant differences in ΔE* in FH vs CJ (p = 0.3696) or CT vs CJ (p = 0.0809). The repeatability of color measurements was different among the three measuring methods by operators. Overall, the CT method worked well for both

  17. O conhecimento sobre morcegos (Chiroptera: Mammalia do estado do Espírito Santo, sudeste do Brasil

    Directory of Open Access Journals (Sweden)

    Poliana Mendes

    2010-01-01

    Full Text Available A Ordem Chiroptera apresenta importância relevante na dinâmica dos ecossistemas, sendo a ordem de mamíferos com maior diversidade de hábitos de vida. Dentre os estados da região Sudeste do Brasil, o Espírito Santo é um dos mais carentes em relação ao conhecimento de morcegos. Este estudo sintetizou o estado do conhecimento sobre quirópteros gerado no Espírito Santo. Para isso, foram catalogados os morcegos depositados no Museu de Biologia Prof. Mello Leitão (MBML, no Laboratório de Estudos de Quirópteros da Universidade Federal do Espírito Santo (LABEQ, Museum of Vertebrate Zoology (MVZ, Royal Ontario Museum (ROM, American Museum of Natural History (AMNH e University of Michigan Museum Zoology (UMMZ. Além disso, foi realizada uma busca por artigos publicados sobre morcegos do Espírito Santo. Foram revistos 49 artigos científicos, realizadas três teses de mestrado e 11 monografias. Considerando as coleções amostradas e artigos publicados totalizam-se 63 espécies de morcegos para o estado, provenientes de 37 dos 78 municípios do Espírito Santo. A maior riqueza de espécies de morcegos foi encontrada nos municípios de Linhares e Santa Teresa, o que é provavelmente reflexo da maior parte dos espécimes depositados nos museus também serem desses municípios. O Espírito Santo apresenta um grande potencial para se encontrar novas ocorrências de espécies, enfatizando a importância da realização de futuros estudos sobre morcegos no estado.The Order Chiroptera plays a vital role in ecosystem dynamics. Among the states of Southeastern Brazil, Espírito Santo State is the one with the least known bat fauna. This study reports on the current state of knowledge on Espírito Santo bats generating this data bank. We have catalogued the bats deposited in the Biology Museum Prof. Mello Leitão (MBML, Laboratory of Bat Studies of the Federal University of Espírito Santo (LABEQ, Museum of Vertebrate Zoology (MVZ, Royal Ontario

  18. Vertical-type chiroptical spectrophotometer (I): instrumentation and application to diffuse reflectance circular dichroism measurement.

    Science.gov (United States)

    Harada, Takunori; Hayakawa, Hiroshi; Kuroda, Reiko

    2008-07-01

    We have designed and built a novel universal chiroptical spectrophotometer (UCS-2: J-800KCMF), which can carry out in situ chirality measurement of solid samples without any pretreatment, in the UV-vis region and with high relative efficiency. The instrument was designed to carry out transmittance and diffuse reflectance (DR) circular dichroism (CD) measurements simultaneously, thus housing two photomultipliers. It has a unique feature that light impinges on samples vertically so that loose powders can be measured by placing them on a flat sample holder in an integrating sphere. As is our first universal chiroptical spectrophotometer, UCS-1, two lock-in amplifiers are installed to remove artifact signals arising from macroscopic anisotropies which are unique to solid samples. High performance was achieved by theoretically analyzing and experimentally proven the effect of the photoelastic modulator position on the CD base line shifts, and by selecting high-quality optical and electric components. Measurement of microcrystallines of both enantiomers of ammonium camphorsulfonate by the DRCD mode gave reasonable results.

  19. Validation of the Spanish Version of the Quality of Dying and Death Questionnaire (QODD-ESP) in a Home-Based Cancer Palliative Care Program and Development of the QODD-ESP-12.

    Science.gov (United States)

    Pérez-Cruz, Pedro E; Padilla Pérez, Oslando; Bonati, Pilar; Thomsen Parisi, Oliva; Tupper Satt, Laura; Gonzalez Otaiza, Marcela; Ceballos Yáñez, Diego; Maldonado Morgado, Armando

    2017-06-01

    Improving quality of death (QOD) is a key goal in palliative care (PC). To our knowledge, no instruments to measure QOD have been validated in Spanish. The goals of this study were to validate the Spanish version of the quality of dying and death (QODD) questionnaire and to develop and validate a shortened version of this instrument by phone interview. We enrolled caregivers (CGs) of consecutive deceased cancer patients who participated in a single PC clinic. CGs were contacted by phone between 4 and 12 weeks after patients' death and completed the Spanish QODD (QODD-ESP). A question assessing quality of life during last week of life was included. A 12-item QODD (QODD-ESP-12) was developed. Reliability, convergent validity, and construct validity were estimated for both versions. About 150 (50%) of 302 CGs completed the QODD-ESP. Patient's mean age (SD) was 67 (14); 71 (47%) were females, and 131 (87%) died at home. CGs' mean age (SD) was 51 (13); 128 (85%) were females. Mean QODD-ESP score was 69 (range 35-96). Kaiser-Meyer-Olkin measure of sampling adequacy was 0.322, not supporting the use of factorial analysis to assess the existence of an underlying construct. Mean QODD-ESP-12 score was 69 (range 31-97). Correlation with last week quality of life was 0.306 (P < 0.01). Confirmatory factorial analysis of QODD-ESP-12 showed that data fitted well Downey's four factors; Chi-square test = 6.32 (degrees of freedom = 60), P = 0.394 comparative fit index = 0.988; Tucker-Lewis Index = 0.987, and root mean square error of approximation = 0.016 (95% CI 0-0.052). QODD-ESP-12 is a reliable and valid instrument with good psychometric properties and can be used to assess QOD in a Spanish-speaking cancer PC population by phone interview. Copyright © 2017 American Academy of Hospice and Palliative Medicine. Published by Elsevier Inc. All rights reserved.

  20. Recursos medicinais de espécies do Cerrado de Mato Grosso: um estudo bibliográfico

    Directory of Open Access Journals (Sweden)

    Guarim Neto Germano

    2003-01-01

    Full Text Available A flora do Cerrado é de enorme riqueza, mas somente 1,5% de sua extensão é protegida por lei. Em vista disto, é preciso valorizar os recursos que ela oferece e que estão sob forte pressão de extinção, como as espécies medicinais. Assim, o presente estudo faz uma revisão bibliográfica aprofundada de trabalhos que indiquem as informações das espécies medicinais do cerrado mato-grossense, com intuito de se estabelecer uma base de dados regionais e, conseqüentemente, iniciar uma discussão em nível nacional. A revisão da flora medicinal constatou o total de 509 espécies, distribuídas em 297 gêneros e 96 famílias. As famílias com maior número de espécies foram Asteraceae e Fabaceae (7% das espécies e os gêneros foram Hyptis e Tabebuia (oito espécies. As espécies com maior número de citações bibliográficas foram Stryphnodendron adstringens (Mart. Coville e Anemopaegma arvense (Vell. Stelf. O predomínio foi de espécies arbóreas (31%. Os valores relatados superaram, em muito, estimativas anteriores e, em vista das áreas que ainda não foram cobertas por pesquisas mato-grossenses, acredita-se que o presente resultado poderá ser significativamente ampliado no futuro.

  1. Pentatomídeos (Hemiptera associados a espécies nativas em Itaara, RS, Brasil

    Directory of Open Access Journals (Sweden)

    Juliana Garlet

    2010-04-01

    Full Text Available http://dx.doi.org/10.5007/2175-7925.2010v23n1p91 Com o objetivo de determinar qualitativamente e quantitativamente os pentatomídeos associados a diferentes espécies botânicas nativas, no período de setembro de 2005 a setembro de 2006, foram coletados insetos em nove espécies: Gochnatia polymorpha (Less. (cambará, Eugenia uniflora Berg. (pitangueira, Acca selowiana (Berg Burret (goiaba-da-serra, Psidium cattleianum Sabine (araçá, Baccharis spp., Solanaum mauritianum Scop. (fumo-bravo, Micanea cinerascens Miq. (passiquinho, Calliandra brevipes Bhent. (caliandra, Schinus molle L. (aroeira, localizadas na barragem Rodolfo da Costa e Silva, município de Itaara, RS. Foram realizadas coletas quinzenais, com o auxílio de um funil cônico confeccionado com folha de flandres (2mm, com 70cm de diâmetro na maior abertura e 63cm de altura. Retirou-se uma amostra por espécie botânica por data de coleta, obtida através de dez sacudidas dos ramos sobre o funil. Realizadas as coletas, o material foi levado ao Laboratório de Entomologia do Departamento de Defesa Fitossanitária da Universidade Federal de Santa Maria, onde foi realizada a triagem e identificação do material. Foram identificadas nove espécies de Pentatomidae. Edessa rufomarginata (De Geer, 1773 foi à espécie com maior ocorrência, seguida de Thyanta humilis Bergroth, 1891. A espécie botânica S. mauritianum foi a que apresentou o maior número de espécies de Pentatomidae coletados, representando 26,9% do total.

  2. New species and records of Perlidae (Plecoptera) from Espírito Santo State, Brazil.

    Science.gov (United States)

    Gonçalves, Maísa DE Carvalho; Novaes, Marcos Carneiro; Salles, Frederico Falcão

    2017-06-01

    Two new species of the perlid genus Anacroneuria, A. rotunda n. sp and A. pitii n. sp are described from Espírito Santo State, Brazil. Additionally, six species of Anacroneuria and four species of Kempnyia are reported for the first time from Espírito Santo State. Records are also provided for A. debilis, A. subcostalis, K. flava, K. gracilenta, and K. neotropica. An undetermined species of Macrogynoplax based on nymphs is also reported from Espírito Santo State.

  3. SAGD production optimization : combination of ESP and multiphase metering

    Energy Technology Data Exchange (ETDEWEB)

    Pinguet, B.G.; Guerra, E.; Drever, C. [Schlumberger Canada Ltd., Edmonton, AB (Canada)

    2008-07-01

    Many commercial oil reservoirs in Canada are completed using electric submersible pumps (ESP) due to low reservoir pressures and extra heavy oils and bitumens. This paper presented details of an optimization process for steam-assisted gravity drainage (SAGD) wells. The process used ESP and a multiphase flow meter (MFM) based on Vx technology. The MFM was based on a Venturi and nuclear fraction meter combination that was engineered to measure the steam phases during SAGD processes. The technology was designed to measure total mass or total volumetric flow rates as well as oil, water and gas in producing wells. Length fractions of oil, water, and gas were calculated based on the attenuation of Gamma-rays as they passed through the Venturi section. Production was optimized in real time using the frequency control of the pump to improve oil flow rates. The results of field tests showed that the optimization process resulted in longer life cycles for the ESP. It was concluded that use of the meter results in changes to lift system operating parameters at the well site as well as improved monitoring during the workflow process. 3 refs., 1 tab., 11 figs.

  4. [Design of flat field holographic concave grating for near-infrared spectrophotometer].

    Science.gov (United States)

    Xiang, Xian-Yi; Wen, Zhi-Yu

    2008-07-01

    Near-infrared spectrum analysis can be used to determine the nature or test quantitatively some chemical compositions by detecting molecular double frequency and multiple frequency absorption. It has been used in agriculture, biology, petrifaction, foodstuff, medicament, spinning and other fields. Near-infrared spectrophotometer is the main apparatus for near-infrared spectrum analysis, and the grating is the most important part of the apparatus. Based on holographic concave grating theory and optic design software CODE V, a flat field holographic concave grating for near-infrared spectrophotometer was designed from primary structure, which relied on global optimization of the software. The contradiction between wide spectrum bound and limited spectrum extension was resolved, aberrations were reduced successfully, spectrum information was utilized fully, and the optic structure of spectrometer was highly efficient. Using CODE V software, complex high-order aberration equations need not be solved, the result can be evaluated quickly, flat field and resolving power can be kept in balance, and the work efficiency is also enhanced. A paradigm of flat field holographic concave grating is given, it works between 900 nm to 1 700 nm, the diameter of the concave grating is 25 mm, and F/ # is 1. 5. The design result was analyzed and evaluated. It was showed that if the slit source, whose width is 50 microm, is used to reconstruction, the theoretic resolution capacity is better than 6.3 nm.

  5. Satisfaction of Dental Students, Faculty, and Patients with Tooth Shade-Matching Using a Spectrophotometer.

    Science.gov (United States)

    Ballard, Erin; Metz, Michael J; Harris, Bryan T; Metz, Cynthia J; Chou, Jang-Ching; Morton, Dean; Lin, Wei-Shao

    2017-05-01

    The aims of this study were to evaluate dental students' clinical shade-matching outcomes (from subjective use of shade guide) with an objective electronic shade-matching tool (spectrophotometer); to assess patients', students', and supervising faculty members' satisfaction with the clinical shade-matching outcomes; and to assess clinicians' support for use of the spectrophotometer to improve esthetic outcomes. A total of 103 volunteer groups, each consisting of patient, dental student, and supervising faculty member at the University of Louisville, were recruited to participate in the study in 2015. Using the spectrophotometer, clinical shade-matching outcome (ΔE clinical ) and laboratory shade-matching outcome (ΔE laboratory ) were calculated. Two five-point survey items were used to assess the groups' satisfaction with the clinical shade-matching outcome and support for an objective electronic shade-matching tool in the student clinic. The results showed that both ΔE clinical (6.5±2.4) and ΔE laboratory (4.3±2.0) were outside the clinical acceptability threshold ΔE values of 2.7, when visual shade-matching method (subjective usage of shade guide) was used to fabricate definitive restorations. Characteristics of the patients, dental students, supervising faculty members, and restorations had minimal to no effect on the ΔE clinical The patients, dental students, and supervising faculty members generally had positive opinions about the clinical shade-matching outcome, despite the increased ΔE clinical observed. Overall, clinical shade-matching outcomes in this school need further improvement, but the patients' positive opinions may indicate the need to revisit the acceptability threshold ΔE value of 2.7 in the academic setting.

  6. From an Appraisal of Iranian ESP Courses to Curriculum Development

    Directory of Open Access Journals (Sweden)

    Ali Khodi

    2016-02-01

    Full Text Available The applicability of second language instruction to various situations including academic settings provides great opportunities for students and researchers to access fresh sources of knowledge. This is extended to the majority of Iranian students receiving courses such as English for Specific Purposes (ESP and English for Academic Purposes (EAP who may not find instruction compatible with their needs and aspirations. The present study intends to provide an insight into the current status of ESP and EAP courses within the Iranian context. To this end, 120 male and female university postgraduate students majoring in various non-English fields were selected and given a survey questionnaire to report on their needs and on the quality of the language courses presented to them. The results of the statistical analysis showed that 44.2 % of students believe that listening comprehension is the most required skill followed by reading, speaking and writing skills at 41.7%, 39.2% and 17% of frequency respectively. Meanwhile, the most satisfying ESP and EAP skills currently presented at universities were found to be listening, speaking and reading, in order of preference. In fact, it seems that the current instructional trend ought to be discontinued or modified in various ways. Finally, a comprehensive analytical analysis of the needs and components of each skill has been provided, discussing the potential of the current research for improving ESP and EAP instruction inside Iran.

  7. A UV-Vis photoacoustic spectrophotometer.

    Science.gov (United States)

    Wiegand, Joseph R; Mathews, L Dalila; Smith, Geoffrey D

    2014-06-17

    A novel photoacoustic spectrophotometer (PAS) for the measurement of gas-phase and aerosol absorption over the UV-visible region of the spectrum is described. Light from a broadband Hg arc lamp is filtered in eight separate bands from 300 to 700 nm using bandpass interference filters (centered at 301 nm, 314 nm, 364 nm, 405 nm, 436 nm, 546 nm, 578 and 687 nm) and modulated with an optical chopper before entering the photoacoustic cell. All wavelength bands feature a 20-s detection limit of better than 3.0 Mm(-1) with the exception of the lower-intensity 687 nm band for which it is 10.2 Mm(-1). Validation measurements of gas-phase acetone and nigrosin aerosol absorption cross sections at several wavelengths demonstrate agreement to within 10% with those measured previously (for acetone) and those predicted by Mie theory (for nigrosin). The PAS instrument is used to measure the UV-visible absorption spectrum of ambient aerosol demonstrating a dramatic increase in the UV region with absorption increasing by 300% from 405 to 301 nm. This type of measurement throughout the UV-visible region and free from artifacts associated with filter-based methods has not been possible previously, and we demonstrate its promise for classifying and quantifying different types of light-absorbing ambient particles.

  8. Near-IR laser-based spectrophotometer for comparative analysis of isotope content of CO2 in exhale air samples

    International Nuclear Information System (INIS)

    Stepanov, E V; Glushko, A N; Kasoev, S G; Koval', A V; Lapshin, D A

    2011-01-01

    We present a laser spectrophotometer aimed at high-accuracy comparative analysis of content of 12 CO 2 and 13 CO 2 isotope modifications in the exhale air samples and based on a tunable near-IR diode laser (2.05 μm). The two-channel optical scheme of the spectrophotometer and the special digital system for its control are described. An algorithm of spectral data processing aimed at determining the difference in the isotope composition of gas mixtures is proposed. A few spectral regions (near 4880 cm -1 ) are determined to be optimal for analysis of relative content of 12 CO 2 and 13 CO 2 in the exhale air. The use of the proposed spectrophotometer scheme and the developed algorithm makes the results of the analysis less susceptible to the influence of the interference in optical elements, to the absorption in the open atmosphere, to the slow drift of the laser pulse envelope, and to the offset of optical channels. The sensitivity of the comparative analysis of the isotope content of CO 2 in exhale air samples, achieved using the proposed scheme, is estimated to be nearly 0.1‰.

  9. A new species and redescriptions of three species of Atractosomus Lacordaire from Brazil (Elateridae, Elaterinae Uma espécie nova e redescrições de três espécies de Atractosomus Lacordaire do Brasil (Elateridae, Elaterinae

    Directory of Open Access Journals (Sweden)

    Sônia A. Casari

    2012-12-01

    Full Text Available A new species, Atractosomus amazonicus sp. nov. is described and three species, A. robustus Candèze, 1859, A. carinatus Candèze, 1859 and A. conicicollis Candèze, 1859 are redescribed and illustrated. A comparison among these species and with the type-species, A. flavescens (Germar 1839 is presented. A.amazonicus sp. nov. and A. robustus belong to group of species with 3rd and 4th antennomeres equal in size and the other studied species, to group with 3rd antennomere smaller than 4th.Uma espécie nova, Atractosomus amazonicus sp. nov. é descrita e três espécies, A. robustus Candèze, 1859, A. carinatus Candèze, 1859 e A. conicicollis Candèze, 1859 são redescritas e ilustradas. É apresentada a comparação entre essas espécies e com a espécie-tipo do gênero, A. flavescens (Germar 1839. A.amazonicus sp. nov. e A. robustus pertecem ao grupo de espécies com 3º e 4º antenômeros iguais em comprimento e as demais espécies estudadas, ao grupo de espécies com 3º antenômero menor que o 4º.

  10. Measurements of EUV coronal holes and open magnetic flux

    International Nuclear Information System (INIS)

    Lowder, C.; Qiu, J.; Leamon, R.; Liu, Y.

    2014-01-01

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10 22 Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10 22 Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  11. CORONAL MAGNETIC FIELDS DERIVED FROM SIMULTANEOUS MICROWAVE AND EUV OBSERVATIONS AND COMPARISON WITH THE POTENTIAL FIELD MODEL

    Energy Technology Data Exchange (ETDEWEB)

    Miyawaki, Shun; Nozawa, Satoshi [Department of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Iwai, Kazumasa; Shibasaki, Kiyoto [Nobeyama Solar Radio Observatory, National Astronomical Observatory of Japan, Minamimaki, Nagano 384-1305 (Japan); Shiota, Daikou, E-mail: shunmi089@gmail.com [Solar-Terrestrial Environment Laboratory, Nagoya University, Nagoya, Aichi 464-8601 (Japan)

    2016-02-10

    We estimated the accuracy of coronal magnetic fields derived from radio observations by comparing them to potential field calculations and the differential emission measure measurements using EUV observations. We derived line-of-sight components of the coronal magnetic field from polarization observations of the thermal bremsstrahlung in the NOAA active region 11150, observed around 3:00 UT on 2011 February 3 using the Nobeyama Radioheliograph at 17 GHz. Because the thermal bremsstrahlung intensity at 17 GHz includes both chromospheric and coronal components, we extracted only the coronal component by measuring the coronal emission measure in EUV observations. In addition, we derived only the radio polarization component of the corona by selecting the region of coronal loops and weak magnetic field strength in the chromosphere along the line of sight. The upper limits of the coronal longitudinal magnetic fields were determined as 100–210 G. We also calculated the coronal longitudinal magnetic fields from the potential field extrapolation using the photospheric magnetic field obtained from the Helioseismic and Magnetic Imager. However, the calculated potential fields were certainly smaller than the observed coronal longitudinal magnetic field. This discrepancy between the potential and the observed magnetic field strengths can be explained consistently by two reasons: (1) the underestimation of the coronal emission measure resulting from the limitation of the temperature range of the EUV observations, and (2) the underestimation of the coronal magnetic field resulting from the potential field assumption.

  12. Negative-tone imaging with EUV exposure for 14nm hp and beyond

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Fujimori, Toru; Momota, Makoto; Goto, Takahiro

    2015-03-01

    Manipulation of dissolution properties by changing organic solvent developer and rinse material provides a novel technology to obtain fine pattern beyond the limitation of imaging system based on alkaline developer. QCM study showed no swelling character in negative-tone imaging (NTI) process even for current developer of n-butyl acetate (nBA). Actually, NTI process has shown advantages on resolution and line-width roughness (LWR) in loose pitch around 30 ~ 45 nm hp as a consequence of its non-swelling character. On the other hand, bridge and collapse limited its resolution below 20 nm hp, indicating that non-negligible amount of swelling still exists for tight pitch resolution. We investigated effects of solubility parameter of organic solvents on resolution below 20 nm hp. A bridge was reduced with a decrease in the solubility parameter dp from nBA. On the other hand, much lower dp caused film remaining due to its extremely slow Rmax. Based on these results, we newly developed FN-DP301 containing organic solvent with smaller dp than nBA. Although rinse solvent gave negligible effects on bridge, there is a clear improvement on pattern collapse only in case of using new rinse solvent of FN-RP311. Lithographic performances of NTI process using nBA and FN-DP301 together with the other organic solvents were described in this paper under exposures with an E-beam and a EUV light. It is emphasized that 14 nm hp resolution was obtained only using FN-DP301 as a developer and FN-RP311 as a rinse under E-beam exposure. NTI showed 43% faster photospeed in comparison with PTI at 16 nm hp, indicating that NTI is applicable to obtain high throughput with maintaining resolution. In addition, sub-20 nm trench was obtained using NTI without bridge under EUV exposure, all of which are attributed to the low swelling character of NTI process. Similarly, NTI was able to print 20 nm dots using NXE:3100 with only a little peeling. Conversely CH patterning was significantly worse with NTI

  13. Uncooled Radiation Hard Large Area SiC X-ray and EUV Detectors and 2D Arrays, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — This project seeks to design, fabricate, characterize and commercialize large area, uncooled and radiative hard 4H-SiC EUV ? soft X-ray detectors capable of ultra...

  14. Heterothalamus rupestris, espécie nova de Asteraceae do Rio Grande do Sul.

    Directory of Open Access Journals (Sweden)

    Leonardo Paz Deble

    2010-08-01

    Full Text Available Na revisão botânica do gênero Heterothalamus Less., foi descoberta uma nova espécie, endêmica da Serra do Sudeste do Rio Grande do Sul (Brasil que, a seguir, é descrita, ilustrada e comparada com sua espécie afim.

  15. Espécies da Caatinga como alternativa para o desenvolvimento de novos fitofármacos

    Directory of Open Access Journals (Sweden)

    Lécio Resende Pereira Júnior

    Full Text Available Grande parte do semiárido brasileiro encontra-se em avançado processo de degradação e poucos estudos direcionam seu desenvolvimento em consonância com essa realidade. Diante deste contexto, foi realizado um levantamento etnobotânico, a fim de subsidiar pesquisas sobre fitofármacos ocorrentes em espécies da Caatinga. Para tal, foram aplicados questionários a 256 famílias, visando a documentar o conhecimento local referente às espécies arbustivo-arbóreas utilizadas como medicinais pela população rural do município de Monteiro-PB. Procurou-se, por meio do levantamento florístico de um fragmento florestal, com aproximadamente 30 anos de conservação, verificar a distribuição das espécies citadas nas entrevistas. Constataram-se 37 espécies identificadas no fragmento florestal avaliado e 38 no levantamento etnobotânico, com 14 espécies em comum entre os levantamentos. As espécies Myracrodruon urundeuva, Sideroxylon obtusifolium, Amburana cearensis, Triplaris gardneriana, Anadenanthera colubrina, Hymenaea courbaril e Bauhinia cheilantha apresentaram-se promissoras para pesquisas voltadas à obtenção de fitofármacos.

  16. Actinic inspection of EUV reticles with arbitrary pattern design

    Science.gov (United States)

    Mochi, Iacopo; Helfenstein, Patrick; Rajeev, Rajendran; Fernandez, Sara; Kazazis, Dimitrios; Yoshitake, Shusuke; Ekinci, Yasin

    2017-10-01

    The re ective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic mask inspection capabilities for defects and patterns with high resolution and high throughput, for 7 nm node and beyond. Here we, will report on our progress and present the results on programmed defect detection on random, logic-like patterns. The defects we investigated range from 200 nm to 50 nm size on the mask. We demonstrated the ability of RESCAN to detect these defects in die-to-die and die-to-database mode with a high signal to noise ratio. We also describe future plans for the upgrades to increase the resolution, the sensitivity, and the inspection speed of the demo tool.

  17. Gibbsian segregating alloys driven by thermal and concentration gradients: A potential grazing collector optics used in EUV lithography

    Science.gov (United States)

    Qiu, Huatan

    A critical issue for EUV lithography is the minimization of collector degradation from intense plasma erosion and debris deposition. Reflectivity and lifetime of the collector optics will be heavily dependent on surface chemistry interactions between fuels and various mirror materials, in addition to high-energy ion and neutral particle erosion effects. An innovative Gibbsian segregation (GS) concept has been developed for being a self-healing, erosion-resistant collector optics. A Mo-Au GS alloy is developed on silicon using a DC dual-magnetron co-sputtering system in order for enhanced surface roughness properties, erosion resistance, and self-healing characteristics to maintain reflectivity over a longer period of mirror lifetime. A thin Au segregating layer will be maintained through segregation during exposure, even though overall erosion is taking place. The reflective material, Mo, underneath the segregating layer will be protected by this sacrificial layer which is lost due to preferential sputtering. The two dominant driving forces, thermal (temperature) and surface concentration gradient (surface removal flux), are the focus of this work. Both theoretical and experimental efforts have been performed to prove the effectiveness of the GS alloy used as EUV collection optics, and to elucidate the underlying physics behind it. The segregation diffusion, surface balance, erosion, and in-situ reflectivity will be investigated both qualitatively and quantitatively. Results show strong enhancement effect of temperature on GS performance, while only a weak effect of surface removal rate on GS performance. When equilibrium between GS and erosion is reached, the surface smoothness could be self-healed and reflectivity could be maintained at an equilibrium level, instead of continuously dropping down to an unacceptable level as conventional optic mirrors behave. GS process also shows good erosion resistance. The effectiveness of GS alloy as EUV mirror is dependent on

  18. TIMOC-ESP, Time-Dependent Response Function by Monte-Carlo with Interface to Program TIMOC-72

    International Nuclear Information System (INIS)

    Jaarsma, R.; Perlando, J.M.; Rief, H.

    1981-01-01

    1 - Description of problem or function: TIMOC-ESP is an 'Event Scanning Program' to analyse the events (collision or boundary crossing parameters) of Monte Carlo particle transport problems. It is a modular program and belongs to the TIMOC code system. Whilst TIMOC-72 deals with stationary problems, the time-dependence is dealt with in ESP. TIMOC-ESP is primarily designed to calculate the time-dependent response functions such as energy-dependent fluxes and currents at interfaces. 2 - Method of solution: The output of TIMOC-72 is transferred to TIMOC-ESP using a data set which acts as an interface between the two programs. Time dependent transport events are sampled at each crossing of any specified boundary in TIMOC. TIMOC-72 provides the parameters for ESP which are: - time of the event; - neutron weight; - cosine of the angle between the flight direction and the normal to the surface; - the indices of both regions; - the history number. Fundamentally, three time options are permitted by ESP, which give the current, the angular flux and the time-integrated flux functions between two specified regions. An eventual extension to other quantities is simple and straight- forward - ESP will accept input data for other options such as the calculation of the point flux, the collision density and the flux derived from this estimator, but the coding required for these calculations has yet to be implemented (1977). 3 - Restrictions on the complexity of the problem: The number of parameters must be between 5 and 50. The number of time intervals is at most 50

  19. X-ray spectrophotometer SphinX and particle spectrometer STEP-F of the satellite experiment CORONAS-PHOTON. Preliminary results of the joint data analysis

    Science.gov (United States)

    Dudnik, O. V.; Podgorski, P.; Sylwester, J.; Gburek, S.; Kowalinski, M.; Siarkowski, M.; Plocieniak, S.; Bakala, J.

    2012-04-01

    A joint analysis is carried out of data obtained with the help of the solar X-ray SphinX spectrophotometer and the electron and proton satellite telescope STEP-F in May 2009 in the course of the scientific space experiment CORONAS-PHOTON. In order to determine the energies and particle types, in the analysis of spectrophotometer records data are used on the intensities of electrons, protons, and secondary γ-radiation, obtained by the STEP-F telescope, which was located in close proximity to the SphinX spectrophotometer. The identical reaction of both instruments is noted at the intersection of regions of the Brazilian magnetic anomaly and the Earth's radiation belts. It is shown that large area photodiodes, serving as sensors of the X-ray spectrometer, reliably record electron fluxes of low and intermediate energies, as well as fluxes of the secondary gamma radiation from construction materials of detector modules, the TESIS instrument complex, and the spacecraft itself. The dynamics of electron fluxes, recorded by the SphinX spectrophotometer in the vicinity of a weak geomagnetic storm, supplements the information about the processes of radial diffusion of electrons, which was studied using the STEP-F telescope.

  20. PC based data system for infrared spectrophotometer PU-9512

    International Nuclear Information System (INIS)

    Ali, Mohd Yakub; Venkiteswaran, S.; Nagar, M.S.

    2000-08-01

    This report summarises the upgrading of an old Infra Red Spectrophotometer using a pc for control, data acquisition, storage and analysis. The hardware link is made through RS232C serial port. The control and data management is achieved through software. A brief account of the facilities provided and versatility of the analysis package which was developed in-house in a user friendly menu driven fashion is given here. This is supported by the analysis and inter comparison of infra red spectra of organic/inorganic reactants and products. It has specific application in synthesis and characterization of new extractants, solvents, actinide and lanthanide complexes and in the study of thermally and radiolytically degraded products. (author)

  1. Estimation of total alkaloid in Chitrakadivati by UV-Spectrophotometer.

    Science.gov (United States)

    Ajanal, Manjunath; Gundkalle, Mahadev B; Nayak, Shradda U

    2012-04-01

    Herbal formulation standardization by adopting newer technique is need of the hour in the field of Ayurvedic pharmaceutical industry. As very few reports exist. These kind of studies would certainly widen the herbal research area. Chitrakadivati is one such popular herbal formulation used in Ayurveda. Many of its ingredients are known for presence of alkaloids. Presence of alkaloid was tested qualitatively by Dragondroff's method then subjected to quantitative estimation by UV-Spectrophotometer. This method is based on the reaction between alkaloid and bromocresol green (BCG). Study discloses that out of 16 ingredients, 9 contain alkaloid. Chitrakadivati has shown 0.16% of concentration of alkaloid and which is significantly higher than it's individual ingredients.

  2. Good practice guide for ESP-r developers

    NARCIS (Netherlands)

    Hensen, J.L.M.

    1991-01-01

    This report sets out to be a ‘good practice guide’ for researchers involved in the development of the ESP-r building and plant simulation environment, as this is currently under development at various research centres throughout Europe. After an introduction to the background for this work, the

  3. AN EXPLORATION OF THE MAIN DIFFICULTIES, CHALLENGES AND REQUIREMENTS OF THE ESP TEACHING SITUATION IN ALGERIA: THE CASE OF ESP TEACHERS AT ABOU BEKR BELKAID UNIVERSITY, TLEMCEN

    OpenAIRE

    MEBITIL, Nawal

    2011-01-01

    This current study seeks to shed light on the main issues our language teachers encounter in an ESP teaching situation when exploring the land of ESP, particularly at the level of Abou Bekr Belkaid University, with close reference to those teachers working at the three following faculties: faculty of Exact Sciences, faculty of Economics and Commercial Sciences and faculty of Law and Political Sciences. The strategies they adopt or adapt to overcome their difficulties while at practice. Th...

  4. Image plane detector spectrophotometer - Application to O2 atmospheric band nightglow

    Science.gov (United States)

    Luo, Mingzhao; Yee, Jeng-Hwa; Hays, Paul B.

    1988-01-01

    A new variety of low resolution spectrometer is described. This device, an image plane detector spectrophotometer, has high sensitivity and modest resolution sufficient to determine the rotational temperature and brightness of molecular band emissions. It uses an interference filter as a dispersive element and a multichannel image plane detector as the photon collecting device. The data analysis technqiue used to recover the temperature of the emitter and the emission brightness is presented. The atmospheric band of molecular oxygen is used to illustrate the use of the device.

  5. FIRST MEASUREMENTS OF THE MASS OF CORONAL MASS EJECTIONS FROM THE EUV DIMMING OBSERVED WITH STEREO EUVI A+B SPACECRAFT

    International Nuclear Information System (INIS)

    Aschwanden, Markus J.; Nitta, Nariaki V.; Wuelser, Jean-Pierre; Lemen, James R.; Sandman, Anne; Vourlidas, Angelos; Colaninno, Robin C.

    2009-01-01

    The masses of coronal mass ejections (CMEs) have traditionally been determined from white-light coronagraphs (based on Thomson scattering of electrons), as well as from extreme ultraviolet (EUV) dimming observed with one spacecraft. Here we develop an improved method of measuring CME masses based on EUV dimming observed with the dual STEREO/EUVI spacecraft in multiple temperature filters that includes three-dimensional volume and density modeling in the dimming region and background corona. As a test, we investigate eight CME events with previous mass determinations from STEREO/COR2, of which six cases are reliably detected with the Extreme Ultraviolet Imager (EUVI) using our automated multi-wavelength detection code. We find CME masses in the range of m CME = (2-7) x 10 15 g. The agreement between the two EUVI/A and B spacecraft is m A /m B = 1.3 ± 0.6 and the consistency with white-light measurements by COR2 is m EUVI /m COR2 = 1.1 ± 0.3. The consistency between EUVI and COR2 implies no significant mass backflows (or inflows) at r sun and adequate temperature coverage for the bulk of the CME mass in the range of T ∼ 0.5-3.0 MK. The temporal evolution of the EUV dimming allows us to also model the evolution of the CME density n e (t), volume V(t), height-time h(t), and propagation speed v(t) in terms of an adiabatically expanding self-similar geometry. We determine e-folding EUV dimming times of t D = 1.3 ± 1.4 hr. We test the adiabatic expansion model in terms of the predicted detection delay (Δt ∼ 0.7 hr) between EUVI and COR2 for the fastest CME event (2008 March 25) and find good agreement with the observed delay (Δt ∼ 0.8 hr).

  6. Towards a Mobile Ecogenomic sensor: the Third Generation Environmental Sample Processor (3G-ESP).

    Science.gov (United States)

    Birch, J. M.; Pargett, D.; Jensen, S.; Roman, B.; Preston, C. M.; Ussler, W.; Yamahara, K.; Marin, R., III; Hobson, B.; Zhang, Y.; Ryan, J. P.; Scholin, C. A.

    2016-02-01

    Researchers are increasingly using one or more autonomous platforms to characterize ocean processes that change in both space and time. Conceptually, studying processes that change quickly both spatially and temporally seems relatively straightforward. One needs to sample in many locations synoptically over time, or follow a coherent water mass and sample it repeatedly. However, implementing either approach presents many challenges. For example, acquiring samples over days to weeks far from shore, without human intervention, requires multiple systems to work together seamlessly, and the level of autonomy, navigation and communications needed to conduct the work exposes the complexity of these requirements. We are addressing these challenges by developing a new generation of robotic systems that are primarily aimed at studies of microbial-mediated processes. As a step towards realizing this new capability, we have taken lessons learned from our second-generation Environmental Sample Processor (2G-ESP), a robotic microbiology "lab-in-a-can" and have re-engineered the system for use on a Tethys-class Long Range AUV (LRAUV). The new instrument is called the third-generation ESP (3G-ESP), and its integration with the LRAUV provides mobility and a persistent presence not seen before in microbial oceanography. The 3G-ESP autonomously filters a water sample and then either preserves that material for eventual return to a laboratory, or processes the sample in real-time for further downstream molecular analytical analyses. The 3G ESP modularizes hardware needed for the collection and preparation of a sample from subsequent molecular analyses by the use of self-contained "cartridges". Cartridges currently come in two forms: one for the preservation of a sample, and the other for onboard homogenization and handoff for downstream processing via one or more analytical devices. The 3G-ESP is designed as a stand-alone instrument, and thus could be deployed on a variety of

  7. Differences between the human eye and the spectrophotometer in the shade matching of tooth colour.

    Science.gov (United States)

    Gómez-Polo, Cristina; Gómez-Polo, Miguel; Celemin-Viñuela, Alicia; Martínez Vázquez De Parga, Juan Antonio

    2014-06-01

    The aim of this work was to assess the agreement between instrumental and visual colour matching. Shade selection with the 3DMaster Toothguide (Vita-Zahnfabrik) was performed for 1361 maxillary central incisors and compared with the shade obtained with the EasyShade Compact (Vita-Zahnfabrik) spectrophotometer. We observed a greater correlation between the objective method and the subjective one in the colour dimension of lightness (Kappa 0.6587), followed by hue (Kappa 0.4337) and finally chroma (Kappa 0.3578). The colour dimension in which the greatest agreement is seen between the operator and the spectrophotometer is value or lightness. This study reveals differences between the measurement of colour via spectrophotometry and the visual shade selection method. According to our results, there is better agreement in the value or lightness colour dimension, which is the most important one in the choice of tooth colour. Copyright © 2014 Elsevier Ltd. All rights reserved.

  8. O espírito da paisagem

    Directory of Open Access Journals (Sweden)

    CARLOS FERNANDO DE MOURA DELPHIM

    2010-06-01

    Full Text Available Desde a Antiguidade, a humanidade acredita que os sítios e paisagens, além de suas características físicas, seriam animados por presenças imateriais, o espírito dos lugares. A moderna preservação do patrimônio cultural reconhece a necessidade de se preservar, junto com os bens imateriais, essas entidades.

  9. PERSISTENCE MAPPING USING EUV SOLAR IMAGER DATA

    Energy Technology Data Exchange (ETDEWEB)

    Thompson, B. J. [NASA Goddard Space Flight Center, Code 671, Greenbelt, MD 20771 (United States); Young, C. A., E-mail: barbara.j.thompson@nasa.gov [NASA Goddard Space Flight Center, Code 670, Greenbelt, MD 20771 (United States)

    2016-07-01

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call “Persistence Mapping,” to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or “time-lapse” imaging uses the full sample (of size N ), Persistence Mapping rejects ( N − 1)/ N of the data set and identifies the most relevant 1/ N values using the following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.

  10. Photoionization of atoms and molecules by intense EUV-FEL pulses and FEL seeded by high-order harmonic of ultrashort laser pulses

    International Nuclear Information System (INIS)

    Iwasaki, Atsushi; Owada, Shigeki; Yamanouchi, Kaoru; Sato, Takahiro; Nagasono, Mitsuru; Yabashi, Makina; Ishikawa, Tetsuya; Togashi, Tadashi; Takahashi, Eiji J.; Midorikawa, Katsumi; Aoyama, Makoto; Yamakawa, Koichi; Kannari, Fumihiko; Yagishita, Akira

    2012-01-01

    The advantages of SPring-8 Compact SASE Source as a light source for spectroscopic measurements in the extreme ultraviolet (EUV) wavelength region are introduced by referring to our recent study of non-linear photoionization processes of He, in which the absolute two-photon ionization cross sections of He at four different wavelengths in the 54 - 62 nm region were determined using intense pulses of the free-election laser (FEL). In addition, our recent effort to generate intense full-coherent EUV light pulses are introduced, in which significant amplification of the 13th harmonic of ultrashort laser pulses at 800 nm was achieved by FEL seeded with the 13th harmonic. (author)

  11. Characterization and decant of Tank 42H sludge sample ESP-200

    International Nuclear Information System (INIS)

    Hay, M.S.

    2000-01-01

    DWPF Engineering requested that the Savannah River Technology Center (SRTC) provide a demonstration of the DWPF flowsheet on sludge from Tank 42H in the Shielded Cell facility. A 5 liter sample of the Tank 42H sludge (ESP-200), obtained with the tank contents fully mixed, arrived at SRTC on January 20, 1998. This report details receipt of the 5 liter sample at SRTC, the decant of the sample, and the characterization of the pre- and post-decant Tank 42H sludge. Evaluation of the measured composition of the supernate indicates Sample ESP-200 became diluted approximately 20 percent by volume prior to receipt. This dilution complicates the relationship of the characterization of Post-Decant ESP-200 to the current contents of Tank 42H. For the purposes of modeling the current tank contents of Tank 42H, this report provides an estimated composition based on analytical data of recent samples from Tank 42H

  12. Characterization and decant of Tank 42H sludge sample ESP-200

    Energy Technology Data Exchange (ETDEWEB)

    Hay, M.S.

    2000-04-25

    DWPF Engineering requested that the Savannah River Technology Center (SRTC) provide a demonstration of the DWPF flowsheet on sludge from Tank 42H in the Shielded Cell facility. A 5 liter sample of the Tank 42H sludge (ESP-200), obtained with the tank contents fully mixed, arrived at SRTC on January 20, 1998. This report details receipt of the 5 liter sample at SRTC, the decant of the sample, and the characterization of the pre- and post-decant Tank 42H sludge. Evaluation of the measured composition of the supernate indicates Sample ESP-200 became diluted approximately 20 percent by volume prior to receipt. This dilution complicates the relationship of the characterization of Post-Decant ESP-200 to the current contents of Tank 42H. For the purposes of modeling the current tank contents of Tank 42H, this report provides an estimated composition based on analytical data of recent samples from Tank 42H.

  13. Atualização da nomenclatura de espécies do gênero Heliconia (Heliconiaceae.

    Directory of Open Access Journals (Sweden)

    Carlos Eduardo Ferreira de Castro

    2007-06-01

    Full Text Available As helicônias constituem um grupo de plantas cujo cultivo vem se intensificando devido ao crescente mercado. Porém, o uso incorreto da denominação para as espécies que vêm sendo cultivadas tem ocasionado alguns problemas ao produtor, como a decisão sobre adequadas técnicas de cultivo e manejo fitossanitário a serem adotadas, uma vez que o grupo é muito extenso e as exigências de nutrição mineral, espaçamento, condições de cultivo e ocorrência de pragas e doenças variam conforme a espécie considerada. Essa incorreção tem também ocasionado alguns entraves referentes às relações comerciais que envolvem a venda de mudas. Muitas vezes, seguindo nomenclaturas inadequadas ou nomes populares e/ou de variedades, o produtor rural pensa estar adquirindo mudas de determinada espécie, adaptada à sua região de cultivo e recebe um outro produto que poderá não ter uma produção satisfatória, ocorrendo prejuízos. O estudo baseou-se principalmente em informações obtidas em trabalhos originais publicados em periódicos nacionais e internacionais e livros sobre helicônias publicados entre 1880 e 2002 com descrições de espécies de helicônias. A interpretação dos dados compilados permitiu estipular 176 espécies de helicônias, de ocorrência na região neotropical e seis espécies nas Ilhas do Pacífico, perfazendo 182 espécies. O maior número de espécies e subespécies descritas tem ocorrência natural na Colômbia (94, seguindo em ordem decrescente Equador (60 Panamá (56, Costa Rica (47, Brasil (37, Peru (32, Venezuela (26, Nicarágua (22, Guatemala (16, Bolívia (15, Honduras e México (14 e Suriname (13. Das 182 espécies elencadas, 94 são registradas como endêmicas de determinada região, o que aponta uma grande fragilidade do gênero com relação à conservação de germoplasma. Face ao elevado grau de endemismo recomenda-se urgência no estabelecimento de um programa nacional/internacional que vise à coleta e

  14. AESoP: Astronomical Extinction Spectrophotometer

    Science.gov (United States)

    Linford, Justin; McGraw, J.; Zimmer, P.; Ackermann, M.; Fitch, J.

    2009-01-01

    The Earth's atmosphere is a major obstruction to the precision and accuracy of ground-based photometry. The atmosphere removes light from astronomical objects both by absorption and scattering by constituent molecules, aerosols and clouds. These effects can change significantly over short time periods and over modest angles on the sky. To further understand these effects, the UNM Measurement Astrophysics Group has designed, built and recently deployed the Astronomical Extinction Spectrophotometer (AESoP), a 100mm objective grating spectrometer. By monitoring bright stars in sensibly the same direction as a larger photometric telescope is observing, AESoP will measure the wavelength-dependent extinction due to the Earth's atmosphere from 450nm to 900nm on time scales of approximately one minute. The collocated Astronomical LIDAR for Extinction (ALE) provides a high-precision monochromatic extinction measurement at 527nm. Knowing the extinction at a single wavelength allows us to pin the relative spectra generated by AESoP. These extinction spectra can then be integrated over the bandpass of the photometric telescope system to create real time corrections of observations. We present the design and construction of AESoP along with the preliminary results of our first combined observing campaign. This effort is our first step toward breaking the 1% photometry barrier. This project is funded by AFRL Grant FA9451-04-2-0355

  15. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik; Ouyang, Christine; Krysak, Marie; Trikeriotis, Markos; Cho, Kyoungyoung; Giannelis, Emmanuel P.; Ober, Christopher K.

    2013-01-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  16. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik

    2013-04-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  17. Development of a new reference spectrophotometer

    International Nuclear Information System (INIS)

    Zwinkels, J.C.; Gignac, D.S.

    1989-01-01

    A new reference spectrophotometer is being developed at the National Research Council of Canada (NRCC) for high-accuracy transmittance measurements over the spectral range 200 to 250 nm. This computerized instrument is a single-beam design based upon a servomotor-driven double monochromator with a wavelength resolution of 0.022 nm. The other main components are: two interchangeable sources (deuterium and tungsten-halogen), two computer-selectable TE-cooled detectors (GaAs photomultiplier tube and PbS cell), all-reflective input and exit optics, and a large sample compartment. The significant feature of the optical system is a large, well-collimated measurement beam: the angle of convergence is 0.7 degrees for a slit height of 7 mm, and the maximal beam size is 37 x 20 mm. This beam geometry eliminates the need for polarization corrections (using linearly polarized light) or compensation for spatially non-uniform detector responsivity (using averaging sphere). The paper describes the instrument design and presents data from preliminary performance tests. The systematic and random sources of error that have been investigated include: wavelength accuracy and reproducibility, bandpass, beam uniformity, polarization, stray light, system drift and linearity

  18. Determining the Absorbance Spectra of Photochromic Materials From Measured Spectrophotometer Data

    Science.gov (United States)

    Downie, John D.

    1998-01-01

    If a two-state photochromic material is optically bleached, the absorbance spectrum data measured by a spectrophotometer is in general comprised of components from both the ground state and the upper state. Under general conditions, it may be difficult to extract the actual upper state spectrum from the spectrum of the bleached material. A simple algorithm is presented here for the recovery of the pure absorbance spectra of the upper state of a material such as bacteriorhodopsin, given single wavelength bleaching illumination, steady-state conditions, and accurate knowledge of phototransition rates and thermal decay rates.

  19. Information-measurement and control system of the five-channel stellar spectrophotometer

    International Nuclear Information System (INIS)

    Granitskij, L.V.; Bukach, A.B.; Kaplin, Yu.V.; Bondarenko, V.P.; Smirnov, A.I.

    1979-01-01

    The multichannel information-measurement control system of the five-channel stellar spectrophotometer working in photon counting regime is described. The detecting part is synthesized taking into account the principles of multifunctional use of elements of the system. In the part of the photometer scanning mechanism control a discrete drive with the step motor is used. The data are detected on the punched tape, which is convenient for putting them into computer, into a digit printing device with the decimal code or on a diagram tape of the automatic potentiometer

  20. Extending CO2 cryogenic aerosol cleaning for advanced optical and EUV mask cleaning

    Science.gov (United States)

    Varghese, Ivin; Bowers, Charles W.; Balooch, Mehdi

    2011-11-01

    Cryogenic CO2 aerosol cleaning being a dry, chemically-inert and residue-free process is used in the production of optical lithography masks. It is an attractive cleaning option for the mask industry to achieve the requirement for removal of all printable soft defects and repair debris down to the 50nm printability specification. In the technique, CO2 clusters are formed by sudden expansion of liquid from high to almost atmospheric pressure through an optimally designed nozzle orifice. They are then directed on to the soft defects or debris for momentum transfer and subsequent damage free removal from the mask substrate. Unlike aggressive acid based wet cleaning, there is no degradation of the mask after processing with CO2, i.e., no critical dimension (CD) change, no transmission/phase losses, or chemical residue that leads to haze formation. Therefore no restriction on number of cleaning cycles is required to be imposed, unlike other cleaning methods. CO2 aerosol cleaning has been implemented for several years as full mask final clean in production environments at several state of the art mask shops. Over the last two years our group reported successful removal of all soft defects without damage to the fragile SRAF features, zero adders (from the cleaning and handling mechanisms) down to a 50nm printability specification. In addition, CO2 aerosol cleaning is being utilized to remove debris from Post-RAVE repair of hard defects in order to achieve the goal of no printable defects. It is expected that CO2 aerosol cleaning can be extended to extreme ultraviolet (EUV) masks. In this paper, we report advances being made in nozzle design qualification for optimum snow properties (size, velocity and flux) using Phase Doppler Anemometry (PDA) technique. In addition the two new areas of focus for CO2 aerosol cleaning i.e. pellicle glue residue removal on optical masks, and ruthenium (Ru) film on EUV masks are presented. Usually, the residue left over after the pellicle

  1. Uporaba platforme Arduino za izdelavo vozlišča IoT na vezju ESP8266

    OpenAIRE

    SELAN, MATEJ

    2016-01-01

    V diplomskem delu je predstavljena zasnova sistema za merjenje temperature in relativne vlage s pomočjo modula ESP8266 z brezžičnim spletnim dostopom do rezultatov meritev. Za merjenje temperature in vlažnosti skrbi digitalni merilni senzor DHT11, modul ESP8266 pa poleg osnovnih funkcij, potrebnih za prenos podatkov, zagotavlja tudi preprost strežnik za konfiguriranje. Sestavni deli naloge so analiza področja, modul ESP8266, serijski vmesnik FTDI, protokoli za povezovanje in ostala strojna op...

  2. Temperature and EUV Intensity in a Coronal Prominence Cavity and Streamer

    Science.gov (United States)

    Kucera, T. A.; Gibson, S.E.; Schmit, D. J.; Landi, E.; Tripathi, D.

    2012-01-01

    We analyze the temperature and EUV line emission of a coronal cavity and surrounding streamer in terms of a morphological forward model. We use a series of iron line ratios observed with the Hinode Extreme-ultraviolet Imaging Spectrograph (EIS) on 2007 Aug. 9 to constrain temperature as a function of altitude in a morphological forward model of the streamer and cavity. We also compare model prediction of the EIS EUV line intensities and polarized brightness (pB) data from the Mauna Loa Solar Observatory (MLSO) MK4. This work builds on earlier analysis using the same model to determine geometry of and density in the same cavity and streamer. The fit to the data with altitude dependent temperature profiles indicates that both the streamer and cavity have temperatures in the range 1.4-1.7 MK. However, the cavity exhibits substantial substructure such that the altitude dependent temperature profile is not sufficient to completely model conditions in the cavity. Coronal prominence cavities are structured by magnetism so clues to this structure are to be found in their plasma properties. These temperature substructures are likely related to structures in the cavity magnetic field. Furthermore, we find that the model overestimates the line intensities by a factor of 4-10, while overestimating pB data by no more than a factor of 1.4. One possible explanation for this is that there may be a significant amount of material at temperatures outside of the range log T(K) approximately equals 5.8 - 6.7 in both the cavity and the streamer.

  3. A novel technique to measure intensity fluctuations in EUV images and to detect coronal sound waves nearby active regions

    Science.gov (United States)

    Stenborg, G.; Marsch, E.; Vourlidas, A.; Howard, R.; Baldwin, K.

    2011-02-01

    Context. In the past years, evidence for the existence of outward-moving (Doppler blue-shifted) plasma and slow-mode magneto-acoustic propagating waves in various magnetic field structures (loops in particular) in the solar corona has been found in ultraviolet images and spectra. Yet their origin and possible connection to and importance for the mass and energy supply to the corona and solar wind is still unclear. There has been increasing interest in this problem thanks to the high-resolution observations available from the extreme ultraviolet (EUV) imagers on the Solar TErrestrial RElationships Observatory (STEREO) and the EUV spectrometer on the Hinode mission. Aims: Flows and waves exist in the corona, and their signatures appear in EUV imaging observations but are extremely difficult to analyse quantitatively because of their weak intensity. Hence, such information is currently available mostly from spectroscopic observations that are restricted in their spatial and temporal coverage. To understand the nature and origin of these fluctuations, imaging observations are essential. Here, we present measurements of the speed of intensity fluctuations observed along apparently open field lines with the Extreme UltraViolet Imagers (EUVI) onboard the STEREO mission. One aim of our paper is to demonstrate that we can make reliable kinematic measurements from these EUV images, thereby complementing and extending the spectroscopic measurements and opening up the full corona for such an analysis. Another aim is to examine the assumptions that lead to flow versus wave interpretation for these fluctuations. Methods: We have developed a novel image-processing method by fusing well established techniques for the kinematic analysis of coronal mass ejections (CME) with standard wavelet analysis. The power of our method lies with its ability to recover weak intensity fluctuations along individual magnetic structures at any orientation , anywhere within the full solar disk , and

  4. Detergents enhance EspB secretion from Escherichia coli strains harboring the locus for the enterocyte effacement (LEE) gene.

    Science.gov (United States)

    Nakasone, Noboru; Toma, Claudia; Higa, Naomi; Koizumi, Yukiko; Ogura, Yasunori; Suzuki, Toshihiko

    2011-02-01

    The effects of detergents (cholic acid, deoxycholic acid, Triton X-100, and Nonidet P-40) on the secretion of EspB from the locus for enterocyte effacement (LEE) gene-positive Escherichia coli strains were examined. Clinical isolates of eight EPEC strains and seven STEC strains were used to detect EspB after they had been cultivated in Luria-Bertani (LB) broth containing one of the detergents. When the bacteria were cultured in LB broth supplemented with one of the detergents, the amount of EspB produced was increased by 2-32-fold depending on the detergent and the strain used. EspB was detected in all strains when they were cultured in LB broth containing all of the detergents. The results obtained in this study can be applied to immunological diagnostic methods for detecting EspB and also to the production of EspB for research purposes. © 2010 Federation of European Microbiological Societies. Published by Blackwell Publishing Ltd. All rights reserved.

  5. Dissolution of heavy metals from electrostatic precipitator (ESP) dust ...

    African Journals Online (AJOL)

    SIBOO

    Key words: Fungal leaching, sponge iron, electrostatic precipitator (ESP) dust, metal dissolution. INTRODUCTION ... ability of micro organisms to transform solid compounds ..... of metals from spent lithium ion secondary batteries using A.

  6. A broad band X-ray imaging spectrophotometer for astrophysical studies

    Science.gov (United States)

    Lum, Kenneth S. K.; Lee, Dong Hwan; Ku, William H.-M.

    1988-01-01

    A broadband X-ray imaging spectrophotometer (BBXRIS) has been built for astrophysical studies. The BBXRIS is based on a large-imaging gas scintillation proportional counter (LIGSPC), a combination of a gas scintillation proportional counter and a multiwire proportional counter, which achieves 8 percent (FWHM) energy resolution and 1.5-mm (FWHM) spatial resolution at 5.9 keV. The LIGSPC can be integrated with a grazing incidence mirror and a coded aperture mask to provide imaging over a broad range of X-ray energies. The results of tests involving the LIGSPC and a coded aperture mask are presented, and possible applications of the BBXRIS are discussed.

  7. Formulacity in ESP Teaching: A Case of Doing a Balancing Act Between Form and Meaning

    Directory of Open Access Journals (Sweden)

    Gutowska Urszula

    2017-03-01

    Full Text Available Grammar teaching has never belonged to mainstream ESP teaching/ learning practices. However, this apparent lack of concern with grammar in ESP materials runs counter to both subjective and objective needs of ESP learners. The first part of the paper presents students′ views on deficiencies of coursebooks for teaching English for Medical Purposes (EMP as well as author’s reflective thinking on the needs of medical undergraduates of vocational schools in Poland. It is suggested that some of the deficiencies of the materials might be remedied and the students′ needs better taken care of if explicit teaching of formulaic language is introduced into ESP classroom environment. It is hypothesized that explicit teaching of formulaic language may stimulate grammar development in the long term and foster communicative competence of ESP learners in the short term. Therefore, the second part of the paper proposes pedagogically relavant classification of medical formulaic sequences motivated by the lexicogrammatical features of Medical English. The third part of the paper shows in what way the proposed classification of formulaic sequences might help teachers of medical English design tasks that are conducive to the development of formulaic competence of students of English for Medical Purposes.

  8. Interexaminer reliability in clinical measurement of L*C*h* values of anterior teeth using a spectrophotometer.

    Science.gov (United States)

    Hassel, Alexander J; Grossmann, Anne-christiane; Schmitter, Marc; Balke, Zibandeh; Buzello, Anja M

    2007-01-01

    The objective of this study was to investigate interexaminer reliability in the clinical measurement of the L*C*h* (lightness/value, chroma, hue) values of anterior teeth using a spectrophotometer (Vita Easyshade). The basic color of the maxillary right central incisors and canines of 23 subjects was spectrophotometrically determined by 4 clinicians and an experienced user (development manager) of the spectrophotometer. Also, to analyze the effect of different training with the instrument on interexaminer reliability, 2 of the clinicians were instructed in the use of the spectrophotometer by the experienced examiner, whereas the others instructed themselves by studying the operating manual. Agreement between all examiners was acceptable to excellent (intraclass coefficient > 0.4). The mean value of the measured differences for the central incisors of all subjects for L* values was 5 (for C* = 3.8, h* = 2.7 degrees) and for canines, the mean L* was 4.5 (C* = 3, h* = 1.6 degrees). Results from comparison of the 2 different training methods were inconsistent. Agreement with the experienced examiner ranged from not acceptable (C* values for incisors of self-instructed examiners) to excellent. The distribution of the measurements of 1 subject could lead to deviations in color, probably with clinical impact. For canines, the measurements were at least equally reproducible (in some cases significantly more reproducible) compared to central incisors. Because of the small number of examiners and the inconsistent results, it was not possible to reach a definite conclusion about the effect of different training methods on interexaminer reliability.

  9. Safety Evaluation of the ESP Sludge Washing Baselines Runs. Revision 1

    International Nuclear Information System (INIS)

    Gupta, M.K.

    1994-08-01

    The purpose is to provide the technical basis for the evaluation of Unreviewed Safety Question for the Extended Sludge Processing (ESP) Sludge Washing Baseline Runs. The Baseline runs are necessary: to ascertain the mechanical fitness of the equipment and modifications not operated since 1988 and to resolve technical questions associated with process control; i.e., sludge suspension, sludge settling, heat transfer, and temperature control. These issues need to be resolved prior to resumption of normal ESP operations. The equipment used for the Baseline runs are Tanks 42H and 51H and their associated equipment

  10. RAZVOJ IN IMPLEMENTACIJA BREZŽIČNE TEHTNICE NA PLATFORMI ESP8266

    OpenAIRE

    Prevolšek, Matic

    2016-01-01

    V diplomskem delu opisujemo razvoj in implementacijo brezžične tehtnice na platformi ESP8266. Meritve zajemamo s pomočjo štirih merilnih celic in analogno-digitalnega pretvornika HX711. Uporabniku meritve prikazujemo v obliki spletne strani, ki gostuje na platformi ESP8266 in je dosegljiva znotraj lokalnega omrežja WiFi. Hkrati meritve pošiljamo na zunanji strežnik in jih zapisujemo v podatkovno bazo. S spletno aplikacijo na zunanjem strežniku grafično predstavimo meritve skozi čas.

  11. Cuphea melvilla Lindlay (Lythraceae): uma espécie do Cerrado polinizada por beija-flores

    OpenAIRE

    Melazzo,Ana Flávia de Oliveira; Oliveira,Paulo Eugênio

    2012-01-01

    Cuphea melvilla é uma espécie peculiar dentro de um gênero majoritariamente melitófilo. Dois agrupamentos de indivíduos, em bordas das matas de galeria do Córrego do Panga, Uberlândia, MG, foram estudados com o objetivo de investigar o sistema de polinização e sistema de reprodução da espécie na região. O estudo foi realizado de janeiro a junho de 1999. Cuphea melvilla é uma espécie arbustiva com características morfológicas e eventos florais que confirmam sua adaptação à polinização por beij...

  12. Robust design of broadband EUV multilayer beam splitters based on particle swarm optimization

    International Nuclear Information System (INIS)

    Jiang, Hui; Michette, Alan G.

    2013-01-01

    A robust design idea for broadband EUV multilayer beam splitters is introduced that achieves the aim of decreasing the influence of layer thickness errors on optical performances. Such beam splitters can be used in interferometry to determine the quality of EUVL masks by comparing with a reference multilayer. In the optimization, particle swarm techniques were used for the first time in such designs. Compared to conventional genetic algorithms, particle swarm optimization has stronger ergodicity, simpler processing and faster convergence

  13. Data acquisition system and performance based on Apple II for using in experimental physics - Applications to spectrophotometer

    International Nuclear Information System (INIS)

    Costa, L.F.; Castro, J.C.

    1987-01-01

    A microcomputer based system oriented to experimental physics is described. The system was developed to achieve versatility, low cost, reliability and easy utilization. An application to this system to a dispersive spectrophotometer is also included. (author) [pt

  14. Cerambycidae (Coleoptera do Parque Nacional da Serra das Confusões, Piauí, Brasil: novas espécies e novos registros

    Directory of Open Access Journals (Sweden)

    Maria Helena M. Galileo

    2013-12-01

    Full Text Available Três novas espécies são descritas do Piauí, em Cerambycinae: Compsibidion pictum, sp. nov. (Neoibidionini; em Lamiinae: Adetus differentis sp. nov. (Apomecynini e Mimasyngenes piauiensis sp. nov. (Desmiphorini. De 75 espécies procedentes do Riacho dos Bois (Parque Nacional da Serra das Confusões, 50 são novos registros para o Piauí. Três subfamílias são reconhecidas: uma espécie de Prioninae, 46 espécies de Cerambycinae e 28 espécies de Lamiinae.

  15. Analysis of a Failed Eclipse Plasma Ejection Using EUV Observations

    Science.gov (United States)

    Tavabi, E.; Koutchmy, S.; Bazin, C.

    2018-03-01

    The photometry of eclipse white-light (W-L) images showing a moving blob is interpreted for the first time together with observations from space with the PRoject for On Board Autonomy (PROBA-2) mission (ESA). An off-limb event seen with great details in W-L was analyzed with the SWAP imager ( Sun Watcher using Active pixel system detector and image Processing) working in the EUV near 174 Å. It is an elongated plasma blob structure of 25 Mm diameter moving above the east limb with coronal loops under. Summed and co-aligned SWAP images are evaluated using a 20-h sequence, in addition to the 11 July, 2010 eclipse W-L images taken from several sites. The Atmospheric Imaging Assembly (AIA) instrument on board the Solar Dynamics Observatory (SDO) recorded the event suggesting a magnetic reconnection near a high neutral point; accordingly, we also call it a magnetic plasmoid. The measured proper motion of the blob shows a velocity up to 12 km s^{-1}. Electron densities of the isolated condensation (cloud or blob or plasmoid) are photometrically evaluated. The typical value is 108 cm^{-3} at r=1.7 R_{⊙}, superposed on a background corona of 107 cm^{-3} density. The mass of the cloud near its maximum brightness is found to be 1.6×10^{13} g, which is typically 0.6×10^{-4} of the overall mass of the corona. From the extrapolated magnetic field the cloud evolves inside a rather broad open region but decelerates, after reaching its maximum brightness. The influence of such small events for supplying material to the ubiquitous slow wind is noticed. A precise evaluation of the EUV photometric data, after accurately removing the stray light, suggests an interpretation of the weak 174 Å radiation of the cloud as due to resonance scattering in the Fe IX/X lines.

  16. Non-invasive prediction of hematocrit levels by portable visible and near-infrared spectrophotometer.

    Science.gov (United States)

    Sakudo, Akikazu; Kato, Yukiko Hakariya; Kuratsune, Hirohiko; Ikuta, Kazuyoshi

    2009-10-01

    After blood donation, in some individuals having polycythemia, dehydration causes anemia. Although the hematocrit (Ht) level is closely related to anemia, the current method of measuring Ht is performed after blood drawing. Furthermore, the monitoring of Ht levels contributes to a healthy life. Therefore, a non-invasive test for Ht is warranted for the safe donation of blood and good quality of life. A non-invasive procedure for the prediction of hematocrit levels was developed on the basis of a chemometric analysis of visible and near-infrared (Vis-NIR) spectra of the thumbs using portable spectrophotometer. Transmittance spectra in the 600- to 1100-nm region from thumbs of Japanese volunteers were subjected to a partial least squares regression (PLSR) analysis and leave-out cross-validation to develop chemometric models for predicting Ht levels. Ht levels of masked samples predicted by this model from Vis-NIR spectra provided a coefficient of determination in prediction of 0.6349 with a standard error of prediction of 3.704% and a detection limit in prediction of 17.14%, indicating that the model is applicable for normal and abnormal value in Ht level. These results suggest portable Vis-NIR spectrophotometer to have potential for the non-invasive measurement of Ht levels with a combination of PLSR analysis.

  17. The Literal Translation Hypothesis in ESP Teaching/Learning Environments

    Directory of Open Access Journals (Sweden)

    Pedro A. Fuertes-Olivera

    2015-11-01

    Full Text Available Research on the characteristics of specialized vocabulary usually replicates studies that deal with general words, e.g. they typically describe frequent terms and focus on their linguistic characteristics to aid in the learning and acquisition of the terms. We dispute this practise, as we believe that the basic characteristic of terms is that they are coined to restrict meaning, i.e. to be as precise and as specific as possible in a particular context. For instance, around 70% of English and Spanish accounting terms are multi-word terms, most of which contain more than three orthographic words that syntactically behave in a way that is very different from the syntactic behaviour of the node on which they are formed (Fuertes-Olivera and Tarp, forthcoming. This has prompted us to propose a research framework that investigates whether or not the literal translation hypothesis, which has been addressed in several areas of translation studies, can also be applied in ESP teaching/learning environments. If plausible, the assumptions on which this hypothesis is based can shed light on how learners disambiguate terms they encounter. Within this framework, this paper presents evidence that the literal translation hypothesis is possible in ESP; it offers the results of a pilot study that sheds light on how this hypothesis may work, and also discusses its usability in the context of ESP learning. In particular, this paper presents strategies for teaching multi-word terms that are different from those currently based on corpus data. We believe that exercises such as “cloze”, “fill in” and similar “guessing” exercises must be abandoned in ESP teaching/learning environments. Instead, we propose exercises that reproduce L1 teaching and learning activities, i.e., exercises that are typically used when acquiring specialised knowledge and skills in any domain, e.g. taking part in meetings and giving presentations in a business context.

  18. ESP Learners' Needs Related Learning for the Workplace: A Pragmatic Study for Business School

    Science.gov (United States)

    Liton, Hussain Ahmed

    2015-01-01

    Typically, an ESP course is designed to develop students' communication skills not solely for the office, but also for useful in a specific workplace. Unfortunately, ESP for Schools of Business at some South-East Asian universities is not being very effective in promoting students' performance in the workplace. Behind this backdrop, this paper…

  19. Introducing Project-Based Instruction in the Saudi ESP Classroom: A Study in Qassim University

    Science.gov (United States)

    Alsamani, Abdul-Aziz Saleh; Daif-Allah, Ayman Sabry

    2016-01-01

    The aim of this paper is to study the impact of introducing an integrative pedagogical approach in the ESP classes on developing the English language vocabulary of Computer Science and Information Technology students in the College of Science, Qassim University. The study suggests a framework for an ESP course-design employing students' project…

  20. Measurements of EUV coronal holes and open magnetic flux

    Energy Technology Data Exchange (ETDEWEB)

    Lowder, C.; Qiu, J.; Leamon, R. [Department of Physics, Montana State University, Bozeman, MT 59717 (United States); Liu, Y., E-mail: clowder@solar.physics.montana.edu [W. W. Hansen Experimental Physics Laboratory, Stanford University, Stanford, CA 94305 (United States)

    2014-03-10

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10{sup 22} Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10{sup 22} Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  1. Genre-based Pedagogy: A case of an Iranian ESP Business Management course

    Directory of Open Access Journals (Sweden)

    Hadis Toufani Asl

    2015-11-01

    Full Text Available Genre-based instruction has been latterly a very common method used in language courses. In spite of the various research conducted on academic genres, there are still very few studies which examine the learners’ perceptions of genre-based pedagogy, particularly in courses like English for Specific Purposes (ESP. Therefore, the present study aimed at considering the Sophomore Business Management learners’ perspective on genre instruction in their ESP course, at the University of Tehran. The focuses of the present study were the focal genres of textbook and teaching method in terms of the content feature. The data was collected by means of both quantitative and qualitative instruments which were subject to both statistical analysis and constant comparative method of qualitative analysis. Results of the study revealed that the practice of genres were relevant to some of the learners’ subsequent academic and non-academic performance. Furthermore, the results regarding the questionnaire section indicated that a number of learners could apply the genre features and they were conscious of them. By and large, it was concluded that the explicit instruction together with the provision of more English-mediated content courses, for practices outside of the classroom, can have a higher impact on ESP programs. Keywords: ESP, genre-based pedagogy, content, textbook, teaching method, activities, learners’ perception

  2. Development of optical spectrum acquisition with spectrophotometer for characterization of optical radiation sources

    International Nuclear Information System (INIS)

    Solano Vargas, Alvaro

    2013-01-01

    An improved process of the data acquisition system is developed with Pasco 750 interface and Pasco OS-8539 spectrophotometer. The optical spectrum and color temperature of incandescent sources available are obtained from the Laboratorio de Fotonica y Tecnologia Laser Aplicada. The procedures developed in the project are recommended to collect data and analyze results. The purchase of a new Software and the interface of Pasco is recommended to have a better operation and update [es

  3. Objectification of facial color inspection to differentiate obstructive/nonobstructive jaundice in neonates by spectrophotometer.

    Science.gov (United States)

    Shen, Zhen; Zheng, Shan; Dong, Rui; Chen, Gong

    2017-12-01

    The purpose of this study was to study whether color difference in facial color truly exists between neonates with obstructive and nonobstructive jaundice, and whether the color difference could be objectified by spectrophotometer. Twelve biliary atresia patients were enrolled in an obstructive jaundice group and 15 neonates admitted for non-conjugated hyperbilirubinemia in a nonobstructive group. Nine patients with syphilis (n=6) and sacrococcygeal teratoma (n=3) were studied as control. Transcutaneous total bilirubin (TB) and hemoglobin were recorded. Face color was measured by spectrophotometer. Spectral reflection curve and L*a*b* model parameters were studied. Facial color of jaundiced neonates were characteristic in waveform that reflectivity at wavelength of 550nm was significantly decreased compared with control by 16.4±3.4%, while not significantly different between obstructive and nonobstructive jaundice (p=0.124). At 650nm, reflection in nonobstructive jaundice was decreased by 8.4±2.3% (pobstructive jaundice (58.09±1.25%)>nonobstructive jaundice (54.25±7.27%). Value b* was higher in jaundiced patients compared to normal control (11.88±2.16, pspectrophotometer. Study of Diagnostic Test. Level II. Copyright © 2017 Elsevier Inc. All rights reserved.

  4. Structure of EspB from the ESX-1 type VII secretion system and insights into its export mechanism.

    Science.gov (United States)

    Solomonson, Matthew; Setiaputra, Dheva; Makepeace, Karl A T; Lameignere, Emilie; Petrotchenko, Evgeniy V; Conrady, Deborah G; Bergeron, Julien R; Vuckovic, Marija; DiMaio, Frank; Borchers, Christoph H; Yip, Calvin K; Strynadka, Natalie C J

    2015-03-03

    Mycobacterium tuberculosis (Mtb) uses the ESX-1 type VII secretion system to export virulence proteins across its lipid-rich cell wall, which helps permeabilize the host's macrophage phagosomal membrane, facilitating the escape and cell-to-cell spread of Mtb. ESX-1 membranolytic activity depends on a set of specialized secreted Esp proteins, the structure and specific roles of which are not currently understood. Here, we report the X-ray and electron microscopic structures of the ESX-1-secreted EspB. We demonstrate that EspB adopts a PE/PPE-like fold that mediates oligomerization with apparent heptameric symmetry, generating a barrel-shaped structure with a central pore that we propose contributes to the macrophage killing functions of EspB. Our structural data also reveal unexpected direct interactions between the EspB bipartite secretion signal sequence elements that form a unified aromatic surface. These findings provide insight into how specialized proteins encoded within the ESX-1 locus are targeted for secretion, and for the first time indicate an oligomerization-dependent role for Esp virulence factors. Copyright © 2015 Elsevier Ltd. All rights reserved.

  5. ESP Teaching at the Institutions of Higher Education in Modern Russia: Problems and Perspectives

    Science.gov (United States)

    Prudnikova, Nadezhda

    2013-01-01

    The author analyses ESP teaching at the institutions of higher education in modern Russia, explains the main problems and suggests the ways of their solving, details the quality control system of the students' progress improvement, presents the complex approach to interactive ESP teaching and views it as an integral part of up-to-date…

  6. Laser-produced plasma EUV source using a colloidal microjet target containing tin dioxide nanoparticles

    Science.gov (United States)

    Higashiguchi, Takeshi; Dojyo, Naoto; Sasaki, Wataru; Kubodera, Shoichi

    2006-10-01

    We realized a low-debris laser-produced plasma extreme ultraviolet (EUV) source by use of a colloidal microjet target, which contained low-concentration (6 wt%) tin-dioxide nanoparticles. An Nd:YAG laser was used to produce a plasma at the intensity on the order of 10^11 W/cm^2. The use of low concentration nanoparticles in a microjet target with a diameter of 50 μm regulated the neutral debris emission from a target, which was monitored by a silicon witness plate placed 30 cm apart from the source in a vacuum chamber. No XPS signals of tin and/or oxygen atoms were observed on the plate after ten thousand laser exposures. The low concentration nature of the target was compensated and the conversion efficiency (CE) was improved by introducing double pulses of two Nd:YAG lasers operated at 532 and 1064 nm as a result of controlling the micro-plasma characteristics. The EUV CE reached its maximum of 1.2% at the delay time of approximately 100 ns with the main laser intensiy of 2 x10^11 W/cm^2. The CE value was comparable to that of a tin bulk target, which, however, produced a significant amount of neutral debris.

  7. A method for calibration of Soleil-Babinet compensator using a spectrophotometer

    Science.gov (United States)

    Wang, Jun; Chen, Lei; Li, Bo; Shi, Lili; Luo, Ting

    2010-06-01

    A method using a spectrophotometer for calibrating Soleil-Babinet compensator is proposed. It is based on the spectroscopic method which utilizes the relation between transmittance and wavelength to obtain retardation. By placing a multiple order half wave plate behind the Soleil-Babinet compensator, zero-order retardation can be measured, which is difficult to accomplish by spectroscopic method. In the experiment, the retardations of the compensator in the range 0- λ are measured. It is demonstrated that the precision of retardation is 0.45 nm at the position 0 and λ while the maximum error is less than 1 nm between the two positions.

  8. Validation of the Earth atmosphere models using the EUV solar occultation data from the CORONAS and PROBA 2 instruments

    Science.gov (United States)

    Slemzin, Vladimir; Kuzin, Sergey; Berghmans, David; Pertsov, Andrey; Dominique, Marie; Ulyanov, Artyom; Gaikovich, Konstantin

    Absorption in the atmosphere below 500 km results in attenuation of the solar EUV flux, variation of its spectra and distortion of solar images acquired by solar EUV instruments operating on LEO satellites even on solar synchronous orbits. Occultation measurements are important for planning of solar observations from these satellites, and can be used for monitoring the upper atmosphere as well as for studying its response to the solar activity. We present the results of the occultation measurements of the solar EUV radiation obtained by the CORONAS-F/SPIRIT telescope at high solar activity (2002), by the CORONAS-Photon/TESIS telescope at low activity (2009), and by the SWAP telescope and LYRA radiometer onboard the PROBA 2 satellite at moderate activity (2010). The measured attenuation profiles and the retrieved linear extinction coefficients at the heights 200-500 km are compared with simulations by the NRLMSIS-00 and DTM2013 atmospheric models. It was shown that the results of simulations by the DTM2013 model are well agreed with the data of measurements at all stages of solar activity and in presence of the geomagnetic storm, whereas the results of the NRLMSISE-00 model significantly diverge from the measurements, in particular, at high and low activity. The research leading to these results has received funding from the European Union’s Seventh Programme for Research, Technological Development and Demonstration under Grant Agreement “eHeroes” (project No.284461, www.eheroes.eu).

  9. RECALIBRATION OF H CANYON ONLINE SPECTROPHOTOMETER AT EXTENDED URANIUM CONCENTRATION

    International Nuclear Information System (INIS)

    Lascola, R

    2008-01-01

    The H Canyon online spectrophotometers are calibrated for measurement of the uranium and nitric acid concentrations of several tanks in the 2nd Uranium Cycle.[1] The spectrometers, flow cells, and prediction models are currently optimized for a process in which uranium concentrations are expected to range from 0-15 g/L and nitric acid concentrations from 0.05-6 M. However, an upcoming processing campaign will involve 'Super Kukla' material, which has a lower than usual enrichment of fissionable uranium. Total uranium concentrations will be higher, spanning approximately 0-30 g/L U, with no change in the nitric acid concentrations. The new processing conditions require the installation of new flow cells with shorter path lengths. As the process solutions have a higher uranium concentration, the shorter path length is required to decrease the absorptivity to values closer to the optimal range for the instrument. Also, new uranium and nitric acid prediction models are required to span the extended uranium concentration range. The models will be developed for the 17.5 and 15.4 tanks, for which nitric acid concentrations will not exceed 1 M. The restricted acid range compared to the original models is anticipated to reduce the measurement uncertainty for both uranium and nitric acid. The online spectrophotometers in H Canyon Second Uranium Cycle were modified to allow measurement of uranium and nitric acid for the Super Kukla processing campaign. The expected uranium concentrations, which are higher than those that have been recently processed, required new flow cells with one-third the optical path length of the existing cells. Also, new uranium and nitric acid calibrations were made. The estimated reading uncertainties (2σ) for Tanks 15.4 and 17.5 are ∼5% for uranium and ∼25% for nitric acid

  10. Espécies de Botryosphaeria em Quercus spp. e outros hospedeiros lenhosos: taxonomia e filogenia

    OpenAIRE

    Alves, Artur Jorge da Costa Peixoto

    2006-01-01

    O género Botryosphaeria é bem conhecido devido ás espécies que causam doenças numa grande diversidade de plantas. A taxonomia deste género e seus géneros anamórficos, assim como das espécies tem sido algo confusa. Neste trabalho foram caracterizadas espécies de Botryosphaeria associadas a Quercus spp. e outros hospedeiros lenhosos, com base em características morfológicas e sequências nucleotídicas de um ou vários genes. A utilização desta abordagem permitiu a clarificação d...

  11. Estimating Supplies Program (ESP), Version 1.00, User's Guide

    National Research Council Canada - National Science Library

    Tropeano, Anne

    2000-01-01

    The Estimating Supplies Program (ESP) is an easy to use Windows(TM)-based software program for military medical providers, planners, and trainers that calculates the amount of supplies and equipment required to treat a patient stream...

  12. RAPHAEL SAMÚ: Experiências do muralismo no Espírito Santo

    OpenAIRE

    GONCALVES, M. B.

    2014-01-01

    A proposta deste estudo é investigar o processo de criação do artista plástico brasileiro Raphael Samú, tendo como recorte sua obra em mosaico mural, mais especificamente o mural presente na entrada da Universidade Federal do Espírito Santo UFES, em Vitória - Espírito Santo. Para tal, investigaremos através dos pressupostos da Crítica Genética e da Crítica Inferencial quais foram os caminhos tomados pelo artista durante a confecção de tal obra, apontando assim a intencionalidade do projet...

  13. X ray and EUV spectroscopic measurements of highly charged tungsten ions relevant to fusion plasmas

    International Nuclear Information System (INIS)

    Radtke, R; Biedermann, C; Mandelbaum, P; Schwob, J L

    2007-01-01

    Using high-resolution x ray and extreme ultraviolet (EUV) spectrometry, the line emission of W 28+ - W 50+ ions was measured at the Berlin Electron Beam Ion Trap (EBIT). Our study encompasses a wide range of wavelengths (5-800 A) and includes the observation of electric and magnetic dipole lines. The results of our measurements are compared with predicted transition wavelengths from ab initioatomic structure calculations

  14. Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking

    Science.gov (United States)

    Manouras, Theodoros; Kazazis, Dimitrios; Koufakis, Eleftherios; Ekinci, Yasin; Vamvakaki, Maria; Argitis, Panagiotis

    2018-03-01

    The main target of the current work was to develop new sensitive polymeric materials for lithographic applications, focusing in particular to EUV lithography, the main chain of which is cleaved under the influence of photogenerated acid. Resist materials based on the cleavage of polymer main chain are in principle capable to create very small structures, to the dimensions of the monomers that they consist of. Nevertheless, in the case of the commonly used nonchemically amplified materials of this type issues like sensitivity and poor etch resistance limit their areas of application, whereas inadequate etch resistance and non- satisfactory process reliability are the usual problems encountered in acid catalysed materials based on main chain scission. In our material design the acid catalyzed chain cleavable polymers contain very sensitive moieties in their backbone while they remain intact in alkaline ambient. These newly synthesized polymers bear in addition suitable functional groups for the achievement of desirable lithographic characteristics (thermal stability, acceptable glass transition temperature, etch resistance, proper dissolution behavior, adhesion to the substrate). Our approach for achieving acceptable etch resistance, a main drawback in other main chain cleavable resists, is based on the introduction of polyaromatic hydrocarbons in the polymeric backbone, whereas the incorporation of an inorganic component further enhances the etch resistance. Single component systems can also be designed following the proposed approach by the incorporation of suitable PAGs and base quencher molecules in the main chain. Resist formulations based on a random copolymer designed according to the described rules evaluated in EUV exhibit ultrahigh sensitivity, capability for high resolution patterning and overall processing characteristics that make them strong candidates for industrial use upon further optimization.

  15. Investigating the Relationship between Learning Styles and ESP Reading Strategies in Academic Setting

    Directory of Open Access Journals (Sweden)

    Parviz Ajideh

    2018-05-01

    Full Text Available The present study investigated the relationship between Art and Science students’ learning styles and their ESP reading strategies in academic settings. Learning styles are defined as general orientations learners take toward their learning experiences. This notion has recently obtained attention in the area of language learning. Strategies are also defined as specific behaviours or techniques learners employ towards leaning in order to achieve their learning goals. The strategies chosen are often linked to the individual's learning style. The purpose of this study was to identify Art and Science students’ major learning style preferences and their strategies they employ to tackle their reading materials in ESP courses at Tabriz Islamic Art University. To this end, 313 Art and Science students at Tabriz Islamic Art University answered two self-report questionnaires (PLSPQ and SORS to identify their major and minor learning styles as well as their reading strategies in ESP reading. In order to find any relationship between the students’ preferred learning style (s and their reading strategies in ESP, Pearson Product Moment Coefficient r was used to analyze the participants’ answers to the questionnaires. The results showed that Art students favored Kinesthetic, Auditory, Visual and Tactile learning styles as their major learning styles while Science students showed preference to only Kinesthetic Learning style as their major learning style and other learning styles as their minor ones. It was also found that the most dominant reading strategies both Art and Science students apply in reading their ESP texts was cognitive strategies. Correlational analyses of their major learning styles and their reading strategies are discussed.

  16. Pictures Speak Louder than Words in ESP, Too!

    Science.gov (United States)

    Erfani, Seyyed Mahdi

    2012-01-01

    While integrating visual features can be among the most important characteristics of English language textbooks, reviewing the current locally-produced English for Specific Purposes (ESP) ones reveals that they lack such a feature. Enjoying a rich theoretical background including Paivio's dual coding theory as well as Sert's educational semiotics,…

  17. Estabelecimento de Espécies Florestais Nativas Via Semeadura Direta no Rio Piauitinga - Sergipe

    Directory of Open Access Journals (Sweden)

    Janisson Batista de Jesus

    2017-04-01

    Full Text Available RESUMO A técnica de semeadura direta vem se destacando nos projetos de recuperação florestal pela redução de custos na implantação e tem sido cada vez mais utilizada. Este trabalho foi realizado com o objetivo de avaliar a emergência, sobrevivência e estabelecimento de espécies florestais nativas, por meio de sementes em áreas de mata ciliar no Rio Piauitinga, município de Lagarto, SE. As espécies utilizadas foram Libidibia ferrea var. leiostachya (Benth. L. P. Queiroz, Cassia grandis L. f., Cecropia pachystachya Trec., Enterolobium contortisiliquum (Vell. Morong e Guazuma ulmifolia Lam. O experimento em campo foi implantado em Delineamento em Blocos Casualizados (DBC com quatro repetições, em esquema fatorial, testando-se as cinco espécies com e sem tratamento para superação de dormência. A semeadura direta mostrou-se uma técnica viável para a área de estudo apenas para as espécies L. ferrea var. leiostachya, E. contortisiliquum e Cassia grandis, utilizando-se sementes com e sem dormência.

  18. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    International Nuclear Information System (INIS)

    Kumar, Pankaj; Cho, Kyung-Suk; Nakariakov, Valery M.

    2015-01-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s −1 for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s −1 ). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed

  19. Estimation of soft X-ray and EUV transition radiation power emitted from the MIRRORCLE-type tabletop synchrotron.

    Science.gov (United States)

    Toyosugi, N; Yamada, H; Minkov, D; Morita, M; Yamaguchi, T; Imai, S

    2007-03-01

    The tabletop synchrotron light sources MIRRORCLE-6X and MIRRORCLE-20SX, operating at electron energies E(el) = 6 MeV and E(el) = 20 MeV, respectively, can emit powerful transition radiation (TR) in the extreme ultraviolet (EUV) and the soft X-ray regions. To clarify the applicability of these soft X-ray and EUV sources, the total TR power has been determined. A TR experiment was performed using a 385 nm-thick Al foil target in MIRRORCLE-6X. The angular distribution of the emitted power was measured using a detector assembly based on an NE102 scintillator, an optical bundle and a photomultiplier. The maximal measured total TR power for MIRRORCLE-6X is P(max) approximately equal 2.95 mW at full power operation. Introduction of an analytical expression for the lifetime of the electron beam allows calculation of the emitted TR power by a tabletop synchrotron light source. Using the above measurement result, and the theoretically determined ratio between the TR power for MIRRORCLE-6X and MIRRORCLE-20SX, the total TR power for MIRRORCLE-20SX can be obtained. The one-foil TR target thickness is optimized for the 20 MeV electron energy. P(max) approximately equal 810 mW for MIRRORCLE-20SX is obtained with a single foil of 240 nm-thick Be target. The emitted bremsstrahlung is negligible with respect to the emitted TR for optimized TR targets. From a theoretically known TR spectrum it is concluded that MIRRORCLE-20SX can emit 150 mW of photons with E > 500 eV, which makes it applicable as a source for performing X-ray lithography. The average wavelength, \\overline\\lambda = 13.6 nm, of the TR emission of MIRRORCLE-20SX, with a 200 nm Al target, could provide of the order of 1 W EUV.

  20. Off-limb EUV observations of the solar corona and transients with the CORONAS-F/SPIRIT telescope-coronagraph

    Directory of Open Access Journals (Sweden)

    V. Slemzin

    2008-10-01

    Full Text Available The SPIRIT telescope aboard the CORONAS-F satellite (in orbit from 26 July 2001 to 5 December 2005, observed the off-limb solar corona in the 175 Å (Fe IX, X and XI lines and 304 Å (He II and Si XI lines bands. In the coronagraphic mode the mirror was tilted to image the corona at the distance of 1.1...5 Rsun from the solar center, the outer occulter blocked the disk radiation and the detector sensitivity was enhanced. This intermediate region between the fields of view of ordinary extreme-ultraviolet (EUV telescopes and most of the white-light (WL coronagraphs is responsible for forming the streamer belt, acceleration of ejected matter and emergence of slow and fast solar wind. We present here the results of continuous coronagraphic EUV observations of the solar corona carried out during two weeks in June and December 2002. The images showed a "diffuse" (unresolved component of the corona seen in both bands, and non-radial, ray-like structures seen only in the 175 Å band, which can be associated with a streamer base. The correlations between latitudinal distributions of the EUV brightness in the corona and at the limb were found to be high in 304 Å at all distances and in 175 Å only below 1.5 Rsun. The temporal correlation of the coronal brightness along the west radial line, with the brightness at the underlying limb region was significant in both bands, independent of the distance. On 2 February 2003 SPIRIT observed an expansion of a transient associated with a prominence eruption seen only in the 304 Å band. The SPIRIT data have been compared with the corresponding data of the SOHO LASCO, EIT and UVCS instruments.

  1. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Pankaj; Cho, Kyung-Suk [Korea Astronomy and Space Science Institute (KASI), Daejeon, 305-348 (Korea, Republic of); Nakariakov, Valery M., E-mail: pankaj@kasi.re.kr [Centre for Fusion, Space and Astrophysics, Department of Physics, University of Warwick, CV4 7AL (United Kingdom)

    2015-05-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s{sup −1} for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s{sup −1}). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed.

  2. Conséquences industrielles et écologiques de l'introduction de nouvelles espèces dans les hydrosystèmes continentaux : la moule zébrée et autres espèces invasives

    Directory of Open Access Journals (Sweden)

    KHALANSKI M.

    1997-01-01

    Full Text Available Les eaux de surface sont prélevées pour divers usages industriels, parmi lesquels la production d'énergie électrique représente une large part. Dans les circuits d'eau brute se fixent de nombreuses espèces d'organismes aquatiques, qui perturbent le fonctionnement des installations et sont susceptibles d'entraîner l'indisponibilité de certaines d'entre elles. Dans certains cas, l'irruption de nouvelles espèces pose un problème grave à l'industrie. L'introduction de deux espèces de moules zébrées (genre Dreissena dans les Grands Lacs américains, puis leur extension progressive vers le sud des États-Unis au cours des dernières années, se chiffre par un dommage pour l'industrie estimé à cinq milliards de dollars par an en 2000. L'impact écologique de la moule zébrée en Amérique du Nord a fait l'objet de nombreux travaux ; dans la phase d'extension rapide de l'espèce, il semble significatif. En Europe, la moule zébrée constitue aussi une menace pour les circuits industriels ; c'est pourquoi cette espèce a fait l'objet de nombreuses études, notamment en France sur la Seine, le Rhône et la Moselle. Deux nouvelles espèces invasives sont récemment apparues dans les cours d'eau européens : il s'agit du clam asiatique Corbicula fluminea et d'un amphipode : Corophium curvispinum. Elles s'ajoutent à la liste faunistique des organismes observés dans les circuits de centrales thermiques installées sur les cours d'eau français : spongiaires, hydraires, bryozoaires, mollusques. Pour assurer la disponibilité des circuits, il faut pouvoir contrôler le développement de ces espèces ; ce qui implique une connaissance approfondie de leur biologie et de leur écologie. Les méthodes de lutte actuellement mises en oeuvre au plan industriel, ou qui sont à l'étude, sont brièvement passées en revue.

  3. EUV beam splitter for use in the wavelength region around 6 nm

    International Nuclear Information System (INIS)

    Takenaka, Hisataka; Ichimaru, Satoshi; Gullikson, E.M.

    2005-01-01

    Extreme ultraviolet (EUV) beam splitters for use at a wavelength of around 6 nm were fabricated. The designs were optimized for Cr/C multilayers and incident angles of 45 deg. and 80 deg. . Measurements revealed the reflectivity of a Cr/C beam splitter to be 3.3% and the transmittance to be 5.6% at a wavelength of 6.36 nm and an incident angle of 45 deg. . The reflectivity of a Cr/C beam splitter was 5.8% and the transmittance was 6.6% at a wavelength of 6.15 nm and an incident angle of 80 deg.

  4. The Impact of Mobile Learning on ESP Learners' Performance

    Directory of Open Access Journals (Sweden)

    Fahad Alkhezzi

    2016-07-01

    Full Text Available This study explores the impact of using mobile phone applications, namely Telegram Messenger, on teaching and learning English in an ESP context. The main objective is to test whether using mobile phone applications have an impact on ESP learners’ performance by mainly investigating the influence such teaching technique can have on learning vocabulary, and how this can affect the learner's’ ability to use grammar correctly and whether their writing skill is improved. The results showed that using mobile phone applications to teach a foreign language skill or subskill is fruitful and does impact learners’ comprehension of vocabulary and grammatical rules. The results specifically indicate that mobile phones can be used in many different ways to teach and learn technical and semi-technical vocabulary easily outside the classroom, however, to teach grammatical rules and writing it is recommended that certain strategies be used due to certain limitations.

  5. Perceived Views of Language Teachers on the Use of Needs Analysis in ESP Materials Writing

    Science.gov (United States)

    Ali, Holi Ibrahim Holi; Salih, Abdel Rahman Abdalla

    2013-01-01

    Needs analysis is generally believed to be important in ESP/EAP context because it enables practitioners and materials writers to find out about their real learners' needs. Therefore, this study is set out to investigate EFL teachers' beliefs and views about need analysis use and practices, specifically in the ESP/EAP tertiary context of the…

  6. Enhancing native defect sensitivity for EUV actinic blank inspection: optimized pupil engineering and photon noise study

    Science.gov (United States)

    Wang, Yow-Gwo; Neureuther, Andrew; Naulleau, Patrick

    2016-03-01

    In this paper, we discuss the impact of optimized pupil engineering and photon noise on native defect sensitivity in EUV actinic blank inspection. Native defects include phase-dominated defects, absorber defects, and defects with a combination of phase and absorption behavior. First, we extend the idea of the Zernike phase contrast (ZPC) method and study the impact of optimum phase shift in the pupil plane on native defect sensitivity, showing a 23% signal-to-noise ratio (SNR) enhancement compare to bright field (BF) for a phase defect with 20% absorption. We also describe the possibility to increase target defect SNR on target defect sizes at the price of losing the sensitivity on smaller (non-critical) defects. Moreover, we show the advantage of the optimized phase contrast (OZPC) method over BF EUV actinic blank inspection. A single focus scan from OZPC has better inspection efficiency over BF. Second, we make a detailed comparison between the phase contrast with apodization (AZPC) method and dark field (DF) method based on defect sensitivity in the presence of both photon shot noise and camera noise. Performance is compared for a variety of photon levels, mask roughness conditions, and combinations of defect phase and absorption.

  7. Estudos ecotoxicológicos com as espécies Argyrodiaptomus furcatus e Notodiaptomus iheringi (Copepoda, Calanoida)

    OpenAIRE

    Denise Tieme Okumura

    2011-01-01

    Os Copepoda constituem a maior classe de pequenos crustáceos, com mais de 8.500 espécies descritas. Dentre as cinco ordens de Copepoda de vida livre, as espécies pertencentes à ordem Calanoida apresentam um forte endemismo, com uma restrita distribuição geográfica. Além disso, os Copepoda Calanoida são particularmente sensíveis a contaminantes contidos na coluna de água devido ao seu hábito planctônico. No presente trabalho foram realizados estudos ecotoxicológicos com duas espécies de Copepo...

  8. Photochemical induced growth and aggregation of metal nanoparticles in diode-array spectrophotometer via excited dimethyl-sulfoxide.

    Science.gov (United States)

    Zidki, Tomer; Cohen, Haim; Meyerstein, Dan

    2010-10-21

    Ag(0) and Au(0) nanoparticles suspended in dilute aqueous solutions containing (CH(3))(2)SO are photochemically unstable. The light source of a diode-array spectrophotometer induces, within less than a minute, particle growth and aggregation. The results indicate that this process is triggered by UV light absorption by the (CH(3))(2)SO.

  9. Conservation of mayflies (Insecta, Ephemeroptera in Espírito Santo, southeastern Brazil

    Directory of Open Access Journals (Sweden)

    Fabiana Criste Massariol

    2014-12-01

    Full Text Available Conservation of mayflies (Insecta, Ephemeroptera in Espírito Santo, southeastern Brazil. Ephemeroptera exhibits great diversity among bodies of freshwater in the Atlantic Forest, a biome that is suffering from massive human impact. Within this context, the creation of conservation units using biological information is more recommended than economic, cultural, or political criteria. The distribution pattern of 76 Ephemeroptera species was analyzed using the biogeographical methods Parsimony Analysis of Endemicity and Network Analysis Method in order to infer relevant areas for conservation of the mayfly community in Espírito Santo. The results obtained from both analyses were largely congruent, and pointed out four relevant areas for conservation: two in the south of the state, where conservation units or priority areas for conservation are well established; and two in the north, a region in the state where little conservation efforts have been historically done. Therefore, based on our analyses on mayflies, we recommend the expansion of the existing APCs or the creation of new APCs on the north of Espírito Santo.

  10. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    Science.gov (United States)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert; Yakshin, Andrey; Louis, Eric; Bijkerk, Fred

    2017-03-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV experiments. Both planar and three dimensional multilayer structures have been developed to tailor the spectral response in a wide wavelength range. For the planar multilayer optics, different layered schemes are explored. Stacks of periodic multilayers and capping layers are demonstrated to achieve multi-channel reflection or suppression of the reflective properties. Aperiodic multilayer structures enable broadband reflection both in angles and wavelengths, with the possibility of polarization control. The broad wavelength band multilayer is also used to shape attosecond pulses for the study of ultrafast phenomena. Narrowband multilayer monochromators are delivered to bridge the resolution gap between crystals and regular multilayers. High spectral purity multilayers with innovated anti-reflection structures are shown to select spectrally clean XUV radiation from broadband X-ray sources, especially the plasma sources for EUV lithography. Significant progress is also made in the three dimensional multilayer optics, i.e., combining micro- and nanostructures with multilayers, in order to provide new freedom to tune the spectral response. Several kinds of multilayer gratings, including multilayer coated gratings, sliced multilayer gratings, and lamellar multilayer gratings are being pursued for high resolution and high efficiency XUV spectrometers/monochromators, with their advantages and disadvantages, respectively. Multilayer diffraction optics are also developed for spectral purity enhancement. New structures like gratings, zone plates, and pyramids that obtain full suppression of the unwanted radiation and high XUV reflectance are reviewed. Based on the present achievement

  11. Crenicichla tigrina, Une nouvelle espèce de cichlidae (Pisces, Perciformes) du Rio Trombetas, Pará, Brésil

    NARCIS (Netherlands)

    Ploeg, Alex; Jégu, Michael; Ferreira, Efrem

    1991-01-01

    Une nouvelle espèce de Cichlidae, Crenicichla tigrina, est décrite et illustrée. La coloration sur le vivant et quelques remarques relatives à l’Pecologie de cette espèce sont présentée. Les relations de C. tigrina avec les autres espèces de Crenicichla à petites écailles et le mode de distribution

  12. ESP Learners’ Needs Related Learning for the Workplace: A Pragmatic Study for Business School

    OpenAIRE

    Hussain Ahmed Liton

    2015-01-01

    Typically, an ESP course is designed to develop students’ communication skills not solely for the office, but also for useful in a specific workplace. Unfortunately, ESP for Schools of Business at some South-East Asian universities is not being very effective in promoting students’ performance in the workplace. Behind this backdrop, this paper explores learners’ pragmatic workplace learning practices that impact on their profession and have immediate applicability to their prof...

  13. Development of a low-cost NIR instrument for minced meat analysis: Part 1 - Spectrophotometer and sample presentations

    Science.gov (United States)

    The feasibility of using a compact, low-cost NIR spectrophotometer to predict moisture (MC) and total fat content of minced pork was demonstrated. Results were compared with those obtained using two research type instruments with high signal to noise ratio (S/N). The NIR measuring head of the compac...

  14. Espécies de pescado subexplotadas e seu potencial para elaboração de subprodutos com valor agregado

    Directory of Open Access Journals (Sweden)

    Danielle Regis Pires

    2014-05-01

    Full Text Available O pescado é um alimento conhecido por seu elevado valor nutricional, sendo uma das principais fontes de proteína de origem animal utilizadas na alimentação humana. A produção de pescado proveniente da pesca extrativa encontra-se estagnada há décadas em níveis mundial e nacional. Da mesma forma, as espécies mais visadas economicamente tanto no mercado externo como no interno encontram-se em sobrepesca. Por outro lado, tem ocorrido aumento no descarte das espécies oriundas da fauna acompanhante da captura do camarão, classificadas como subutilizadas de baixo e/ou sem valor econômico. Muitas destas espécies poderiam ser desembarcadas e utilizadas para a produção de subprodutos de alto valor agregado para o consumo humano. O aproveitamento destas espécies oriundas da fauna acompanhante do camarão e das espécies de menor valor comercial em nosso país, como as do peixe bagre (uma das onze espécies mais capturadas no país, que apresentam potencial pesqueiro para a explotação, poderia reduzir o esforço de pesca sobre as espécies mais exploradas, aumentar a produção e consequentemente o consumo per capita de pescado no Brasil. Além de oferecer ao consumidor um produto nutritivo e com um prazo de vida útil maior. Desta forma, seria dado um destino mais nobre a estas espécies, o que permitiria que a atividade pesqueira fosse praticada de forma sustentável.

  15. O Centro Espírita Redemptor e o tratamento de doença mental, 1910-1921 The Centro Espírita Redemptor and the treatment of mental illness, 1910-1921

    Directory of Open Access Journals (Sweden)

    André de Faria Pereira Neto

    2012-06-01

    Full Text Available O espiritismo é uma doutrina religiosa que surgiu na França durante o século XIX por meio da obra de Allan Kardec. No Brasil, assumiu certa originalidade: o espiritismo racional e científico cristão, liderado por Luiz de Mattos, foi uma de suas vertentes doutrinárias nacionais. Luiz de Mattos criou o Centro Espírita Redemptor onde, durante alguns anos, acompanhou o tratamento dos doentes mentais. O artigo analisa as características do tratamento espírita racional e científico cristão à doença mental e as estratégias que Luiz de Mattos adotou para defender sua forma de tratamento. Apresenta ainda algumas semelhanças e diferenças entre o tratamento espírita e o médico.Spiritism is a religious doctrine originated in France during the nineteenth century through the works of Allan Kardec. In Brazil, it assumed an original configuration: rational and scientific Christian spiritism, pioneered by Luiz de Mattos, was one of the national doctrinal variants. Luiz de Mattos created the Centro Espírita Redemptor where, for some years, he monitored the treatment of the mentally ill. The article analyzes the characteristics of rational and scientific Christian spiritism treatment applied to mental illness and the strategies that Luiz de Mattos adopted to defend his form of treatment. Some similarities and differences between spiritist and medical treatment are also outlined.

  16. Contribuição para o estudo dos Rhinotragini (Coleoptera, Cerambycidae: VIII. Transferências e nova espécie em Clepitoides

    Directory of Open Access Journals (Sweden)

    Antonio Santos-Silva

    2013-01-01

    Full Text Available Quatro espécies são transferidas para Clepitoides Clarke, 2009: Odontocera crocata Bates, 1873; O. virgata Gounelle, 1911; Eclipta picturata (Gounelle, 1911; E. pallidicornis (Zajciw, 1966. As fêmeas de O. crocata e O. pallidicornis são redescritas e uma nova espécie é descrita do Brasil e da Argentina. As cinco espécies são figuradas. Adicionalmente é fornecida nova chave para as espécies de Clepitoides.

  17. A furnace and temperature controller for optical absorption studies with a spectrophotometer

    International Nuclear Information System (INIS)

    Mariani Rogat, F.

    1975-01-01

    The design and main features of a furnace with a temperature controller and programmer are shown. This system allows to measure the optical absorption spectrum of a sample from room temperature to 400 deg C, in a double beam spectrophotometer Perkin Elmer 350. The sample temperature can be linearly increased at different heating rates between 4 and 38 deg C/min. The temperature ramp can be stopped at any desired point and the sample temperature shall be stabilized in less than one minute. This temperature shall be kept constant within 0.5 deg C for hours. The sample is heated in vacuum. (author)

  18. Alimento ou medicamento?: Espécies vegetais frente à legislação brasileira

    Directory of Open Access Journals (Sweden)

    L.O. Lima

    Full Text Available O uso de espécies vegetais como fonte terapêutica e alimentícia tem apresentado significativo crescimento nos últimos anos, em especial no território brasileiro que é dotado de grande biodiversidade. A regulamentação dessas categorias de produtos envolve uma vasta lista de legislações, o que gera dificuldades no entendimento regulatório. Este trabalho teve por objetivo diferenciar algumas das classes de alimentos e medicamentos baseados em espécies vegetais e expor as legislações pertinentes a cada caso. Foi realizado um levantamento bibliográfico e documental com base no acervo regulatório brasileiro atual e os principais dados foram compilados em forma de tabela. Este trabalho permitiu visualizar uma parte do universo legal referente aos produtos de origem vegetal, o que é essencial para o enquadramento correto dessas espécies frente a legislação, bem como seu comércio, propaganda e uso regular e seguro. Isto é fundamental frente à valorização emergente das espécies vegetais dentro do sistema público de saúde brasileiro de acordo com as exigências regulatórias de cada categoria.

  19. Sensitivity of digital dental photo CIE L*a*b* analysis compared to spectrophotometer clinical assessments over 6 months.

    Science.gov (United States)

    Sluzker, Ariel; Knösel, Michael; Athanasiou, Athanasios E

    2011-10-01

    To assess the sensitivity of digital dental photo CIE L*a*b* analysis compared to clinical spectrophotometer assessments over 6 months. CIE L*a*b* values for the upper right central incisors of 14 predoctoral dental students subjected to certain color-relevant exclusion criteria were recorded at baseline (T0), after 6 months (T1), and 1 week later (T2), using (Method 1) a spectrophotometer and (Method 2) a method of digital photo analysis. Statistical analysis of color and lightness data between both methods and time points were assessed using the Shapiro-Wilk test, Pearson's correlation coefficient (r), Dahlberg's formula for method error calculation, and paired samples t-tests, adopting a level of significance alpha = 0.05. Between T0 - T1, the spectrophotometer recorded significant changes in lightness (75.51 > 77.75) and color values (a*: 3.25 > 2.38; b*: 18.47 > 17.31), whereas significant changes with Method 2 were only seen for b* (21.51 > 20.57). No significant changes for overall color and lightness changes deltaE to deltaE2 were found for either of the methods. The error of the method (T1-T2) and corresponding correlation coefficients r for values L*a*b* were found to be 1.44 / 0.43 / 0.62 (r: 0.69; P = 0.007/0.64; P = 0.14/0.9; P < 0.001) for Method 1 and 0.97/0.67/1.25 (r : 0.87; P < 0.001/0.63; P = 0.17/0.57, P = 0.04) for Method 2, respectively.

  20. Early results from the Far Infrared Absolute Spectrophotometer (FIRAS)

    Science.gov (United States)

    Mather, J. C.; Cheng, E. S.; Shafer, R. A.; Eplee, R. E.; Isaacman, R. B.; Fixsen, D. J.; Read, S. M.; Meyer, S. S.; Weiss, R.; Wright, E. L.

    1991-01-01

    The Far Infrared Absolute Spectrophotometer (FIRAS) on the Cosmic Background Explorer (COBE) mapped 98 percent of the sky, 60 percent of it twice, before the liquid helium coolant was exhausted. The FIRAS covers the frequency region from 1 to 100/cm with a 7 deg angular resolution. The spectral resolution is 0.2/cm for frequencies less than 20/cm and 0.8/cm for higher frequencies. Preliminary results include: a limit on the deviations from a Planck curve of 1 percent of the peak brightness from 1 to 20/cm, a temperature of 2.735 +/- 0.06 K, a limit on the Comptonization parameter y of 0.001, on the chemical potential parameter mu of 0.01, a strong limit on the existence of a hot smooth intergalactic medium, and a confirmation that the dipole anisotropy spectrum is that of a Doppler shifted blackbody.

  1. Espécies novas de Myrcia DC. e Marlierea Cambes. (Myrtaceae New species of Myrcia DC. and Marlierea Cambes. (Myrtaceae

    Directory of Open Access Journals (Sweden)

    Graziela Maciel Barroso

    1990-12-01

    Full Text Available O trabalho trata de espécies novas de Myrcia DC. e Marlierea Cambes., dois gêneros de Myrtaceae da sub tribo Myrciinae, da Reserva Florestal de Linhares, Espírito Santo, Brasil. Na área são conhecidas 18 espécies de Myrcia, 5 das quais são agora descritas. O gênero Marlierea está representado por 12 espécies, uma das quais é descrita como nova. Os novos taxa são ilustrados, e feitos comentários sobre relacionamento entre espécies afins.This paper deals with new species of Myrcia DC. and Marlierea Cambes., two genera of Myrtaceae subtribus Myrciinae, from the Reserva Florestal of Linhares, Espírito Santo, Brazil. From this area, 18 species of Myrcia are known, 5 of which are new to science and described here. Marlierea is not as rich in species as Myrcia but it is represented by 12 species, one here described as new. The new species are illustrated and some remarks are made about their relationships.

  2. The EspF N-Terminal of Enterohemorrhagic Escherichia coli O157:H7 EDL933w Imparts Stronger Toxicity Effects on HT-29 Cells than the C-Terminal

    Directory of Open Access Journals (Sweden)

    Xiangyu Wang

    2017-09-01

    Full Text Available Enterohemorrhagic Escherichia coli (EHEC O157:H7 EspF is an important multifunctional protein that destroys the tight junctions of intestinal epithelial cells and promotes host cell apoptosis. However, its molecular mechanism remains elusive. We knocked out the espF sequence (747 bp, ΔespF, N-terminal sequence (219 bp, ΔespFN, and C-terminal sequence (528 bp, ΔespFC separately using the pKD46-mediated λ Red homologous recombination system. Then, we built the corresponding complementation strains, namely, ΔespF/pespF, ΔespFN/pespFN, and ΔespFC/pespFC by overlap PCR, which were used in infecting HT-29 cells and BALB/C mice. The level of reactive oxygen species, cell apoptosis, mitochondrial trans-membrane potential, inflammatory factors, transepithelial electrical resistance (TER, and animal mortality were evaluated by DCFH-DA, double staining of Annexin V-FITC/PI, JC-1 staining, ELISA kit, and a mouse assay. The wild-type (WT, ΔespF, ΔespF/pespF, ΔespFC, ΔespFC/pespFC, ΔespFN, and ΔespFN/pespFN groups exhibited apoptotic rates of 68.3, 27.9, 64.9, 65.7, 73.4, 41.3, and 35.3% respectively, and mean TNF-α expression levels of 428 pg/mL, 342, 466, 446, 381, 383, and 374 pg/mL, respectively. In addition, the apoptotic rates and TNF-α levels of the WT, ΔespF/pespF, and ΔespFC were significantly higher than that of ΔespF, ΔespFN, ΔespFC/pespFC, and ΔespFN/pespFN group (p < 0.05. The N-terminal of EspF resulted in an increase in the number of apoptotic cells, TNF-α secretion, ROS generation, mitochondria apoptosis, and pathogenicity in BalB/c mice. In conclusion, the N-terminal domain of the Enterohemorrhagic E. coli O157:H7 EspF more strongly promotes apoptosis and inflammation than the C-terminal domain.

  3. Creation and investigation of powerful EUV sources (λ ∼ 13.5 nm)

    International Nuclear Information System (INIS)

    Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.

    2010-01-01

    Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ∼4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.

  4. Creation and investigation of powerful EUV sources (λ ≈ 13.5 nm)

    Science.gov (United States)

    Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.

    2010-03-01

    Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ˜4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.

  5. Nouvelle espèce des Syntomides (Lepidoptera Heterocera)

    NARCIS (Netherlands)

    Snellen, P.C.T.

    1886-01-01

    Quatre mâles frais et bien conservés de 58—64 millim. d’envergure. Cette nouvelle espèce, gigantesque pour une Syntomide, appartient au genre Automolis, tel qu’il a été défini par Herrich-Schäffer, dans son ouvrage »Sammlung aussereuropäischer Schmetterlinge” (p. 21); le nom est emprunté au bien

  6. Associações espaciais entre indivíduos de diferentes espécies de Miconia spp. Ruiz & Pav. (Melastomataceae

    Directory of Open Access Journals (Sweden)

    Pedro Higuchi

    2011-06-01

    Full Text Available Este estudo avaliou as associações espaciais de indivíduos pertencentes a todas as espécies arbóreas do gênero Miconia presentes no sub-bosque de um plantio experimental de espécies dos gêneros Eucalyptus e Corymbia. Como o gênero Miconia possui a maioria das espécies pioneiras, a hipótese testada foi de que os pares de espécies analisadas apresentam indivíduos com sobreposição total ou parcial de habitat. Considerando o histórico da área, é possível supor que todos os indivíduos se regeneraram a partir da chuva e do banco de sementes. Foi estabelecido um transecto cruzando a área de estudo no sentido de seu maior comprimento, a partir do qual foram determinadas as coordenadas dos indivíduos do gênero Miconia com DAS (diâmetro medido na altura do solo maior ou igual a 1 cm. As associações espaciais entre os indivíduos das diferentes espécies foram analisadas por meio da função K de Ripley bivariada. Foram amostrados indivíduos de Miconia pepericarpa DC., Miconia sellowiana Naudin, Miconia albicans Triana, Miconia argyrophylla DC., Miconia chartacea Triana e Miconia cinnamomifolia (DC. Naudin. De forma geral, os resultados demonstraram ausência de associação espacial negativa entre os pares das espécies, indicando que os indivíduos de Miconia spp. compartilham parcial ou totalmente o mesmo espaço no sub-bosque de um plantio de eucalipto. Os resultados obtidos, apesar de não poderem ser extrapolados para outras espécies, corroboram a ideia de que algumas espécies pioneiras tendem a coexistir, em florestas tropicais, em áreas onde há maior disponibilidade de luz.

  7. Prototype Sistem Multi-Telemetri Wireless untuk Mengukur Suhu Udara Berbasis Mikrokontroler ESP8266 pada Greenhouse

    Directory of Open Access Journals (Sweden)

    Hanum Shirotu Nida

    2017-07-01

    Full Text Available Telemetri wireless adalah proses pengukuran parameter suatu obyek yang hasil pengukurannya dikirimkan ke tempat lain melalui proses pengiriman data tanpa menggunakan kabel (wireless, sedangkan multi telemetri adalah gabungan dari beberapa telemeteri itu sendiri. Penelitian ini merancang prototype sistem multi-telemetri wireless untuk mengukur suhu udara dan kelembaban udara pada greenhouse dengan menggunakan sensor DHT11 dan data hasil dari pembacaan sensor dikirim dengan menggunakan modul WiFi ESP8266 ke server dengan menggunakan protokol HTTP. Dalam penelitian ini diuji nilai sensor DHT11, heap memory ESP8266, jarak atau jangkauan ESP8266, uji coba data missing handling dan kestabilan jaringan. Berdasarkan hasil pengujian diketahui bahwa sensor DHT11 memiliki rata-rata kesalahan ukur suhu 0.92 oC dan kelembaban 3.1%. Modul WiFi ESP8266 mampu menyimpan dan mengirim buffer hingga 100 data dan dapat melakukan pengiriman dalam jangkauan 50 meter. Data missing handling memanfaatkan buffer untuk menyimpan data selama server sedang tidak dapat diakses oleh sensor node agar data tidak hillang. Kestabilan pengiriman data atau koneksi sensor node dengan server dipengaruhi oleh jumlah access point yang sedang berkomunikasi disekitar access point server dengan menggunakan channel yang sama.

  8. EUV microexposures at the ALS using the 0.3-NA MET projection optics

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Goldberg, Kenneth A.; Anderson, Erik; Cain, Jason P.; Denham, Paul; Hoef, Brian; Jackson, Keith; Morlens, Anne-Sophie; Rekawa, Seno; Dean, Kim

    2005-01-01

    The recent development of high numerical aperture (NA) EUV optics such as the 0.3-NA Micro Exposure Tool (MET) optic has given rise to a new class of ultra-high resolution microexposure stations. Once such printing station has been developed and implemented at Lawrence Berkeley National Laboratory's Advanced Light Source. This flexible printing station utilizes a programmable coherence illuminator providing real-time pupil-fill control for advanced EUV resist and mask development. The Berkeley exposure system programmable illuminator enables several unique capabilities. Using dipole illumination out to σ=1, the Berkeley tool supports equal-line-space printing down to 12 nm, well beyond the capabilities of similar tools. Using small-sigma illumination combined with the central obscuration of the MET optic enables the system to print feature sizes that are twice as small as those coded on the mask. In this configuration, the effective 10x-demagnification for equal lines and spaces reduces the mask fabrication burden for ultra-high-resolution printing. The illuminator facilitates coherence studies such as the impact of coherence on line-edge roughness (LER) and flare. Finally the illuminator enables novel print-based aberration monitoring techniques as described elsewhere in these proceedings. Here we describe the capabilities of the new MET printing station and present system characterization results. Moreover, we present the latest printing results obtained in experimental resists. Limited by the availability of high-resolution photoresists, equal line-space printing down to 25 nm has been demonstrated as well as isolated line printing down to 29 nm with an LER of approaching 3 nm

  9. Are gall midge species (Diptera, Cecidomyiidae host-plant specialists? Espécies de moscas galhadoras (Diptera, Cecidomyiidae são especialistas em plantas hospedeiras?

    Directory of Open Access Journals (Sweden)

    Marco Antonio A. Carneiro

    2009-01-01

    Full Text Available Despite the speciose fauna of gall-inducing insects in the Neotropical region, little is known about their taxonomy. On the other hand, gall morphotypes associated with host species have been extensively used as a surrogate of the inducer species worldwide. This study reviewed the described gall midges and their galls to test the generalization on the use of gall morphotypes as surrogates of gall midge species in the Brazilian fauna. We compiled taxonomic and biological data for 196 gall midge species recorded on 128 host plant species. Ninety two percent of those species were monophagous, inducing galls on a single host plant species, whereas only 5.6% species were oligophagous, inducing galls on more than one congeneric host plant species. Only four species induced galls on more than one host plant genus. We conclude that gall morphotypes associated with information on the host plant species and attacked organs are reliable surrogates of the gall-inducing species.Apesar do elevado número de espécies da fauna de insetos indutores de galhas na região Neotropical, muito pouco espécies foram descritas. Por outro lado, o morfotipo da galha associado com a espécie da planta hospedeira é em todo o mundo amplamente utilizado como um indicador da espécie de inseto indutor. Este estudo revê as espécies de cecidommídeos descritos e suas galhas para verificar a generalização do uso da morfologia da galha como indicador da espécie de cecidomíideo na fauna brasileira. Nós compilamos dados biológicos e taxonômicos de 196 espécies de cecidomiídeos em 128 espécies de plantas no Brasil. Noventa e dois porcento destas espécies foram monófagas, induzindo galhas em uma única espécie de planta hospedeira, enquanto somente 5,6% das espécies foram oligófagas, induzindo galhas em mais de uma espécie de planta do mesmo gênero. Somente quatro espécies induzem galhas em espécies de plantas de gêneros diferentes. Nós concluímos que o morfo

  10. ESP's Tank 42 washwater transfer to the 241-F/H tank farms

    International Nuclear Information System (INIS)

    Aponte, C.I.; Lee, E.D.

    1997-12-01

    As a result of the separation of the High-Level Liquid Waste Department into three separate organizations (formerly there were two) (Concentration, Storage, and Transfer (CST), Waste Pre-Treatment (WPT) and Waste Disposition (WD)) process interface controls were required. One of these controls is implementing the Waste the waste between CST and WPT. At present, CST's Waste Acceptance Criteria is undergoing revision and WPT has not prepared the required Waste Compliance Plan (WCP). The Waste Pre-Treatment organization is making preparations for transferring spent washwater in Tank 42 to Tank 43 and/or Tank 22. The washwater transfer is expected to complete the washing steps for preparing ESP batch 1B sludge. This report is intended to perform the function of a Waste Compliance Plan for the proposed transfer. Previously, transfers between the Tank Farm and ITP/ESP were controlled by requirements outlined in the Tank Farm's Technical Standards and ITP/ESP's Process Requirements. Additionally, these controls are implemented primarily in operating procedure 241-FH-7TSQ and ITP Operations Manual SW16.1-SOP-WTS-1 which will be completed prior to performing the waste transfers

  11. Grazing incidence EUV study of the Alcator tokamaks

    International Nuclear Information System (INIS)

    Castracane, J.

    1982-01-01

    The use of impurity radiation to examine plasma conditions is a well known technique. To gain access, however, to the hot, central portion of the plasma created in the present confinement machines it is necessary to be able to observe radiation from medium and heavy elements such as molybdenum and iron. These impurities radiate primarily in the extreme ultra violet region of the spectrum and can play a role in the power balance of the tokamak. Radiation from highly ionized molybdenum was examined on the Alcator A and C tokamaks using a photometrically calibrated one meter grazing incidence monochromator. On Alcator A, a pseudo-continuum of Mo emissions in the 60 to 100 A ranges were seen to comprise 17% of the radiative losses from the plasma. This value closely matched measurements by a broad band bolometer array. Following these preliminary measurements, the monochromator was transferred to Alcator C for a more thorough examination of EUV emissions. Deviations from predicted scaling laws for energy confinement time vs density were observed on this machine

  12. RCI Simulation for EUV spectra from Sn ions

    International Nuclear Information System (INIS)

    Kagawa, T; Tanuma, H; Ohashi, H; Nishihara, K

    2007-01-01

    Using the relativistic-configuration-interaction atomic structure code, RCI simulations for EUV spectra from Sn 10+ , Sn 11+ and Sn 12+ ions are carried out, where it is assumed that each ion is embedded in a LTE plasma with the electron temperature of 30 eV. To make clear assignment of the measured spectra, the value of the excitation energy limit, which is introduced to limit the number of excited states in the simulation, is changed to see the excitation-energy-limit dependence of the spectral shape. The simulated spectra are obtained as a superposition of line intensities due to all possible transitions between two states whose excitation energy from the ground state is lower than the excitation energy limit assumed. The RCI simulated spectra are compared to the spectra measured with the chargeexchange- collision experiment in which a rare gas such as Xe or He as a target is bombarded by a charge-selected tin ion. Applicability of the LTE model to a decay model in the charge exchange collision experiment is also discussed

  13. The EspF N-Terminal of Enterohemorrhagic Escherichia coli O157:H7 EDL933w Imparts Stronger Toxicity Effects on HT-29 Cells than the C-Terminal.

    Science.gov (United States)

    Wang, Xiangyu; Du, Yanli; Hua, Ying; Fu, Muqing; Niu, Cong; Zhang, Bao; Zhao, Wei; Zhang, Qiwei; Wan, Chengsong

    2017-01-01

    Enterohemorrhagic Escherichia coli (EHEC) O157:H7 EspF is an important multifunctional protein that destroys the tight junctions of intestinal epithelial cells and promotes host cell apoptosis. However, its molecular mechanism remains elusive. We knocked out the espF sequence (747 bp, Δ espF ), N-terminal sequence (219 bp, Δ espF N ), and C-terminal sequence (528 bp, Δ espF C ) separately using the pKD46-mediated λ Red homologous recombination system. Then, we built the corresponding complementation strains, namely, Δ espF/pespF , Δ espF N /pespF N , and Δ espF C /pespF C by overlap PCR, which were used in infecting HT-29 cells and BALB/C mice. The level of reactive oxygen species, cell apoptosis, mitochondrial trans-membrane potential, inflammatory factors, transepithelial electrical resistance (TER), and animal mortality were evaluated by DCFH-DA, double staining of Annexin V-FITC/PI, JC-1 staining, ELISA kit, and a mouse assay. The wild-type (WT), Δ espF , Δ espF/pespF , Δ espF C , Δ espF C /pespF C , Δ espF N , and Δ espF N /pespF N groups exhibited apoptotic rates of 68.3, 27.9, 64.9, 65.7, 73.4, 41.3, and 35.3% respectively, and mean TNF-α expression levels of 428 pg/mL, 342, 466, 446, 381, 383, and 374 pg/mL, respectively. In addition, the apoptotic rates and TNF-α levels of the WT, Δ espF/pespF , and Δ espF C were significantly higher than that of Δ espF , Δ espF N , Δ espF C /pespF C , and Δ espF N /pespF N group ( p < 0.05). The N-terminal of EspF resulted in an increase in the number of apoptotic cells, TNF-α secretion, ROS generation, mitochondria apoptosis, and pathogenicity in BalB/c mice. In conclusion, the N-terminal domain of the Enterohemorrhagic E. coli O157:H7 EspF more strongly promotes apoptosis and inflammation than the C-terminal domain.

  14. The efficacy of focus group discussion in teaching ESP speaking skill for prospective vocational school teacher

    Science.gov (United States)

    Nurmasitah, Sita; Faridi, Abdurrachman; Utomo, Aryo Baskoro; Astuti, Pudji

    2018-03-01

    The aims of the study were to implement the focus group discussion in teaching English for Specific Purposes (ESP) speaking skill for prospective Vocational School teacher and also to find out its effectiveness in improving their English speaking skill in ESP course. Quasi-experimental design was employed in this research. Thirty students of Family Welfare Vocational Education Study Program who were taking ESP course, were divided into two classes; experimental and control class. The research data were collected through interview, observation and the students' speaking assessment. The result showed that the implementation of focus group discussion method in the experimental class effectively increased the students' speaking skill compared to the control class.

  15. Antraquinonas e naftoquinonas do caule de um espécime de reflorestamento de Tectona grandi (Verbenaceae

    Directory of Open Access Journals (Sweden)

    Rafael Y.O. Moreira

    Full Text Available O fracionamento do extrato hexânico do caule de um espécime de reflorestamento de Tectona grandis (Verbenaceae, através de procedimentos fitoquímicos clássicos, levou ao isolamento das naftoquinonas lapachol e desidro-a-lapachona e das antraquinonas tectoquinona e obtusifolina. As estruturas das substâncias foram caracterizadas através da análise de métodos espectrométricos de RMN. Este é o primeiro estudo fitoquímico de um espécime de reflorestamento de Tectona grandis, no Brasil, sendo o objetivo principal deste trabalho a comprovação da presença de tectoquinona em espécimes cultivados.

  16. Agreement between digital image analysis and clinical spectrophotometer in CIEL*C*h° coordinate differences and total color difference (ΔE) measurements of dental ceramic shade tabs.

    Science.gov (United States)

    Farah, Ra'fat I

    2016-01-01

    The objectives of this in vitro study were: 1) to test the agreement among color coordinate differences and total color difference (ΔL*, ΔC*, Δh°, and ΔE) measurements obtained by digital image analysis (DIA) and spectrophotometer, and 2) to test the reliability of each method for obtaining color differences. A digital camera was used to record standardized images of each of the 15 shade tabs from the IPS e.max shade guide placed edge-to-edge in a phantom head with a reference shade tab. The images were analyzed using image-editing software (Adobe Photoshop) to obtain the color differences between the middle area of each test shade tab and the corresponding area of the reference tab. The color differences for the same shade tab areas were also measured using a spectrophotometer. To assess the reliability, measurements for the 15 shade tabs were repeated twice using the two methods. The Intraclass Correlation Coefficient (ICC) and the Dahlberg index were used to calculate agreement and reliability. The total agreement of the two methods for measuring ΔL*, ΔC*, Δh°, and ΔE, according to the ICC, exceeded 0.82. The Dahlberg indices for ΔL* and ΔE were 2.18 and 2.98, respectively. For the reliability calculation, the ICCs for the DIA and the spectrophotometer ΔE were 0.91 and 0.94, respectively. High agreement was obtained between the DIA and spectrophotometer results for the ΔL*, ΔC*, Δh°, and ΔE measurements. Further, the reliability of the measurements for the spectrophotometer was slightly higher than the reliability of all measurements in the DIA.

  17. Fenologia reprodutiva de espécies arbóreas em área fragmentada de Mata Atlântica em Itaborai, RJ

    Directory of Open Access Journals (Sweden)

    Juliana Müller Freire

    2013-09-01

    Full Text Available Estudos fenológicos podem servir de subsídio para ações de colheita de sementes e recuperação de áreas degradadas, contribuindo para a conservação das espécies florestais. Este trabalho teve como objetivo conhecer a época de floração e frutificação de 21 espécies arbóreas nativas em área fragmentada de Mata Atlântica em Itaboraí, RJ. Foram acompanhadas mensalmente 91 matrizes, no período de janeiro a dezembro de 2011. A maior parte das espécies floresceu no final da estação seca e início da chuvosa, tendo sido observada correlação moderada e negativa entre floração e fotoperíodo. Observaram-se dois picos de frutificação: de março a abril para as espécies zoocóricas, e de setembro a outubro para espécies não zoocóricas, com correlação positiva entre precipitação e frutificação de espécies zoocóricas. Foi alta a incidência de patógenos nos frutos, comprometendo a produção de sementes de Machaerium hirtum, Melanoxylon brauna, Machaerium brasiliense e Psidium guineense. Outras espécies apresentaram baixa produção de frutos, como Andira sp., Swartzia oblata, Guarea guidonea e Enterolobium glaziovii. A sincronia de floração e frutificação entre as matrizes foi baixa para a maioria das espécies. A falta de trabalhos de fenologia para a maioria das espécies estudadas (52% reforça a necessidade de pesquisa para a região de estudo.

  18. How to measure a-few-nanometer-small LER occurring in EUV lithography processed feature

    Science.gov (United States)

    Kawada, Hiroki; Kawasaki, Takahiro; Kakuta, Junichi; Ikota, Masami; Kondo, Tsuyoshi

    2018-03-01

    For EUV lithography features we want to decrease the dose and/or energy of CD-SEM's probe beam because LER decreases with severe resist-material's shrink. Under such conditions, however, measured LER increases from true LER, due to LER bias that is fake LER caused by random noise in SEM image. A gap error occurs between the right and the left LERs. In this work we propose new procedures to obtain true LER by excluding the LER bias from the measured LER. To verify it we propose a LER's reference-metrology using TEM.

  19. Brake hydraulics simulation with real-time capability. From hydraulics plan to implementation: the Bosch ESP 5.7 as an example; Echtzeitfaehige Bremshydrauliksimulation. Vom Hydraulikplan zur Implementierung am Beispiel des Bosch ESP 5.7

    Energy Technology Data Exchange (ETDEWEB)

    Marc, E.; Oliver, P.; Thies, W. [Tesis Dynaware (Germany)

    2001-11-01

    For the development and testing of ABS/ESP control units, both the detailed simulation of the vehicle and drive train dynamics as well as the dynamic behaviour of the hydraulic system are important. The signals coming from the control unit that control the valves in the brake hydraulic system cause pressure changes in the brake system and thus affect the braking torque. In turn, the changes in the speed of the wheels are fed back to the control unit via sensors. The objective of an ABS control strategy is to prevent the wheels from locking during braking in order to ensure and maintain the steerability of the vehicle, while the ESP action also attempts to achieve a stabilization of the yawing motion by means of active braking. (orig.) [German] Fuer die Entwicklung und den Test von ABS/ESP-Steuergeraeten ist neben der detaillierten Simulation von Fahr- und Antriebsstrangdynamik auch das dynamische Verhalten des hydraulischen Systems wichtig. Die vom Steuergeraet kommenden Signale zur Ansteuerung der Ventile in der Bremshydraulik bewirken Druckaenderungen im Bremssystem und somit der Bremsmomente. Die Aenderungen der Raddrehzahlen werden wiederum ueber Sensoren dem Steuergeraet zurueckgemeldet. Ziel einer ABS-Regelstrategie ist das Verhindern des Blockierens der Raeder beim Bremsen, um die Lenkbarkeit des Fahrzeugs zu erhalten, waehrend bei einem ESP-Eingriff durch aktives Bremsen zusaetzlich eine Stabilisierung der Gierbewegung erfolgen soll, wie der folgende Beitrag von Tesis Dynaware zeigt. (orig.)

  20. Impulsive EUV bursts observed in C IV with OSO-8

    International Nuclear Information System (INIS)

    Grant Athay, R.; White, O.R.; Lites, B.W.

    1980-01-01

    Time sequences of profiles of the lambda 1548 line of C IV containing 51 EUV bursts observed in or near active regions are analyzed to determine the brightness. Doppler shift and line broadening characteristics of the bursts. The bursts have mean lifetimes of approximately 150s, and mean increases in brightness at burst maximum of four-fold as observed with a field of view of 2'' x 20''. Mean burst diameters are estimated to be 3'', or smaller. All but three of the bursts show Doppler shift with velocities sometimes exceeding 75 km s -1 ; 31 are dominated by red shifts and 17 are dominated by blue shifts. Approximately half of the latter group have red-shifted precursors. We interpret the bursts as prominence material, such as surges and coronal rain, moving through the field of view of the spectrometer. (orig.)

  1. Investigação das atividades antioxidante e antimicrobiana de duas espécies arbóreas ocorrentes no bioma caatinga

    Directory of Open Access Journals (Sweden)

    Fábio Rogério Santos Nunes

    2016-05-01

    Full Text Available O bioma caatinga é um bioma brasileiro predominante e único no Nordeste do Brasil. Esse bioma abriga uma impressionante biodiversidade florística com espécies ricas em moléculas bioativas. O objetivo deste trabalho foi investigar a atividade antioxidante e antimicrobiana de duas espécies vegetais arbóreas ocorrentes na caatinga. Nos ensaios de atividade antioxidante dos extratos etanólicos da casca do caule das espécies Leucaena leucocephala e Cnidoscolus quercifolius foram avaliados o teor de fenólicos totais e atividade antioxidante pelos métodos de captura dos radicais livres DPPH (radical 1,1-diphenil-2-picrilhydrazil e ABTS (radical 2,2’azinobis-(3-ethylbenzthiazoline-6-sulfonic acid. A atividade antimicrobiana desses extratos foi avaliada frente às espécies bacterianas Enterococcus faecales (ATCC 29212, Streptococcus pneumoniae (ATCC 49619 e Staphylococcus aureus (ATCC 25923, através do ensaio de difusão em poço e determinação de Concentração Inibitória Mínima (CIM.   Os teores de fenólicos totais encontrados na espécie L. leucocephala exibiram quantidades relevantes de polifenóis, resultado não observado na espécie C. quercifolius.  Foi observado existir uma correlação direta entre a quantidade de fenólicos totais e a atividade antioxidante das espécies testadas. Nenhuma das espécies apresentou atividade antimicrobiana significativa.

  2. TIMED solar EUV experiment: preflight calibration results for the XUV photometer system

    Science.gov (United States)

    Woods, Thomas N.; Rodgers, Erica M.; Bailey, Scott M.; Eparvier, Francis G.; Ucker, Gregory J.

    1999-10-01

    The Solar EUV Experiment (SEE) on the NASA Thermosphere, Ionosphere, and Mesosphere Energetics and Dynamics (TIMED) mission will measure the solar vacuum ultraviolet (VUV) spectral irradiance from 0.1 to 200 nm. To cover this wide spectral range two different types of instruments are used: a grating spectrograph for spectra between 25 and 200 nm with a spectral resolution of 0.4 nm and a set of silicon soft x-ray (XUV) photodiodes with thin film filters as broadband photometers between 0.1 and 35 nm with individual bandpasses of about 5 nm. The grating spectrograph is called the EUV Grating Spectrograph (EGS), and it consists of a normal- incidence, concave diffraction grating used in a Rowland spectrograph configuration with a 64 X 1024 array CODACON detector. The primary calibrations for the EGS are done using the National Institute for Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF-III) in Gaithersburg, Maryland. In addition, detector sensitivity and image quality, the grating scattered light, the grating higher order contributions, and the sun sensor field of view are characterized in the LASP calibration laboratory. The XUV photodiodes are called the XUV Photometer System (XPS), and the XPS includes 12 photodiodes with thin film filters deposited directly on the silicon photodiodes' top surface. The sensitivities of the XUV photodiodes are calibrated at both the NIST SURF-III and the Physikalisch-Technische Bundesanstalt (PTB) electron storage ring called BESSY. The other XPS calibrations, namely the electronics linearity and field of view maps, are performed in the LASP calibration laboratory. The XPS and solar sensor pre-flight calibration results are primarily discussed as the EGS calibrations at SURF-III have not yet been performed.

  3. Collocations and collocation types in ESP textbooks: Quantitative pedagogical analysis

    Directory of Open Access Journals (Sweden)

    Bogdanović Vesna Ž.

    2016-01-01

    Full Text Available The term collocation, even though it is rather common in the English language grammar, it is not a well known or commonly used term in the textbooks and scientific papers written in the Serbian language. Collocating is usually defined as a natural appearance of two (or more words, which are usually one next to another even though they can be separated in the text, while collocations are defined as words with natural semantic and/or syntactic relations being joined together in a sentence. Collocations are naturally used in all English written texts, including scientific texts and papers. Using two textbooks for English for Specific Purposes (ESP for intermediate students' courses, this paper presents the frequency of collocations and their typology. The paper tries to investigate the relationship between lexical and grammatical collocations written in the ESP texts and the reasons for their presence. There is an overview of the most used subtypes of lexical collocations as well. Furthermore, on applying the basic corpus analysis based on the quantitative analysis, the paper presents the number of open, restricted and bound collocations in ESP texts, trying to draw conclusions on their frequency and hence the modes for their learning. There is also a section related to the number and usage of scientific collocations, both common scientific and narrow-professional ones. The conclusion is that the number of present collocations in the selected two textbooks imposes a demand for further analysis of these lexical connections, as well as new modes for their teaching and presentations to the English learning students.

  4. Caracterização comparativa do intestino das espécies da Ordem Xenarthra

    Directory of Open Access Journals (Sweden)

    Marina M. Carvalho

    2014-12-01

    Full Text Available Resumo: O sucesso na manutenção de uma espécie depende de vários fatores entre eles a eficiência digestiva, sendo assim parâmetros morfométricos do tubo digestório são necessários para o conhecimento dos processos digestivos dos alimentos no organismo animal além de indicar a preferência alimentar de uma espécie. Este trabalho visou descrever morfologicamente os intestinos delgado e grosso, órgãos do sistema digestório de representantes da ordem Xenarthra a fim de fornecer subsídios para a avaliação da dieta e realização de procedimentos clínicos nestes animais, sejam eles de vida livre ou de cativeiro. Foram utilizados 7 espécimes entre preguiças-de-coleira (Bradypus torquatus, tatu-verdadeiro (Dasypus novemcinctus e tamanduá-bandeira (Myrmecophaga tridactyla. Todos as amostras foram processadas seguindo procedimentos de rotina efetuados nos laboratórios de Anatomia Animal e Histologia da FZEA/USP. Os intestinos de B. torquatus se apresentaram curtos e simples, enquanto que nos exemplares de D. novemcintus e M. tridactyla o intestino era longo e com algumas peculiaridades. No duodeno de todos os espécimes notamos a presença das glândulas de Brünner e estruturas para aumentar a superfície de absorção. Apenas em preguiças, o mesentério mantém o jejuno preso à parede dorsal da cavidade abdominal. O íleo representou a menor porção nas preguiças e tatus, exceto em tamanduáque apresentava o íleo como a maior parte depois do jejuno. O ceco em tatus e tamanduás apresentavam tamanho considerável e a presença de glândulas na mucosa, nestas espécies destacamos a funcionalidade do ceco, uma vez que este se apresentou repleto de restos alimentares. Na mucosa do cólon de todos os espécimes, haviam criptas de Lieberkühn, sendo mais numerosas em D. novemcinctus e M. tridactyla. Apenas em B. torquatus, o reto apresentou maior diâmetro e rigidez em relação ao cólon. No reto de todas as espécies estudadas, a

  5. Transformação genética em espécies florestais.

    OpenAIRE

    Claudia Studart-Guimarães; Cristiano Lacorte; Ana Cristina Miranda Brasileiro

    2010-01-01

    A transformação genética, que compreende a introdução de genes exógenos de forma controlada no genoma de uma célula vegetal e posterior regeneração da planta transgênica, tem contribuído com os programas de melhoramento genético de plantas pela obtenção de genótipos com novas características de interesse. O melhoramento de espécies florestais é limitado por características intrínsecas a tais espécies, como a altura dos indivíduos e o ciclo longo de vida. A transformação genética constitui, po...

  6. Flight of a UV spectrophotometer aboard Galileo 2, the NASA Convair 990 aircraft

    Science.gov (United States)

    Sellers, B.; Hunderwadel, J. L.; Hanser, F. A.

    1976-01-01

    An ultraviolet interference-filter spectrophotometer (UVS) fabricated for aircraft-borne use on the DOT Climatic Impact Assessment Program (CIAP) has been successfully tested in a series of flights on the NASA Convair 990, Galileo II. UV flux data and the calculated total ozone above the flight path are reported for several of the flights. Good agreement is obtained with the total ozone as deducted by integration of an ozone sonde vertical profile obtained at Wallops Island, Virginia near the time of a CV-990 underpass. Possible advantages of use of the UVS in the NASA Global Atmospheric Sampling Program are discussed.

  7. Quantification of dsDNA using the Hitachi F-7000 Fluorescence Spectrophotometer and PicoGreen dye.

    Science.gov (United States)

    Moreno, Luis A; Cox, Kendra L

    2010-11-05

    Quantification of DNA, especially in small concentrations, is an important task with a wide range of biological applications including standard molecular biology assays such as synthesis and purification of DNA, diagnostic applications such as quantification of DNA amplification products, and detection of DNA molecules in drug preparations. During this video we will demonstrate the capability of the Hitachi F-7000 Fluorescence Spectrophotometer equipped with a Micro Plate Reader accessory to perform dsDNA quantification using Molecular Probes Quant-it PicoGreen dye reagent kit. The F-7000 Fluorescence Spectrophotometer offers high sensitivity and high speed measurements. It is a highly flexible system capable of measuring fluorescence, luminescence, and phosphorescence. Several measuring modes are available, including wavelength scan, time scan, photometry and 3-D scan measurement. The spectrophotometer has sensitivity in the range of 50 picomoles of fluorescein when using a 300 μL sample volume in the microplate, and is capable of measuring scan speeds of 60,000 nm/minute. It also has a wide dynamic range of up to 5 orders of magnitude which allows for the use of calibration curves over a wide range of concentrations. The optical system uses all reflective optics for maximum energy and sensitivity. The standard wavelength range is 200 to 750 nm, and can be extended to 900 nm when using one of the optional near infrared photomultipliers. The system allows optional temperature control for the plate reader from 5 to 60 degrees Celsius using an optional external temperature controlled liquid circulator. The microplate reader allows for the use of 96 well microplates, and the measuring speed for 96 wells is less than 60 seconds when using the kinetics mode. Software controls for the F-7000 and Microplate Reader are also highly flexible. Samples may be set in either column or row formats, and any combination of wells may be chosen for sample measurements. This allows

  8. [Precision and accuracy of a dental spectrophotometer in gingival color measurement of maxillary anterior gingival].

    Science.gov (United States)

    Du, Yang; Tan, Jian-guo; Chen, Li; Wang, Fang-ping; Tan, Yao; Zhou, Jian-feng

    2012-08-18

    To explore a gingival shade matching method and to evaluate the precision and accuracy of a dental spectrophotometer modified to be used in gingival color measurement. Crystaleye, a dental spectrophotometer (Olympus, Tokyo, Japan) with a custom shading cover was tested. For precision assessment, two experienced experimenters measured anterior maxillary incisors five times for each tooth. A total of 20 healthy gingival sites (attached gingiva, free gingiva and medial gingival papilla in anterior maxillary region) were measured,the Commission Internationale de I' Eclairage (CIE) color parameters (CIE L*a*b*) of which were analyzed using the supporting software. For accuracy assessment, a rectangular area of approximately 3 mm×3 mm was chosen in the attached gingival portion for spectral analysis. PR715 (SpectraScan;Photo Research Inc.,California, USA), a spectroradiometer, was utilized as standard control. Average color differences (ΔE) between the values from PR715 and Crystaleye were calculated. In precision assessment,ΔL* between the values in all the test sites and average values were from(0.28±0.16)to(0.78±0.57), with Δa*and Δb* from(0.28±0.15)to (0.87±0.65),from(0.19±0.09)to( 0.58±0.78), respectively. Average ΔE between values in all test sites and average values were from (0.62 ± 0.17) to (1.25 ± 0.98) CIELAB units, with a total average ΔE(0.90 ± 0.18). In accuracy assessment, ΔL* with control device were from(0.58±0.50)to(2.22±1.89),with Δa*and Δb* from(1.03±0.67)to(2.99±1.32),from(0.68±0.78)to(1.26±0.83), respectively. Average ΔE with the control device were from (2.44±0.82) to (3.51±1.03) CIELAB units, with a total average ΔE (2.96 ± 1.08). With appropriate modification, Crystaleye, the spectrophotometer, has demonstrated relative minor color variations that can be useful in gingival color measurement.

  9. Assessing the Engagement, Learning, and Overall Experience of Students Operating an Atomic Absorption Spectrophotometer with Remote Access Technology

    Science.gov (United States)

    Erasmus, Daniel J.; Brewer, Sharon E.; Cinel, Bruno

    2015-01-01

    The use of internet-based technologies in the teaching of laboratories has emerged as a promising education tool. This study evaluated the effectiveness of using remote access technology to operate an atomic absorption spectrophotometer in analyzing the iron content in a crude myoglobin extract. Sixty-two students were surveyed on their level of…

  10. Development of high power pumping system for capillary discharge EUV laser

    International Nuclear Information System (INIS)

    Sakai, Yusuke; Komatsu, Takanori; Watanabe, Masato; Okino, Akitoshi; Hotta, Eiki

    2008-01-01

    Development of high power pumping system for capillary discharge soft X-ray laser is reported. The pulsed power system consists of a 2.2 μF LC generator, a 2:54 step-up transformer and a 3 nF water capacitor. Taking advantage of high efficiency configuration, step-up ratio of water capacitor voltage to LC generator initial voltage is about 40 times. Consequently, obtained water capacitor voltage reaches about 450 kV when LC generator was charged to 12.5 kV. As a consequent, possibility of charging a water capacitor to 1 MV is demonstrated. With this extremely compact system, discharge current could be increased to nearly 100 kA through moderately long capillary, which leads to generation of high-density and high-temperature plasma column in order to realize EUV laser. (author)

  11. Melostelis gen. nov., espécies novas e notas complementares sobre Anthidiini (Hymenoptera, Apidae

    Directory of Open Access Journals (Sweden)

    Danúncia Urban

    2011-06-01

    Full Text Available Melostelis gen. nov., espécies novas e notas complementares sobre Anthidiini (Hymenoptera, Apidae. Melostelis gen. nov. é proposto para um novo Anthidiini cleptoparasita. São descritas e ilustradas duas espécies novas: Melostelis amazonensis sp. nov. de Manaus, Amazonas e Larocanthidium chacoense sp. nov. de Porto Murtinho, Mato Grosso do Sul. São dados a conhecer os machos de Epanthidium bolivianum Urban, 1995 e Epanthidium araranguense Urban, 2006 e, registrados pela primeira vez no Brasil, na sub-região do chaco, Ketianthidium zanolae Urban, 2000 e Epanthidium bolivianum.

  12. Branchiura sowerbyi (Oligochaeta, Naididae) como espécie-teste em bioensaios ecotoxicológicos

    OpenAIRE

    Haroldo Lobo dos Santos Nascimento

    2014-01-01

    Estudos com oligoquetas aquáticos aplicados à ecotoxicologia são quase inexistentes no Brasil, embora diversas agências reguladoras já tenham demonstrado interesse na inclusão da espécie Branchiura sowerbyi (Oligochaeta, Naididae) em protocolos de monitoramento de ambientes aquáticos tropicais. Com o objetivo de ampliar o conhecimento sobre o potencial de utilização desta espécie em ensaios ecotoxicológicos, efetuou-se ampla revisão bibliográfica entre 1950 e 2012, verificando-se discrepância...

  13. kW-class picosecond thin-disc prepulse laser Perla for efficient EUV generation

    Czech Academy of Sciences Publication Activity Database

    Endo, Akira; Smrž, Martin; Mužík, Jiří; Novák, Ondřej; Chyla, Michal; Mocek, Tomáš

    2017-01-01

    Roč. 16, č. 4 (2017), s. 1-6, č. článku 041011. ISSN 1932-5150 R&D Projects: GA MŠk LO1602; GA ČR GA16-12960S; GA MŠk LM2015086 EU Projects: European Commission(XE) 739573 - HiLASE CoE Grant - others:OP VVV - HiLASE-CoE(XE) CZ.02.1.01/0.0/0.0/15_006/0000674 Institutional support: RVO:68378271 Keywords : EUV source * laser produced plasma * FEL * prepulse * thin-disc laser Subject RIV: BH - Optics, Masers, Laser s OBOR OECD: Optics (including laser optics and quantum optics) Impact factor: 1.350, year: 2016

  14. NEED ANALYSIS FOR IDENTIFYING ESP MATERIALS FOR MEDICAL RECORD STUDENTS IN APIKES CITRA MEDIKA SURAKARTA

    Directory of Open Access Journals (Sweden)

    Beta Setiawati

    2016-06-01

    and quantitative methods. The outcomesof this study showed the real necessities of students in learning English to prepare their future at the field of medical record and health information. Findings of the need analysis demonstrate that all four of the language skills were necessary for their academic studies and their target career. There are certain topics related to English for medical record such as medical record staff’ duties, ethical and legal issues in medical record, Hospital statistics, Medical record filling system, Health information system, and so on. Accordingly, this study proposes new ESP materials based on the stakeholders’ needs.It is suggested that textbook or handout of English for Medical Record will be made based on the Need Analysis by ESP designers and ESP lecturers involve actively recognizing the progressive needs of medical record students.

  15. A compact, quasi-monochromatic laser-plasma EUV source based on a double-stream gas-puff target at 13.8 nm wavelength

    Czech Academy of Sciences Publication Activity Database

    Wachulak, P.W.; Bartnik, A.; Fiedorowicz, H.; Feigl, T.; Jarocki, R.; Kostecki, J.; Rudawski, P.; Sawicka, Magdalena; Szczurek, M.; Szczurek, A.; Zawadzki, Z.

    2010-01-01

    Roč. 100, č. 3 (2010), 461-469 ISSN 0946-2171 Institutional research plan: CEZ:AV0Z10100523 Keywords : laser-plasma * EUV source * gas puff target * elliptical multi- layer * mirror * table-top setup Subject RIV: BH - Optics, Masers, Lasers Impact factor: 2.239, year: 2010

  16. Components of Task-Based Needs Analysis of the ESP Learners with the Specialization of Business and Tourism

    Science.gov (United States)

    Poghosyan, Naira

    2016-01-01

    In the following paper we shall thoroughly analyze the target learning needs of the learners within an ESP (English for Specific Purposes) context. The main concerns of ESP have always been and remain with the needs analysis, text analysis and preparing learners to communicate effectively in the tasks prescribed by their study or work situation.…

  17. Evaluation of the LASL automated spectrophotometer for uranium determination at submilligram levels

    International Nuclear Information System (INIS)

    Hollen, R.M.; Jackson, D.D.; Rein, J.E.

    1977-07-01

    The LASL automated spectrophotometer, designed for determination of 1 to 14 mg of uranium and 0.5 to 14 mg of plutonium, has been evaluated for determination of lower levels of uranium to 0.12 mg. The essentially linear response of absorbance is maintained and the standard deviation for a single measurement is constant at about 0.013 mg of uranium, corresponding to a maximum uncertainty of about 10 percent at the 0.12-mg limit. The instrument was applied to the analysis of a series of low-level-concentration, 0.07- to 0.8-mg/ml uranium samples. The results were not statistically different from those obtained by a manual spectrophotometric method

  18. A Study of UV Spectral Transmission Through Different Transparent Media with Spectrophotometer

    Directory of Open Access Journals (Sweden)

    R B Gadgil

    1981-01-01

    Full Text Available This experiment was carried out with the help of spectrophotometer using an artificial UV light source to find -out the percentage transmission of UVA and UVB regions of the UV spectrum. The aim of the experiment was to select the transparent medium which would block mum UVB at the same time allowing mammum UVA to pass through thus reducing the unwanted side effects of UVB light and reducing the efficacy of PUVASOL. It was observed that an ordinary plain 3.3 mm colorless glass was the best transparent medium and with its use a simple solarium could be constructed to treat patients on PUVASOL with sunlight as the source of UV light.

  19. Structural and functional characterization of cleavage and inactivation of human serine protease inhibitors by the bacterial SPATE protease EspPα from enterohemorrhagic E. coli.

    Directory of Open Access Journals (Sweden)

    André Weiss

    Full Text Available EspPα and EspI are serine protease autotransporters found in enterohemorrhagic Escherichia coli. They both belong to the SPATE autotransporter family and are believed to contribute to pathogenicity via proteolytic cleavage and inactivation of different key host proteins during infection. Here, we describe the specific cleavage and functional inactivation of serine protease inhibitors (serpins by EspPα and compare this activity with the related SPATE EspI. Serpins are structurally related proteins that regulate vital protease cascades, such as blood coagulation and inflammatory host response. For the rapid determination of serpin cleavage sites, we applied direct MALDI-TOF-MS or ESI-FTMS analysis of coincubations of serpins and SPATE proteases and confirmed observed cleavage positions using in-gel-digest of SDS-PAGE-separated degradation products. Activities of both serpin and SPATE protease were assessed in a newly developed photometrical assay using chromogenic peptide substrates. EspPα cleaved the serpins α1-protease inhibitor (α1-PI, α1-antichymotrypsin, angiotensinogen, and α2-antiplasmin. Serpin cleavage led to loss of inhibitory function as demonstrated for α1-PI while EspPα activity was not affected. Notably, EspPα showed pronounced specificity and cleaved procoagulatory serpins such as α2-antiplasmin while the anticoagulatory antithrombin III was not affected. Together with recently published research, this underlines the interference of EspPα with hemostasis or inflammatory responses during infection, while the observed interaction of EspI with serpins is likely to be not physiologically relevant. EspPα-mediated serpin cleavage occurred always in flexible loops, indicating that this structural motif might be required for substrate recognition.

  20. Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography

    Science.gov (United States)

    van Setten, Eelco; Bottiglieri, Gerardo; de Winter, Laurens; McNamara, John; Rusu, Paul; Lubkoll, Jan; Rispens, Gijsbert; van Schoot, Jan; Neumann, Jens Timo; Roesch, Matthias; Kneer, Bernhard

    2017-10-01

    To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore's law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non