WorldWideScience

Sample records for euv source based

  1. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  2. EUV sources for the alpha-tools

    Science.gov (United States)

    Pankert, Joseph; Apetz, Rolf; Bergmann, Klaus; Damen, Marcel; Derra, Günther; Franken, Oliver; Janssen, Maurice; Jonkers, Jeroen; Klein, Jürgen; Kraus, Helmar; Krücken, Thomas; List, Andreas; Loeken, Micheal; Mader, Arnaud; Metzmacher, Christof; Neff, Willi; Probst, Sven; Prümmer, Ralph; Rosier, Oliver; Schwabe, Stefan; Seiwert, Stefan; Siemons, Guido; Vaudrevange, Dominik; Wagemann, Dirk; Weber, Achim; Zink, Peter; Zitzen, Oliver

    2006-03-01

    In this paper, we report on the recent progress of the Philips Extreme UV source. The Philips source concept is based on a discharge plasma ignited in a Sn vapor plume that is ablated by a laser pulse. Using rotating electrodes covered with a regenerating tin surface, the problems of electrode erosion and power scaling are fundamentally solved. Most of the work of the past year has been dedicated to develop a lamp system which is operating very reliably and stable under full scanner remote control. Topics addressed were the development of the scanner interface, a dose control system, thermo-mechanical design, positional stability of the source, tin handling, and many more. The resulting EUV source-the Philips NovaTin(R) source-can operate at more than 10kW electrical input power and delivers 200W in-band EUV into 2π continuously. The source is very small, so nearly 100% of the EUV radiation can be collected within etendue limits. The lamp system is fully automated and can operate unattended under full scanner remote control. 500 Million shots of continuous operation without interruption have been realized, electrode lifetime is at least 2 Billion shots. Three sources are currently being prepared, two of them will be integrated into the first EUV Alpha Demonstration tools of ASML. The debris problem was reduced to a level which is well acceptable for scanner operation. First, a considerable reduction of the Sn emission of the source has been realized. The debris mitigation system is based on a two-step concept using a foil trap based stage and a chemical cleaning stage. Both steps were improved considerably. A collector lifetime of 1 Billion shots is achieved, after this operating time a cleaning would be applied. The cleaning step has been verified to work with tolerable Sn residues. From the experimental results, a total collector lifetime of more than 10 Billion shots can be expected.

  3. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    Complete text of publication follows. Extreme ultraviolet (EUV) can be produced form a high-temperature plasma generated by interaction of high power laser pulses with matter. Laser plasma EUV sources are considered to be used in various applications in physics, material science, biomedicine, and technology. In the paper new compact laser plasma EUV sources developed for processing polymers and imaging are presented. The sources are based on a gas puff target formed by pulsed injection of a small amount of gas under high-pressure into a laser focus region. The use of the gas puff target instead of a solid target allows for efficient generation of EUV radiation without debris production. The compact laser plasma EUV source based on a gas puff target was developed for metrology applications. The EUV source developed for processing polymers is equipped with a grazing incidence axisymmetrical ellipsoidal mirror to focus EUV radiation in the relatively broad spectral range with the strong maximum near 10 nm. The size of the focal spot is about 1.3 mm in diameter with the maximum fluence up to 70 mJ/cm 2 . EUV radiation in the wavelength range of about 5 to 50 nm is produced by irradiation of xenon or krypton gas puff target with a Nd:YAG laser operating at 10 Hz and delivering 4 ns pulses of energy up to 0.8 J per pulse. The experiments on EUV irradiation of various polymers have been performed. Modification of polymer surfaces was achieved, primarily due to direct photo-etching with EUV photons and formation of micro- and nanostructures onto the surface. The mechanism of the interaction is similar to the UV laser ablation where energetic photons cause chemical bonds of the polymer chain to be broken. However, because of very low penetration depth of EUV radiation, the interaction region is limited to a very thin surface layer (<100 nm). This makes it possible to avoid degradation of bulk material caused by deeply penetrating UV radiation. The results of the studies

  4. Performance of 100-W HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  5. Nanoimaging using soft X-ray and EUV laser-plasma sources

    Science.gov (United States)

    Wachulak, Przemyslaw; Torrisi, Alfio; Ayele, Mesfin; Bartnik, Andrzej; Czwartos, Joanna; Węgrzyński, Łukasz; Fok, Tomasz; Fiedorowicz, Henryk

    2018-01-01

    In this work we present three experimental, compact desk-top imaging systems: SXR and EUV full field microscopes and the SXR contact microscope. The systems are based on laser-plasma EUV and SXR sources based on a double stream gas puff target. The EUV and SXR full field microscopes, operating at 13.8 nm and 2.88 nm wavelengths are capable of imaging nanostructures with a sub-50 nm spatial resolution and short (seconds) exposure times. The SXR contact microscope operates in the "water-window" spectral range and produces an imprint of the internal structure of the imaged sample in a thin layer of SXR sensitive photoresist. Applications of such desk-top EUV and SXR microscopes, mostly for biological samples (CT26 fibroblast cells and Keratinocytes) are also presented. Details about the sources, the microscopes as well as the imaging results for various objects will be presented and discussed. The development of such compact imaging systems may be important to the new research related to biological, material science and nanotechnology applications.

  6. Study of crystalline thin films and nanofibers by means of the laser–plasma EUV-source based microscopy

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Bartnik, A.; Baranowska-Korczyc, A.; Pánek, D.; Brůža, P.; Kostecki, J.; Węgrzyński, Ł.; Jarocki, R.; Szczurek, M.; Fronc, K.; Elbaum, D.; Fiedorowicz, H.

    2013-01-01

    New developments in nanoscience and nanotechnology require nanometer scale resolution imaging tools and techniques such as an extreme ultraviolet (EUV) and soft X-ray (SXR) microscopy, based on Fresnel zone plates. In this paper, we report on applications of a desk-top microscopy using a laser-plasma EUV source based on a gas-puff target for studies of morphology of thin silicon membranes coated with NaCl crystals and samples composed of ZnO nanofibers

  7. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  8. Modular EUV Source for the next generation lithography

    International Nuclear Information System (INIS)

    Sublemontier, O.; Rosset-Kos, M.; Ceccotti, T.; Hergott, J.F.; Auguste, Th.; Normand, D.; Schmidt, M.; Beaumont, F.; Farcage, D.; Cheymol, G.; Le Caro, J.M.; Cormont, Ph.; Mauchien, P.; Thro, P.Y.; Skrzypczak, J.; Muller, S.; Marquis, E.; Barthod, B.; Gaurand, I.; Davenet, M.; Bernard, R.

    2011-01-01

    The present work, performed in the frame of the EXULITE project, was dedicated to the design and characterization of a laser-plasma-produced extreme ultraviolet (EUV) source prototype at 13.5 nm for the next generation lithography. It was conducted in cooperation with two laboratories from CEA, ALCATEL and THALES. One of our approach originalities was the laser scheme modularity. Six Nd:YAG laser beams were focused at the same time on a xenon filament jet to generate the EUV emitting plasma. Multiplexing has important industrial advantages and led to interesting source performances in terms of in-band power, stability and angular emission properties with the filament jet target. A maximum conversion efficiency (CE) value of 0.44% in 2π sr and 2% bandwidth was measured, which corresponds to a maximum in band EUV mean power of 7.7 W at a repetition rate of 6 kHz. The EUV emission was found to be stable and isotropic in these conditions. (authors)

  9. Physical processes in EUV sources for microlithography

    International Nuclear Information System (INIS)

    Banine, V Y; Swinkels, G H P M; Koshelev, K N

    2011-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil very high demands both technical and cost oriented. The EUVL tool operates at a wavelength of 13.5 nm, which requires the following new developments. - The light production mechanism changes from conventional lamps and lasers to relatively high-temperature emitting plasmas. - The light transport, mainly refractive for deep ultraviolet (DUV), should be reflective for EUV. - The source specifications as derived from the customer requirements on wafer throughput mean that the output EUV source power has to be hundreds of watts. This in its turn means that tens to hundreds of kilowatts of dissipated power has to be managed in a relatively small volume. - In order to keep lithography costs as low as possible, the lifetime of the components should be as long as possible and at least of the order of thousands of hours. This poses a challenge for the sources, namely how to design and manufacture components robust enough to withstand the intense environment of high heat dissipation, flows of several keV ions as well as the atomic and particular debris within the source vessel. - As with all lithography tools, the imaging requirements demand a narrow illumination bandwidth. Absorption of materials at EUV wavelengths is extreme with extinguishing lengths of the order of tens of nanometres, so the balance between high transmission and spectral purity requires careful engineering. All together, EUV lithography sources present technological challenges in various fields of physics such as plasma, optics and material science. These challenges are being tackled by the source manufacturers and investigated extensively in the research facilities around the world. An overview of the published results on the topic as well as the analyses of the physical processes behind the proposed solutions will be presented in this paper. (topical review)

  10. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  11. EUV source development for high-volume chip manufacturing tools

    Science.gov (United States)

    Stamm, Uwe; Yoshioka, Masaki; Kleinschmidt, Jürgen; Ziener, Christian; Schriever, Guido; Schürmann, Max C.; Hergenhan, Guido; Borisov, Vladimir M.

    2007-03-01

    Xenon-fueled gas discharge produced plasma (DPP) sources were integrated into Micro Exposure Tools already in 2004. Operation of these tools in a research environment gave early learning for the development of EUV sources for Alpha and Beta-Tools. Further experiments with these sources were performed for basic understanding on EUV source technology and limits, especially the achievable power and reliability. The intermediate focus power of Alpha-Tool sources under development is measured to values above 10 W. Debris mitigation schemes were successfully integrated into the sources leading to reasonable collector mirror lifetimes with target of 10 billion pulses due to the effective debris flux reduction. Source collector mirrors, which withstand the radiation and temperature load of Xenon-fueled sources, have been developed in cooperation with MediaLario Technologies to support intermediate focus power well above 10 W. To fulfill the requirements for High Volume chip Manufacturing (HVM) applications, a new concept for HVM EUV sources with higher efficiency has been developed at XTREME technologies. The discharge produced plasma (DPP) source concept combines the use of rotating disk electrodes (RDE) with laser exited droplet targets. The source concept is called laser assisted droplet RDE source. The fuel of these sources has been selected to be Tin. The conversion efficiency achieved with the laser assisted droplet RDE source is 2-3x higher compared to Xenon. Very high pulse energies well above 200 mJ / 2π sr have been measured with first prototypes of the laser assisted droplet RDE source. If it is possible to maintain these high pulse energies at higher repetition rates a 10 kHz EUV source could deliver 2000 W / 2π sr. According to the first experimental data the new concept is expected to be scalable to an intermediate focus power on the 300 W level.

  12. Performance of one hundred watt HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-03-01

    We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - "GL200E". This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.

  13. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    Science.gov (United States)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  14. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  15. Discharge plasmas as EUV Sources for Future Micro Lithography

    Science.gov (United States)

    Kruecken, Thomas

    2007-08-01

    Future extreme ultraviolet (EUV) lithography will require very high radiation intensities in a narrow wavelength range around 13.5 nm, which is most efficiently emitted as line radiation by highly ionized heavy particles. Currently the most intense EUV sources are based on xenon or tin gas discharges. After having investigated the limits of a hollow cathode triggered xenon pinch discharge Philips Extreme UV favors a laser triggered tin vacuum spark discharge. Plasma and radiation properties of these highly transient discharges will be compared. Besides simple MHD-models the ADAS software package has been used to generate important atomic and spectral data of the relevant ion stages. To compute excitation and radiation properties, collisional radiative equilibria of individual ion stages are computed. For many lines opacity effects cannot be neglected. In the xenon discharges the optical depths allow for a treatment based on escape factors. Due to the rapid change of plasma parameters the abundancies of the different ionization stages must be computed dynamically. This requires effective ionization and recombination rates, which can also be supplied by ADAS. Due to very steep gradients (up to a couple orders of magnitude per mm) the plasma of tin vacuum spark discharges is very complicated. Therefore we shall describe here only some technological aspects of our tin EUV lamp: The electrode system consists of two rotating which are pulled through baths of molten tin such that a tin film remains on their surfaces. With a laser pulse some tin is ablated from one of the wheels and travels rapidly through vacuum towards the other rotating wheel. When the tin plasma reaches the other electrodes it ignites and the high current phase starts, i.e. the capacitor bank is unloaded, the plasma is pinched and EUV is radiated. Besides the good spectral properties of tin this concept has some other advantages: Erosion of electrodes is no severe problem as the tin film is

  16. Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Bastiaens, Hubertus M.J.; Bruineman, Caspar; Vratzov, Boris; Bijkerk, Frederik

    2016-01-01

    Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band are essential in applications such as photolithography. Most light sources however also emit radiation outside this wavelength band and have a spectrum extending up to deep ultraviolet (DUV)

  17. Analytical techniques for mechanistic characterization of EUV photoresists

    Science.gov (United States)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  18. Creation and investigation of powerful EUV sources (λ ∼ 13.5 nm)

    International Nuclear Information System (INIS)

    Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.

    2010-01-01

    Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ∼4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.

  19. Creation and investigation of powerful EUV sources (λ ≈ 13.5 nm)

    Science.gov (United States)

    Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.

    2010-03-01

    Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ˜4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.

  20. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  1. INTERACTION OF LASER RADIATION WITH MATTER. LASER PLASMA High-power EUV (13.5 nm) light source

    Science.gov (United States)

    Borisov, Vladimir M.; Borisova, Galina N.; Vinokhodov, Aleksandr Yu; Zakharov, S. V.; Ivanov, Aleksandr S.; Kiryukhin, Yurii B.; Mishchenko, Valentin A.; Prokof'ev, Aleksandr V.; Khristoforov, Oleg B.

    2010-10-01

    Characteristics of a discharge-produced plasma (DPP) light source in the spectral band 13.5±0.135 nm, developed for Extreme Ultra Violet (EUV) lithography, are presented. EUV light is generated by DPP in tin vapour formed between rotating disk electrodes. The discharge is ignited by a focused laser beam. The EUV power 1000 W/(2π sr) in the spectral band 13.5±0.135 nm was achieved with input power about of ~63 kW to the plasma at a pulse repetition rate ~7 kHz . The results of numerical simulation are compared with the experimental data.

  2. Fundamentals of EUV resist-inorganic hardmask interactions

    Science.gov (United States)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  3. Enhanced performance of an EUV light source (λ = 84 nm) using short-pulse excitation of a windowless dielectric barrier discharge in neon

    International Nuclear Information System (INIS)

    Carman, R J; Kane, D M; Ward, B K

    2010-01-01

    The electrical and optical characteristics of a dielectric barrier discharge (DBD) based neon excimer lamp generating output in the extreme ultraviolet (EUV) spectral range (λ = 84 nm) have been investigated experimentally. We report a detailed comparison of lamp performance for both pulsed and sinusoidal voltage excitation waveforms, using otherwise identical operating conditions. The results show that pulsed voltage excitation yields a ∼50% increase in the overall electrical to EUV conversion efficiency compared with sinusoidal waveforms, when operating in the pressure range 500-900 mbar. Pulsed operation allows greater control of parameters associated with the temporal evolution of the EUV pulse shapes (risetime, instantaneous peak power). The Ne DBD based source is also found to be highly monochromatic with respect to its spectral output from the second continuum band at λ ∼ 84 nm (5 nm FWHM). This continuum band dominates the spectral emission over the wavelength range 30-550 nm. Lamp performance; as measured by the overall EUV output energy, electrical to EUV conversion efficiency and spectral purity at λ ∼ 84 nm; improves with increasing gas pressure up to p = 900 mbar.

  4. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  5. EUV laser produced and induced plasmas for nanolithography

    Science.gov (United States)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  6. Characterization of laser-produced plasma EUV light

    International Nuclear Information System (INIS)

    Mizoguchi, Hakaru; Endo, Akira; Takabayashi, Yuichi; Sasaki, Akira; Komori, Hiroshi; Suganuma, Takashi

    2005-01-01

    Resolution of optical microlithography process becomes smaller and smaller. Wavelength of the light source for these optical lithography reduced from KrF, ArF to F2 to meet the resolution requirement. Recently EUV is spotlighted as promising candidate for next generation lithography light source. This paper summarizes the requirement and studies of experiments and simulation to improve the convention efficiency of EUV light source. (author)

  7. A compact, quasi-monochromatic laser-plasma EUV source based on a double-stream gas-puff target at 13.8 nm wavelength

    Czech Academy of Sciences Publication Activity Database

    Wachulak, P.W.; Bartnik, A.; Fiedorowicz, H.; Feigl, T.; Jarocki, R.; Kostecki, J.; Rudawski, P.; Sawicka, Magdalena; Szczurek, M.; Szczurek, A.; Zawadzki, Z.

    2010-01-01

    Roč. 100, č. 3 (2010), 461-469 ISSN 0946-2171 Institutional research plan: CEZ:AV0Z10100523 Keywords : laser-plasma * EUV source * gas puff target * elliptical multi- layer * mirror * table-top setup Subject RIV: BH - Optics, Masers, Lasers Impact factor: 2.239, year: 2010

  8. Design and fabrication of advanced EUV diffractive elements

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick P.; Liddle, J. Alexander; Salmassi, Farhad; Anderson, Erik H.; Gullikson, Eric M.

    2003-11-16

    As extreme ultraviolet (EUV) lithography approaches commercial reality, the development of EUV-compatible diffractive structures becomes increasingly important. Such devices are relevant to many aspects of EUV technology including interferometry, illumination, and spectral filtering. Moreover, the current scarcity of high power EUV sources makes the optical efficiency of these diffractive structures a paramount concern. This fact has led to a strong interest in phase-enhanced diffractive structures. Here we describe recent advancements made in the fabrication of such devices.

  9. Absorption and Emission of EUV Radiation by the Local ISM

    Science.gov (United States)

    Paresce, F.

    1984-01-01

    The Berkeley extreme ultraviolet radiation (EUV) telescope flown on the Apollo Soyuz mission in July, 1975 established the existence of a measurable flux of EUV (100 lambda or = or = 1000 A) originating from sources outside the solar system. White dwarfs, flare stars and cataclysmic variables were dicovered to be relatively intense compact sources of EUV photons. Moreover, this and other subsequent experiments have strongly suggested the presence of a truly diffuse component of the FUV radiation field possibly due to thermal emission from hot interstellar gas located in the general vicinity of the Sun. Closer to the H1, 912 A edge, the effect of a few hot O and B stars has been shown to be very important in establishing the interstellar flux density. All these results imply that the local interstellar medium (ISM) is immersed in a non-negligible EUV radiation field which, because of the strong coupling between EUV photons and matter, will play a crucial role in determining its physical structure. The available information on the local ISM derived from the limited EUV observations carried out so far is assembled and analyzed. These include measurements of the spectra of bright EUV sources that reveal clear evidence of H photo absorption at lambda 400 A and of the He ionization edge at 228 A.

  10. Oxide Nanoparticle EUV (ONE) Photoresists: Current Understanding of the Unusual Patterning Mechanism

    KAUST Repository

    Jiang, Jing; Zhang, Ben; Yu, Mufei; Li, Li; Neisser, Mark; Sung Chun, Jun; Giannelis, Emmanuel P.; Ober, Christopher K.

    2015-01-01

    © 2015 SPST. In the past few years, industry has made significant progress to deliver a stable high power EUV scanner and a 100 W light source is now being tested on the manufacuring scale. The success of a high power EUV source demands a fast and high resolution EUV resist. However, chemcially amplied resists encounter unprecedented challenges beyond the 22 nm node due to resolution, roughness and sensitivity tradeoffs. Unless novel solutions for EUV resists are proposed and further optimzed, breakthroughs can hardly be achieved. Oxide nanoparticle EUV (ONE) resists stablized by organic ligands were originally proposed by Ober et al. Recently this work attracts more and more attention due to its extraordinanry EUV sensitivity. This new class of photoresist utilizes ligand cleavage with a ligand exchange mechanism to switch its solubilty for dual-tone patterning. Therefore, ligand selection of the nanoparticles is extremely important to its EUV performance.

  11. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  12. Imaging and Patterning on Nanometer Scale Using Coherent EUV Light

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Fiedorowicz, H.; Bartnik, A.; Marconi, M.C.; Menoni, C.S.; Rocca, J.J.

    2010-01-01

    Extreme ultraviolet (EUV) covers wavelength range from about 5 nm to 50 nm. That is why EUV is especially applicable for imaging and patterning on nanometer scale length. In the paper periodic nanopatterning realized by interference lithography and high resolution holographic nanoimaging performed in a Gabor in-line scheme are presented. In the experiments a compact table top EUV laser was used. Preliminary studies on using a laser plasma EUV source for nanoimaging are presented as well. (author)

  13. EUV tools: hydrogen gas purification and recovery strategies

    Science.gov (United States)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  14. Evaluating EUV mask pattern imaging with two EUV microscopes

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Takase, Kei; Naulleau, Patrick P.; Han, Hakseung; Barty, Anton; Kinoshita, Hiroo; Hamamoto, Kazuhiro

    2008-01-01

    Aerial image measurement plays a key role in the development of patterned reticles for each generation of lithography. Studying the field transmitted (reflected) from EUV masks provides detailed information about potential disruptions caused by mask defects, and the performance of defect repair strategies, without the complications of photoresist imaging. Furthermore, by measuring the continuously varying intensity distribution instead of a thresholded, binary resist image, aerial image measurement can be used as feedback to improve mask and lithography system modeling methods. Interest in EUV, at-wavelength, aerial image measurement lead to the creation of several research tools worldwide. These tools are used in advanced mask development work, and in the evaluation of the need for commercial at-wavelength inspection tools. They describe performance measurements of two such tools, inspecting the same EUV mask in a series of benchmarking tests that includes brightfield and darkfield patterns. One tool is the SEMATECH Berkeley Actinic Inspection Tool (AIT) operating on a bending magnet beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. The AIT features an EUV Fresnel zoneplate microscope that emulates the numerical aperture of a 0.25-NA stepper, and projects the aerial image directly onto a CCD camera, with 700x magnification. The second tool is an EUV microscope (EUVM) operating at the NewSUBARU synchrotron in Hyogo, Japan. The NewSUBARU tool projects the aerial image using a reflective, 30x Schwarzschild objective lens, followed by a 10-200x x-ray zooming tube. The illumination conditions and the imaging etendue are different for the two tools. The benchmarking measurements were used to determine many imaging and performance properties of the tools, including resolution, modulation transfer function (MTF), aberration magnitude, aberration field-dependence (including focal-plane tilt), illumination uniformity, line-edge roughness, and flare

  15. Radiometry for the EUV lithography; Radiometrie fuer die EUV-Lithographie

    Energy Technology Data Exchange (ETDEWEB)

    Scholze, Frank [Physikalisch-Technische Bundesanstalt (PTB), Berlin (Germany). Arbeitsgruppe ' EUV-Radiometrie' ; Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Puls, Jana; Stadelhoff, Christian

    2014-12-15

    The EUV reflectrometry at the PTB storage BESSY I and BESSY II is described. Results on the reflectivities of some EUV mirrors are presented. Finally the spectral sensitivities of different photodiodes used as EUV detectors are presented. (HSI)

  16. Ionospheric Change and Solar EUV Irradiance

    Science.gov (United States)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  17. Coronal magnetic fields inferred from IR wavelength and comparison with EUV observations

    Directory of Open Access Journals (Sweden)

    Y. Liu

    2009-07-01

    Full Text Available Spectropolarimetry using IR wavelength of 1075 nm has been proved to be a powerful tool for directly mapping solar coronal magnetic fields including transverse component directions and line-of-sight component intensities. Solar tomography, or stereoscopy based on EUV observations, can supply 3-D information for some magnetic field lines in bright EUV loops. In a previous paper \\citep{liu08} the locations of the IR emission sources in the 3-D coordinate system were inferred from the comparison between the polarization data and the potential-field-source-surface (PFSS model, for one of five west limb regions in the corona (Lin et al., 2004. The paper shows that the region with the loop system in the active region over the photospheric area with strong magnetic field intensity is the region with a dominant contribution to the observed Stokes signals. So, the inversion of the measured Stokes parameters could be done assuming that most of the signals come from a relatively thin layer over the area with a large photospheric magnetic field strength. Here, the five limb coronal regions are studied together in order to study the spatial correlation between the bright EUV loop features and the inferred IR emission sources. It is found that, for the coronal regions above the stronger photospheric magnetic fields, the locations of the IR emission sources are closer to or more consistent with the bright EUV loop locations than those above weaker photospheric fields. This result suggests that the structures of the coronal magnetic fields observed at IR and EUV wavelengths may be different when weak magnetic fields present there.

  18. Reconstruction of the solar EUV irradiance from 1996 to 2010 based on SOHO/EIT images

    Directory of Open Access Journals (Sweden)

    Haberreiter Margit

    2014-01-01

    Full Text Available The solar Extreme UltraViolet (EUV spectrum has important effects on the Earth’s upper atmosphere. For a detailed investigation of these effects it is important to have a consistent data series of the EUV spectral irradiance available. We present a reconstruction of the solar EUV irradiance based on SOHO/EIT images, along with synthetic spectra calculated using different coronal features which represent the brightness variation of the solar atmosphere. The EIT images are segmented with the SPoCA2 tool which separates the features based on a fixed brightness classification scheme. With the SOLMOD code we then calculate intensity spectra for the 10–100 nm wavelength range and each of the coronal features. Weighting the intensity spectra with the area covered by each of the features yields the temporal variation of the EUV spectrum. The reconstructed spectrum is then validated against the spectral irradiance as observed with SOHO/SEM. Our approach leads to good agreement between the reconstructed and the observed spectral irradiance. This study is an important step toward understanding variations in the solar EUV spectrum and ultimately its effect on the Earth’s upper atmosphere.

  19. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  20. Laser-produced plasma EUV source using a colloidal microjet target containing tin dioxide nanoparticles

    Science.gov (United States)

    Higashiguchi, Takeshi; Dojyo, Naoto; Sasaki, Wataru; Kubodera, Shoichi

    2006-10-01

    We realized a low-debris laser-produced plasma extreme ultraviolet (EUV) source by use of a colloidal microjet target, which contained low-concentration (6 wt%) tin-dioxide nanoparticles. An Nd:YAG laser was used to produce a plasma at the intensity on the order of 10^11 W/cm^2. The use of low concentration nanoparticles in a microjet target with a diameter of 50 μm regulated the neutral debris emission from a target, which was monitored by a silicon witness plate placed 30 cm apart from the source in a vacuum chamber. No XPS signals of tin and/or oxygen atoms were observed on the plate after ten thousand laser exposures. The low concentration nature of the target was compensated and the conversion efficiency (CE) was improved by introducing double pulses of two Nd:YAG lasers operated at 532 and 1064 nm as a result of controlling the micro-plasma characteristics. The EUV CE reached its maximum of 1.2% at the delay time of approximately 100 ns with the main laser intensiy of 2 x10^11 W/cm^2. The CE value was comparable to that of a tin bulk target, which, however, produced a significant amount of neutral debris.

  1. Atomic hydrogen cleaning of EUV multilayer optics

    Science.gov (United States)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Å/hr for sputtered carbon and 40 Å/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  2. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    Science.gov (United States)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  3. Diagnostic system for EUV radiation measurements from dense xenon plasma generated by MPC

    International Nuclear Information System (INIS)

    Petrov, Yu.V.; Garkusha, I.E.; Solyakov, D.G.; Marchenko, A.K.; Chebotarev, V.V.; Ladygina, M.S.; Staltsov, V.V.; Yelisyeyev, D.V.; Hassanein, A.

    2011-01-01

    Magnetoplasma compressor (MPC) of compact geometry has been designed and tested as a source of EUV radiation. In present paper diagnostic system for registration of EUV radiation is described. It was applied for radiation measurements in different operation modes of MPC. The registration system was designed on the base of combination of different types of AXUV photodiodes. Possibility to minimize the influence of electrons and ions flows from dense plasma stream on AXUV detector performance and results of the measurements has been discussed.

  4. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    Science.gov (United States)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  5. Development of a EUV Test Facility at the Marshall Space Flight Center

    Science.gov (United States)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  6. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-01-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub(angstrom)-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed

  7. Well-defined EUV wave associated with a CME-driven shock

    Science.gov (United States)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  8. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    Science.gov (United States)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  9. Advanced 0.3-NA EUV lithography capabilities at the ALS

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Anderson, Erik; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; Jackson, Keith

    2005-01-01

    For volume nanoelectronics production using Extreme ultraviolet (EUV) lithography [1] to become a reality around the year 2011, advanced EUV research tools are required today. Microfield exposure tools have played a vital role in the early development of EUV lithography [2-4] concentrating on numerical apertures (NA) of 0.2 and smaller. Expected to enter production at the 32-nm node with NAs of 0.25, EUV can no longer rely on these early research tools to provide relevant learning. To overcome this problem, a new generation of microfield exposure tools, operating at an NA of 0.3 have been developed [5-8]. Like their predecessors, these tools trade off field size and speed for greatly reduced complexity. One of these tools is implemented at Lawrence Berkeley National Laboratory's Advanced Light Source synchrotron radiation facility. This tool gets around the problem of the intrinsically high coherence of the synchrotron source [9,10] by using an active illuminator scheme [11]. Here we describe recent printing results obtained from the Berkeley EUV exposure tool. Limited by the availability of ultra-high resolution chemically amplified resists, present resolution limits are approximately 32 nm for equal lines and spaces and 27 nm for semi-isolated lines

  10. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  11. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?

    Science.gov (United States)

    Gabor, Allen H.; Brendler, Andrew C.; Brunner, Timothy A.; Chen, Xuemei; Culp, James A.; Levinson, Harry J.

    2018-03-01

    The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term "EPE" has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of "Good Fields"1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma "value" design-rules need to be tested to ensure high yield. The "value" can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement

  12. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-08-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub{angstrom}-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed.

  13. Relationship between resist outgassing and EUV witness sample contamination in NXE outgas qualification using electrons and EUV photons

    Science.gov (United States)

    Pollentier, I.; Tirumala Venkata, A.; Gronheid, R.

    2014-04-01

    EUV photoresists are considered as a potential source of optics contamination, since they introduce irradiation-induced outgassing in the EUV vacuum environment. Therefore, before these resists can be used on e.g. ASML NXE:3100 or NXE:3300, they need to be tested in dedicated equipment according to a well-defined procedure, which is based on exposing a witness sample (WS) in the vicinity of a simultaneously exposed resist as it outgasses. Different system infrastructures are used at multiple sites (e.g. NIST, CNSE, Sematech, EIDEC, and imec) and were calibrated to each other by a detailed test plan. Despite this detailed tool qualifications, a first round robin comparison of identical materials showed inconsistent outgas test results, and required further investigation by a second round robin. Since the resist exposure mode is different at the various locations (some sites are using EUV photons while others use E-gun electrons), this difference has always a point of concern for variability of test results. In this work we compare the outgas test results from EUV photon and electron exposure using the resist materials of the second round robin. Since the imec outgas tester allows both exposure methods on the resist, a within-system comparison is possible and showed limited variation between photon and electron exposure mode. Therefore the system-to-system variability amongst the different outgas test sites is expected to be related to other parameters than the electron/photon exposure mode. Initial work showed that the variability might be related to temperature, E-gun emission excursion, and/or residual outgassing scaled by different wafer areas at the different sites.

  14. EUV multilayer mirrors with enhanced stability

    Science.gov (United States)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  15. Prospects of DUV OoB suppression techniques in EUV lithography

    Science.gov (United States)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  16. Surface roughness control by extreme ultraviolet (EUV) radiation

    Science.gov (United States)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  17. Analysis and characterization of contamination in EUV reticles

    Science.gov (United States)

    Okoroanyanwu, Uzodinma; Dittmar, Kornelia; Fahr, Torsten; Wallow, Tom; La Fontaine, Bruno; Wood, Obert; Holfeld, Christian; Bubke, Karsten; Peters, Jan-Hendrik

    2010-04-01

    A host of complementary imaging techniques (Scanning Electron Microscopy), surface analytical technique (Auger Electron Spectroscopy, AES), chemical analytical and speciation techniques (Grazing Incidence Reflectance Fourier-Transform Infrared Spectroscopy, GIR-FTIR; and Raman spectroscopy) have been assessed for their sensitivity and effectiveness in analyzing contamination on three EUV reticles that were contaminated to varying degrees. The first reticle was contaminated as a result of its exposure experience on the SEMATECH EUV Micro Exposure Tool (MET) at Lawrence Berkeley National Laboratories, where it was exposed to up to 80 hours of EUV radiation. The second reticle was a full-field reticle, specifically designed to monitor molecular contamination, and exposed to greater than 1600J/cm2 of EUV radiation on the ASML Alpha Demo Tool (ADT) in Albany Nanotech in New York. The third reticle was intentionally contaminated with hydrocarbons in the Microscope for Mask Imaging and Contamination Studies (MIMICS) tool at the College of Nanoscale Sciences of State University of New York at Albany. The EUV reflectivities of some of these reticles were measured on the Advanced Light Source EUV Reflectomer at Lawrence Berkeley National Laboratories and PTB Bessy in Berlin, respectively. Analysis and characterization of thin film contaminants on the two EUV reticles exposed to varying degrees of EUV radiation in both MET and ADT confirm that the two most common contamination types are carbonization and surface oxidation, mostly on the exposed areas of the reticle, and with the MET being significantly more susceptible to carbon contamination than the ADT. While AES in both surface scanning and sputter mode is sensitive and efficient in analyzing thin contaminant films (of a few nanometers), GIRFTIR is sensitive to thick films (of order of a 100 nm or more on non-infra-red reflecting substrates), Raman spectroscopy is not compatible with analyzing such contaminants because of

  18. Surface modification by EUV laser beam based on capillary discharge

    Czech Academy of Sciences Publication Activity Database

    Frolov, Oleksandr; Koláček, Karel; Schmidt, Jiří; Štraus, Jaroslav; Prukner, Václav; Shukurov, A.

    -, č. 58 (2011), s. 484-487 ISSN 2010-376X. [International Conference on Fusion and Plasma Physics. Bali, Indonésie, 26.10.2011-28.10.2011] R&D Projects: GA AV ČR KAN300100702; GA MŠk LA08024; GA MŠk(CZ) LC528 Institutional research plan: CEZ:AV0Z20430508 Keywords : soft x-ray * EUV * laser * radiation * source * capillary * discharge * plasma * ablation * surface modification Subject RIV: BL - Plasma and Gas Discharge Physics http://www.waset.org/journals/waset/v58/v58-99.pdf

  19. EUVS Sounding Rocket Payload

    Science.gov (United States)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  20. Benchmarking EUV mask inspection beyond 0.25 NA

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Mochi, Iacopo; Anderson, Erik H.; Rekawa, Seno B.; Kemp, Charles D.; Huh, S.; Han, H.-S.; Naulleau, P.; Gunion, R.F.

    2008-01-01

    The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-(micro)m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured σ values of approximately 0.125 at 0.0875 NA

  1. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  2. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    Science.gov (United States)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  3. e-beam induced EUV photomask repair: a perfect match

    Science.gov (United States)

    Waiblinger, M.; Kornilov, K.; Hofmann, T.; Edinger, K.

    2010-05-01

    Due to the updated ITRS roadmap EUV might enter the market as a productive solution for the 32 nm node1. Since the EUV-photomask is used as mirror and no longer as transitive device the severity of different defect types has changed significantly. Furthermore the EUV-photomask material stack is much more complex than the conventional 193nm photomask materials which expand the field of critical defect types even further. In this paper we will show, that "classical" 193 mask repair processes cannot be applied to EUV material. We will show the performance of a new repair process based on the novel ebeam repair tool MeRiT® HR 32. Furthermore this process will be applied on real EUV mask defects and the success of these repairs confirmed by wafer prints.

  4. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  5. SCRIC: a code dedicated to the detailed emission and absorption of heterogeneous NLTE plasmas; application to xenon EUV sources; SCRIC: un code pour calculer l'absorption et l'emission detaillees de plasmas hors equilibre, inhomogenes et etendus; application aux sources EUV a base de xenon

    Energy Technology Data Exchange (ETDEWEB)

    Gaufridy de Dortan, F. de

    2006-07-01

    Nearly all spectral opacity codes for LTE and NLTE plasmas rely on configurations approximate modelling or even supra-configurations modelling for mid Z plasmas. But in some cases, configurations interaction (either relativistic and non relativistic) induces dramatic changes in spectral shapes. We propose here a new detailed emissivity code with configuration mixing to allow for a realistic description of complex mid Z plasmas. A collisional radiative calculation. based on HULLAC precise energies and cross sections. determines the populations. Detailed emissivities and opacities are then calculated and radiative transfer equation is resolved for wide inhomogeneous plasmas. This code is able to cope rapidly with very large amount of atomic data. It is therefore possible to use complex hydrodynamic files even on personal computers in a very limited time. We used this code for comparison with Xenon EUV sources within the framework of nano-lithography developments. It appears that configurations mixing strongly shifts satellite lines and must be included in the description of these sources to enhance their efficiency. (author)

  6. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  7. Characterizing dusty argon-acetylene plasmas as a first step to understand dusty EUV environments

    NARCIS (Netherlands)

    Wetering, van de F.M.J.H.; Nijdam, S.; Kroesen, G.M.W.

    2012-01-01

    In extreme ultraviolet (EUV) lithography, ionic and particulate debris coming from the plasma source plays an important role. We started up a project looking at the principles of particle formation in plasmas and the interaction with EUV radiation. To this end, we study a low-pressure (10 Pa)

  8. Laser-produced plasma-extreme ultraviolet light source for next generation lithography

    International Nuclear Information System (INIS)

    Nishihara, Katsunobu; Nishimura, Hiroaki; Gamada, Kouhei; Murakami, Masakatsu; Mochizuki, Takayasu; Sasaki, Akira; Sunahara, Atsushi

    2005-01-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the next generation lithography for the 45 nm technology node and below. EUV light sources under consideration use 13.5 nm radiations from multicharged xenon, tin and lithium ions, because Mo/Si multiplayer mirrors have high reflectivity at this wavelength. A review of laser-produced plasma (LPP) EUV light sources is presented with a focus on theoretical and experimental studies under the auspices of the Leading Project promoted by MEXT. We discuss three theoretical topics: atomic processes in the LPP-EUV light source, conversion efficiency from laser light to EUV light at 13.5 nm wave-length with 2% bound width, and fast ion spectra. The properties of EUV emission from tin and xenon plasmas are also shown based on experimental results. (author)

  9. Towards a contamination-tolerant EUV power sensor

    NARCIS (Netherlands)

    Veldhoven, J. van; Putten, M. van; Nieuwkoop, E.; Huijser, T.; Maas, D.J.

    2015-01-01

    In EUV Lithography short-, mid- and long-term control over in-band EUV power is needed for high-yield IC production. Existing sensors can be unstable over time due to contamination and/or degradation. TNO goal: to conceive a stable EUV power sensor. Sensitive to in-band EUV, negligible degradation,

  10. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have achieved high resolution (∼22 nm) at a very high EUV sensitivity (4.2 mJ/cm2). Further investigations into the patterning process suggests a ligand displacement mechanism, wherein, any combination of a metal oxide with the correct ligand could generate patterns in the presence of the suitable photoactive compound. The current investigation extends this study by developing new nanoparticle compositions with transdimethylacrylic acid and o-toluic acid ligands. This study describes their synthesis and patterning performance under 248 nm KrF laser (DUV) and also under 13.5 nm EUV exposures (dimethylacrylate nanoparticles) for the new resist compositions.

  11. Update on EUV radiometry at PTB

    Science.gov (United States)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  12. Correlations Between Variations in Solar EUV and Soft X-Ray Irradiance and Photoelectron Energy Spectra Observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-01-01

    Solar extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F(10.7) index currently used.

  13. Correlations between variations in solar EUV and soft X-ray irradiance and photoelectron energy spectra observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-11-01

    extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F10.7 index currently used.

  14. Extreme Ultraviolet Explorer Bright Source List

    Science.gov (United States)

    Malina, Roger F.; Marshall, Herman L.; Antia, Behram; Christian, Carol A.; Dobson, Carl A.; Finley, David S.; Fruscione, Antonella; Girouard, Forrest R.; Hawkins, Isabel; Jelinsky, Patrick

    1994-01-01

    Initial results from the analysis of the Extreme Ultraviolet Explorer (EUVE) all-sky survey (58-740 A) and deep survey (67-364 A) are presented through the EUVE Bright Source List (BSL). The BSL contains 356 confirmed extreme ultraviolet (EUV) point sources with supporting information, including positions, observed EUV count rates, and the identification of possible optical counterparts. One-hundred twenty-six sources have been detected longward of 200 A.

  15. Response of inorganic materials to laser - plasma EUV radiation focused with a lobster eye collector

    Science.gov (United States)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Szczurek, Miroslaw; Havlikova, Radka; Pína, Ladislav; Švéda, Libor; Inneman, Adolf

    2007-05-01

    A single photon of EUV radiation carries enough energy to break any chemical bond or excite electrons from inner atomic shells. It means that the radiation regardless of its intensity can modify chemical structure of molecules. It is the reason that the radiation even with low intensity can cause fragmentation of long chains of organic materials and desorption of small parts from their surface. In this work interaction of EUV radiation with inorganic materials was investigated. Different inorganic samples were irradiated with a 10 Hz laser - plasma EUV source based on a gas puff target. The radiation was focused on a sample surface using a lobster eye collector. Radiation fluence at the surface reached 30 mJ/cm2 within a wavelength range 7 - 20 nm. In most cases there was no surface damage even after several minutes of irradiation. In some cases there could be noticed discolouration of an irradiated surface or evidences of thermal effects. In most cases however luminescent and scattered radiation was observed. The luminescent radiation was emitted in different wavelength ranges. It was recorded in a visible range of radiation and also in a wide wavelength range including UV, VUV and EUV. The radiation was especially intense in a case of non-metallic chemical compounds.

  16. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    Science.gov (United States)

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  17. Solar EUV irradiance for space weather applications

    Science.gov (United States)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  18. Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking

    Science.gov (United States)

    Manouras, Theodoros; Kazazis, Dimitrios; Koufakis, Eleftherios; Ekinci, Yasin; Vamvakaki, Maria; Argitis, Panagiotis

    2018-03-01

    The main target of the current work was to develop new sensitive polymeric materials for lithographic applications, focusing in particular to EUV lithography, the main chain of which is cleaved under the influence of photogenerated acid. Resist materials based on the cleavage of polymer main chain are in principle capable to create very small structures, to the dimensions of the monomers that they consist of. Nevertheless, in the case of the commonly used nonchemically amplified materials of this type issues like sensitivity and poor etch resistance limit their areas of application, whereas inadequate etch resistance and non- satisfactory process reliability are the usual problems encountered in acid catalysed materials based on main chain scission. In our material design the acid catalyzed chain cleavable polymers contain very sensitive moieties in their backbone while they remain intact in alkaline ambient. These newly synthesized polymers bear in addition suitable functional groups for the achievement of desirable lithographic characteristics (thermal stability, acceptable glass transition temperature, etch resistance, proper dissolution behavior, adhesion to the substrate). Our approach for achieving acceptable etch resistance, a main drawback in other main chain cleavable resists, is based on the introduction of polyaromatic hydrocarbons in the polymeric backbone, whereas the incorporation of an inorganic component further enhances the etch resistance. Single component systems can also be designed following the proposed approach by the incorporation of suitable PAGs and base quencher molecules in the main chain. Resist formulations based on a random copolymer designed according to the described rules evaluated in EUV exhibit ultrahigh sensitivity, capability for high resolution patterning and overall processing characteristics that make them strong candidates for industrial use upon further optimization.

  19. Laser-produced multi-charged heavy ions as efficient soft x-ray sources

    International Nuclear Information System (INIS)

    Higashiguchi, Takeshi; Suzuki, Yuhei; Kawasaki, Masato

    2016-01-01

    We demonstrate EUV and soft x-ray sources in the 2 to 7 nm spectral region related to the beyond EUV (BEUV) question at 6x nm and a water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays (UTAs), extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on a high-Z plasma UTA source, coupled to x-ray optics. We will discuss the progress and Z-scaling of UTA emission spectra to achieve lab-scale table-top, efficient, high-brightness high-Z plasma EUV-soft x-ray sources for in vivo bio-imaging applications. (author)

  20. SCRIC: a code dedicated to the detailed emission and absorption of heterogeneous NLTE plasmas; application to xenon EUV sources

    International Nuclear Information System (INIS)

    Gaufridy de Dortan, F. de

    2006-01-01

    Nearly all spectral opacity codes for LTE and NLTE plasmas rely on configurations approximate modelling or even supra-configurations modelling for mid Z plasmas. But in some cases, configurations interaction (either relativistic and non relativistic) induces dramatic changes in spectral shapes. We propose here a new detailed emissivity code with configuration mixing to allow for a realistic description of complex mid Z plasmas. A collisional radiative calculation. based on HULLAC precise energies and cross sections. determines the populations. Detailed emissivities and opacities are then calculated and radiative transfer equation is resolved for wide inhomogeneous plasmas. This code is able to cope rapidly with very large amount of atomic data. It is therefore possible to use complex hydrodynamic files even on personal computers in a very limited time. We used this code for comparison with Xenon EUV sources within the framework of nano-lithography developments. It appears that configurations mixing strongly shifts satellite lines and must be included in the description of these sources to enhance their efficiency. (author)

  1. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  2. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    Science.gov (United States)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  3. Integrated approach to improving local CD uniformity in EUV patterning

    Science.gov (United States)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  4. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  5. Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

    International Nuclear Information System (INIS)

    Cain, Jason P.; Naulleau, Patrick; Spanos, Costas J.

    2005-01-01

    The level of flare present in a 0.3-NA EUV optic (the MET optic) at the Advanced Light Source at Lawrence Berkeley National Laboratory is measured using a lithographic method. Photoresist behavior at high exposure doses makes analysis difficult. Flare measurement analysis under scanning electron microscopy (SEM) and optical microscopy is compared, and optical microscopy is found to be a more reliable technique. In addition, the measured results are compared with predictions based on surface roughness measurement of the MET optical elements. When the fields in the exposure matrix are spaced far enough apart to avoid influence from surrounding fields and the data is corrected for imperfect mask contrast and aerial image proximity effects, the results match predicted values quite well. The amount of flare present in this optic ranges from 4.7% for 2 (micro)m features to 6.8% for 500 nm features

  6. Microwave, EUV, and X-ray observations of active region loops and filaments

    International Nuclear Information System (INIS)

    Schmahl, E.

    1980-01-01

    Until the advent of X-ray and EUV observations of coronal structures, radio observers were forced to rely on eclipse and coronagraph observations in white light and forbidden coronal lines for additional diagnostics of the high temperature microwave sources. While these data provided enough material for theoretical insight into the physics of active regions, there was no way to make direct, simultaneous comparison of coronal structures on the disk as seen at microwave and optical wavelengths. This is now possible, and therefore the author summarizes the EUV and X-ray observations indicating at each point the relevance to microwaves. (Auth.)

  7. Laser-induced extreme UV radiation sources for manufacturing next-generation integrated circuits

    International Nuclear Information System (INIS)

    Borisov, V M; Vinokhodov, A Yu; Ivanov, A S; Kiryukhin, Yu B; Mishchenko, V A; Prokof'ev, A V; Khristoforov, O B

    2009-01-01

    The development of high-power discharge sources emitting in the 13.5±0.135-nm spectral band is of current interest because they are promising for applications in industrial EUV (extreme ultraviolet) lithography for manufacturing integrated circuits according to technological precision standards of 22 nm and smaller. The parameters of EUV sources based on a laser-induced discharge in tin vapours between rotating disc electrodes are investigated. The properties of the discharge initiation by laser radiation at different wavelengths are established and the laser pulse parameters providing the maximum energy characteristics of the EUV source are determined. The EUV source developed in the study emits an average power of 276 W in the 13.5±0.135-nm spectral band on conversion to the solid angle 2π sr in the stationary regime at a pulse repetition rate of 3000 Hz. (laser applications and other topics in quantum electronics)

  8. Spectroscopic studies of xenon EUV emission in the 40-80 nm wavelength range using an absolutely calibrated monochromator

    Energy Technology Data Exchange (ETDEWEB)

    Merabet, H [Mathematic and Sciences Unit, Dhofar University, Salalah 211, Sultanate of (Oman); Bista, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Bruch, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Fuelling, S [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States)

    2007-03-01

    We have measured and identified numerous Extreme UltraViolet (EUV) radiative line structures arising from xenon (Xe) ions in charge state q = 1 to 10 in the wavelength range 40-80 nm. To obtain reasonable intensities of different charged Xe ions, we have used a compact microwave plasma source which was designed and developed at the Lawrence Berkeley National Laboratory (LBNL). The EUV emission of the ECR plasma has been measured by a 1.5 m grazing incidence monochromator that was absolutely calibrated in the 10-80 nm wavelength range using well known and calibrated EUV light at the Advanced Light Source (ALS), LBNL. This calibration has enabled us to determine absolute intensities of previously measured EUV radiative lines in the wavelengths regions investigated for different ionization stages of Xe. In addition, emission spectra of xenon ions for corresponding measured lines have been calculated. The calculations have been carried out within the relativistic Hartree-Fock (HF) approximation. Results of calculations are found to be in good agreement with current and available experimental and theoretical data.

  9. Review on the solar spectral variability in the EUV for space weather purposes

    Directory of Open Access Journals (Sweden)

    J. Lilensten

    2008-02-01

    Full Text Available The solar XUV-EUV flux is the main energy source in the terrestrial diurnal thermosphere: it produces ionization, dissociation, excitation and heating. Accurate knowledge of this flux is of prime importance for space weather. We first list the space weather applications that require nowcasting and forecasting of the solar XUV-EUV flux. We then review present models and discuss how they account for the variability of the solar spectrum. We show why the measurement of the full spectrum is difficult, and why it is illusory to retrieve it from its atmospheric effects. We then address the problem of determining a set of observations that are adapted for space weather purposes, in the frame of ionospheric studies. Finally, we review the existing and future space experiments that are devoted to the observation of the solar XUV-EUV spectrum.

  10. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  11. Negative-tone imaging with EUV exposure toward 13nm hp

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro

    2016-03-01

    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is

  12. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing; Chakrabarty, Souvik; Yu, Mufei; Ober, Christopher K.

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have

  13. First environmental data from the EUV engineering test stand

    Science.gov (United States)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  14. Performance improvement of two-dimensional EUV spectroscopy based on high frame rate CCD and signal normalization method

    International Nuclear Information System (INIS)

    Zhang, H.M.; Morita, S.; Ohishi, T.; Goto, M.; Huang, X.L.

    2014-01-01

    In the Large Helical Device (LHD), the performance of two-dimensional (2-D) extreme ultraviolet (EUV) spectroscopy with wavelength range of 30-650A has been improved by installing a high frame rate CCD and applying a signal intensity normalization method. With upgraded 2-D space-resolved EUV spectrometer, measurement of 2-D impurity emission profiles with high horizontal resolution is possible in high-density NBI discharges. The variation in intensities of EUV emission among a few discharges is significantly reduced by normalizing the signal to the spectral intensity from EUV_—Long spectrometer which works as an impurity monitor with high-time resolution. As a result, high resolution 2-D intensity distribution has been obtained from CIV (384.176A), CV(2x40.27A), CVI(2x33.73A) and HeII(303.78A). (author)

  15. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    Science.gov (United States)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  16. Capillary discharge sources of hard UV radiation

    International Nuclear Information System (INIS)

    Cachoncinlle, C; Dussart, R; Robert, E; Goetze, S; Pons, J; Mohanty, S R; Viladrosa, R; Fleurier, C; Pouvesle, J M

    2002-01-01

    We developed and studied three different extreme ultraviolet (EUV) capillary discharge sources either dedicated to the generation of coherent or incoherent EUV radiation. The CAPELLA source has been developed especially as an EUV source for the metrology at 13.4 nm. With one of these sources, we were able to produce gain on the Balmer-Hα (18.22 nm) and Hβ (13.46 nm) spectral lines in carbon plasma. By injecting 70 GW cm -3 we measured gain-length products up to 1.62 and 3.02 for the Hα and Hβ, respectively optimization of the EUV capillary source CAPELLA led to the development of an EUV lamp which emits 2 mJ in the bandwidth of the MoSi mirror, per joule stored, per shot and in full solid angle. The wall-plug efficiency is 0.2%. Stability of this lamp is better than 4% and the lamp can operate at repetition rate of 50 Hz

  17. Heights integrated model as instrument for simulation of hydrodynamic, radiation transport, and heat conduction phenomena of laser-produced plasma in EUV applications.

    Energy Technology Data Exchange (ETDEWEB)

    Sizyuk, V.; Hassanein, A.; Morozov, V.; Sizyuk, T.; Mathematics and Computer Science

    2007-01-16

    The HEIGHTS integrated model has been developed as an instrument for simulation and optimization of laser-produced plasma (LPP) sources relevant to extreme ultraviolet (EUV) lithography. The model combines three general parts: hydrodynamics, radiation transport, and heat conduction. The first part employs a total variation diminishing scheme in the Lax-Friedrich formulation (TVD-LF); the second part, a Monte Carlo model; and the third part, implicit schemes with sparse matrix technology. All model parts consider physical processes in three-dimensional geometry. The influence of a generated magnetic field on laser plasma behavior was estimated, and it was found that this effect could be neglected for laser intensities relevant to EUV (up to {approx}10{sup 12} W/cm{sup 2}). All applied schemes were tested on analytical problems separately. Benchmark modeling of the full EUV source problem with a planar tin target showed good correspondence with experimental and theoretical data. Preliminary results are presented for tin droplet- and planar-target LPP devices. The influence of three-dimensional effects on EUV properties of source is discussed.

  18. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  19. Enabling laboratory EUV research with a compact exposure tool

    Science.gov (United States)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  20. New type of discharge-produced plasma source for extreme ultraviolet based on liquid tin jet electrodes

    NARCIS (Netherlands)

    Koshelev, K.N.; Krivtsun, V.M.; Ivanov, V.; Yakushev, O.; Chekmarev, A.; Koloshnikov, V.; Snegirev, E.; Medvedev, Viacheslav

    2012-01-01

    A new approach for discharge-produced plasma (DPP) extreme ultraviolet (EUV) sources based on the usage of two liquid metallic alloy jets as discharge electrodes has been proposed and tested. Discharge was ignited using laser ablation of one of the cathode jets. A system with two jet electrodes was

  1. EUV-VUV photochemistry in the upper atmospheres of Titan and the early Earth

    Science.gov (United States)

    Imanaka, H.; Smith, M. A.

    2010-12-01

    Titan, the organic-rich moon of Saturn, possesses a thick atmosphere of nitrogen, globally covered with organic haze layers. The recent Cassini’s INMS and CAPS observations clearly demonstrate the importance of complex organic chemistry in the ionosphere. EUV photon radiation is the major driving energy source there. Our previous laboratory study of the EUV-VUV photolysis of N2/CH4 gas mixtures demonstrates a unique role of nitrogen photoionization in the catalytic formation of complex hydrocarbons in Titan’s upper atmosphere (Imanaka and Smith, 2007, 2009). Such EUV photochemistry could also have played important roles in the formation of complex organic molecules in the ionosphere of the early Earth. It has been suggested that the early Earth atmosphere may have contained significant amount of reduced species (CH4, H2, and CO) (Kasting, 1990, Pavlov et al., 2001, Tian et al., 2005). Recent experimental study, using photon radiation at wavelengths longer than 110 nm, demonstrates that photochemical organic haze could have been generated from N2/CO2 atmospheres with trace amounts of CH4 or H2 (Trainer et al., 2006, Dewitt et al., 2009). However, possible EUV photochemical processes in the ionosphere are not well understood. We have investigated the effect of CO2 in the possible EUV photochemical processes in simulated reduced early Earth atmospheres. The EUV-VUV photochemistry using wavelength-tunable synchrotron light between 50 - 150 nm was investigated for gas mixtures of 13CO2/CH4 (= 96.7/3.3) and N2/13CO2/CH4 (= 90/6.7/3.3). The onsets of unsaturated hydrocarbon formation were observed at wavelengths shorter than the ionization potentials of CO2 and N2, respectively. This correlation indicates that CO2 can play a similar catalytic role to N2 in the formation of heavy organic species, which implies that EUV photochemistry might have significant impact on the photochemical generation of organic haze layers in the upper atmosphere of the early Earth.

  2. EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures

    Science.gov (United States)

    Fernández Herrero, Analía.; Mentzel, Heiko; Soltwisch, Victor; Jaroslawzew, Sina; Laubis, Christian; Scholze, Frank

    2018-03-01

    The advance of the semiconductor industry requires new metrology methods, which can deal with smaller and more complex nanostructures. Particularly for inline metrology a rapid, sensitive and non destructive method is needed. Small angle X-ray scattering under grazing incidence has already been investigated for this application and delivers significant statistical information which tracks the profile parameters as well as their variations, i.e. roughness. However, it suffers from the elongated footprint at the sample. The advantage of EUV radiation, with its longer wavelengths, is that larger incidence angles can be used, resulting in a significant reduction of the beam footprint. Targets with field sizes of 100 μm and smaller are accessible with our experimental set-up. We present a new experimental tool for the measurement of small structures based on the capabilities of soft X-ray and EUV scatterometry at the PTB soft X-ray beamline at the electron storage ring BESSY II. PTB's soft X-ray radiometry beamline uses a plane grating monochromator, which covers the spectral range from 0.7 nm to 25 nm and was especially designed to provide highly collimated radiation. An area detector covers the scattered radiation from a grazing exit angle up to an angle of 30° above the sample horizon and the fluorescence emission can be detected with an energy dispersive X-ray silicon drift detector. In addition, the sample can be rotated and linearly moved in vacuum. This new set-up will be used to explore the capabilities of EUV-scatterometry for the characterization of nanometre-sized structures.

  3. Atomic processes and equation of state of high Z plasmas for EUV sources and their effects on the spatial and temporal evolution of the plasmas

    Science.gov (United States)

    Sasaki, Akira; Sunahara, Atushi; Furukawa, Hiroyuki; Nishihara, Katsunobu; Nishikawa, Takeshi; Koike, Fumihiro

    2016-03-01

    Laser-produced plasma (LPP) extreme ultraviolet (EUV) light sources have been intensively investigated due to potential application to next-generation semiconductor technology. Current studies focus on the atomic processes and hydrodynamics of plasmas to develop shorter wavelength sources at λ = 6.x nm as well as to improve the conversion efficiency (CE) of λ = 13.5 nm sources. This paper examines the atomic processes of mid-z elements, which are potential candidates for λ = 6.x nm source using n=3-3 transitions. Furthermore, a method to calculate the hydrodynamics of the plasmas in terms of the initial interaction between a relatively weak prepulse laser is presented.

  4. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    Science.gov (United States)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  5. Estimation of soft X-ray and EUV transition radiation power emitted from the MIRRORCLE-type tabletop synchrotron.

    Science.gov (United States)

    Toyosugi, N; Yamada, H; Minkov, D; Morita, M; Yamaguchi, T; Imai, S

    2007-03-01

    The tabletop synchrotron light sources MIRRORCLE-6X and MIRRORCLE-20SX, operating at electron energies E(el) = 6 MeV and E(el) = 20 MeV, respectively, can emit powerful transition radiation (TR) in the extreme ultraviolet (EUV) and the soft X-ray regions. To clarify the applicability of these soft X-ray and EUV sources, the total TR power has been determined. A TR experiment was performed using a 385 nm-thick Al foil target in MIRRORCLE-6X. The angular distribution of the emitted power was measured using a detector assembly based on an NE102 scintillator, an optical bundle and a photomultiplier. The maximal measured total TR power for MIRRORCLE-6X is P(max) approximately equal 2.95 mW at full power operation. Introduction of an analytical expression for the lifetime of the electron beam allows calculation of the emitted TR power by a tabletop synchrotron light source. Using the above measurement result, and the theoretically determined ratio between the TR power for MIRRORCLE-6X and MIRRORCLE-20SX, the total TR power for MIRRORCLE-20SX can be obtained. The one-foil TR target thickness is optimized for the 20 MeV electron energy. P(max) approximately equal 810 mW for MIRRORCLE-20SX is obtained with a single foil of 240 nm-thick Be target. The emitted bremsstrahlung is negligible with respect to the emitted TR for optimized TR targets. From a theoretically known TR spectrum it is concluded that MIRRORCLE-20SX can emit 150 mW of photons with E > 500 eV, which makes it applicable as a source for performing X-ray lithography. The average wavelength, \\overline\\lambda = 13.6 nm, of the TR emission of MIRRORCLE-20SX, with a 200 nm Al target, could provide of the order of 1 W EUV.

  6. Extreme Ultraviolet (EUV) induced surface chemistry on Ru

    NARCIS (Netherlands)

    Liu, Feng; Sturm, Jacobus Marinus; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    EUV photon induced surface chemistry can damage multilayer mirrors causing reflectivity loss and faster degradation. EUV photo chemistry involves complex processes including direct photon induced surface chemistry and secondary electron radiation chemistry. Current cleaning techniques include dry

  7. Evidence for a New Class of Extreme Ultraviolet Sources

    Science.gov (United States)

    Maoz, Dan; Ofek, Eran O.; Shemi, Amotz

    1997-01-01

    Most of the sources detected in the extreme ultraviolet (EUV; 100-600 A) by the ROSAT/WFC and EUVE all-sky surveys have been identified with active late-type stars and hot white dwarfs that are near enough to the Earth to escape absorption by interstellar gas. However, about 15 per cent of EUV sources are as yet unidentified with any optical counterparts. We examine whether the unidentified EUV sources may consist of the same population of late-type stars and white dwarfs. We present B and R photometry of stars in the fields of seven of the unidentified EUV sources. We detect in the optical the entire main-sequence and white dwarf population out to the greatest distances where they could still avoid absorption. We use color-magnitude diagrams to demonstrate that, in most of the fields, none of the observed stars has the colours and magnitudes of late-type dwarfs at distances less than 100 pc. Similarly, none of the observed stars is a white dwarf within 500 pc that is hot enough to be a EUV emitter. The unidentified EUV sources we study are not detected in X-rays, while cataclysmic variables, X-ray binaries, and active galactic nuclei generally are. We conclude that some of the EUV sources may be a new class of nearby objects, which are either very faint at optical bands or which mimic the colours and magnitudes of distant late-type stars or cool white dwarfs. One candidate for optically faint objects is isolated old neutron stars, slowly accreting interstellar matter. Such neutron stars are expected to be abundant in the Galaxy, and have not been unambiguously detected.

  8. 4-D modeling of CME expansion and EUV dimming observed with STEREO/EUVI

    Directory of Open Access Journals (Sweden)

    M. J. Aschwanden

    2009-08-01

    Full Text Available This is the first attempt to model the kinematics of a CME launch and the resulting EUV dimming quantitatively with a self-consistent model. Our 4-D-model assumes self-similar expansion of a spherical CME geometry that consists of a CME front with density compression and a cavity with density rarefaction, satisfying mass conservation of the total CME and swept-up corona. The model contains 14 free parameters and is fitted to the 25 March 2008 CME event observed with STEREO/A and B. Our model is able to reproduce the observed CME expansion and related EUV dimming during the initial phase from 18:30 UT to 19:00 UT. The CME kinematics can be characterized by a constant acceleration (i.e., a constant magnetic driving force. While the observations of EUVI/A are consistent with a spherical bubble geometry, we detect significant asymmetries and density inhomogeneities with EUVI/B. This new forward-modeling method demonstrates how the observed EUV dimming can be used to model physical parameters of the CME source region, the CME geometry, and CME kinematics.

  9. Classification and printability of EUV mask defects from SEM images

    Science.gov (United States)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  10. Interferometry using undulator sources

    International Nuclear Information System (INIS)

    Beguiristain, R.; Goldberg, K.A.; Tejnil, E.; Bokor, J.; Medecki, H.; Attwood, D.T.; Jackson, K.

    1996-01-01

    Optical systems for extreme ultraviolet (EUV) lithography need to use optical components with subnanometer surface figure error tolerances to achieve diffraction-limited performance [M.D. Himel, in Soft X-Ray Projection Lithography, A.M. Hawryluk and R.H. Stulen, eds. (OSA, Washington, D.C., 1993), 18, 1089, and D. Attwood et al., Appl. Opt. 32, 7022 (1993)]. Also, multilayer-coated optics require at-wavelength wavefront measurement to characterize phase effects that cannot be measured by conventional optical interferometry. Furthermore, EUV optical systems will additionally require final testing and alignment at the operational wavelength for adjustment and reduction of the cumulative optical surface errors. Therefore, at-wavelength interferometric measurement of EUV optics will be the necessary metrology tool for the successful development of optics for EUV lithography. An EUV point diffraction interferometer (PDI) has been developed at the Center for X-Ray Optics (CXRO) and has been already in operation for a year [K. Goldberg et al., in Extreme Ultra Lithography, D.T. Attwood and F. Zernike, eds. (OSA, Washington, D.C., 1994), K. Goldberg et al., Proc. SPIE 2437, to be published, and K. Goldberg et al., J. Vac. Sci. Technol. B 13, 2923 (1995)] using an undulator radiation source and coherent optics beamline at the Advanced Light Source (ALS) at Lawrence Berkeley National Laboratory. An overview of the PDI interferometer and some EUV wavefront measurements obtained with this instrument will be presented. In addition, future developments planned for EUV interferometry at CXRO towards the measurement of actual EUV lithography optics will be shown. copyright 1996 American Institute of Physics

  11. LASER APPLICATIONS AND OTHER TOPICS IN QUANTUM ELECTRONICS: Laser-induced extreme UV radiation sources for manufacturing next-generation integrated circuits

    Science.gov (United States)

    Borisov, V. M.; Vinokhodov, A. Yu; Ivanov, A. S.; Kiryukhin, Yu B.; Mishchenko, V. A.; Prokof'ev, A. V.; Khristoforov, O. B.

    2009-10-01

    The development of high-power discharge sources emitting in the 13.5±0.135-nm spectral band is of current interest because they are promising for applications in industrial EUV (extreme ultraviolet) lithography for manufacturing integrated circuits according to technological precision standards of 22 nm and smaller. The parameters of EUV sources based on a laser-induced discharge in tin vapours between rotating disc electrodes are investigated. The properties of the discharge initiation by laser radiation at different wavelengths are established and the laser pulse parameters providing the maximum energy characteristics of the EUV source are determined. The EUV source developed in the study emits an average power of 276 W in the 13.5±0.135-nm spectral band on conversion to the solid angle 2π sr in the stationary regime at a pulse repetition rate of 3000 Hz.

  12. FORMATION OF S-BEARING SPECIES BY VUV/EUV IRRADIATION OF H2S-CONTAINING ICE MIXTURES: PHOTON ENERGY AND CARBON SOURCE EFFECTS

    International Nuclear Information System (INIS)

    Chen, Y.-J.; Juang, K.-J.; Qiu, J.-M.; Chu, C.-C.; Yih, T.-S.; Nuevo, M.; Jiménez-Escobar, A.; Muñoz Caro, G. M.; Wu, C.-Y. R.; Fung, H.-S.; Ip, W.-H.

    2015-01-01

    Carbonyl sulfide (OCS) is a key molecule in astrobiology that acts as a catalyst in peptide synthesis by coupling amino acids. Experimental studies suggest that hydrogen sulfide (H 2 S), a precursor of OCS, could be present in astrophysical environments. In the present study, we used a microwave-discharge hydrogen-flow lamp, simulating the interstellar UV field, and a monochromatic synchrotron light beam to irradiate CO:H 2 S and CO 2 :H 2 S ice mixtures at 14 K with vacuum ultraviolet (VUV) or extreme ultraviolet (EUV) photons in order to study the effect of the photon energy and carbon source on the formation mechanisms and production yields of S-containing products (CS 2 , OCS, SO 2 , etc.). Results show that (1) the photo-induced OCS production efficiency in CO:H 2 S ice mixtures is higher than that of CO 2 :H 2 S ice mixtures; (2) a lower concentration of H 2 S enhances the production efficiency of OCS in both ice mixtures; and (3) the formation pathways of CS 2 differ significantly upon VUV and EUV irradiations. Furthermore, CS 2 was produced only after VUV photoprocessing of CO:H 2 S ices, while the VUV-induced production of SO 2 occurred only in CO 2 :H 2 S ice mixtures. More generally, the production yields of OCS, H 2 S 2 , and CS 2 were studied as a function of the irradiation photon energy. Heavy S-bearing compounds were also observed using mass spectrometry during the warm-up of VUV/EUV-irradiated CO:H 2 S ice mixtures. The presence of S-polymers in dust grains may account for the missing sulfur in dense clouds and circumstellar environments

  13. TESIS experiment on EUV imaging spectroscopy of the Sun

    Science.gov (United States)

    Kuzin, S. V.; Bogachev, S. A.; Zhitnik, I. A.; Pertsov, A. A.; Ignatiev, A. P.; Mitrofanov, A. M.; Slemzin, V. A.; Shestov, S. V.; Sukhodrev, N. K.; Bugaenko, O. I.

    2009-03-01

    TESIS is a set of solar imaging instruments in development by the Lebedev Physical Institute of the Russian Academy of Science, to be launched aboard the Russian spacecraft CORONAS-PHOTON in December 2008. The main goal of TESIS is to provide complex observations of solar active phenomena from the transition region to the inner and outer solar corona with high spatial, spectral and temporal resolution in the EUV and Soft X-ray spectral bands. TESIS includes five unique space instruments: the MgXII Imaging Spectroheliometer (MISH) with spherical bent crystal mirror, for observations of the Sun in the monochromatic MgXII 8.42 Å line; the EUV Spectoheliometer (EUSH) with grazing incidence difraction grating, for the registration of the full solar disc in monochromatic lines of the spectral band 280-330 Å; two Full-disk EUV Telescopes (FET) with multilayer mirrors covering the band 130-136 and 290-320 Å; and the Solar EUV Coronagraph (SEC), based on the Ritchey-Chretien scheme, to observe the inner and outer solar corona from 0.2 to 4 solar radii in spectral band 290-320 Å. TESIS experiment will start at the rising phase of the 24th cycle of solar activity. With the advanced capabilities of its instruments, TESIS will help better understand the physics of solar flares and high-energy phenomena and provide new data on parameters of solar plasma in the temperature range 10-10K. This paper gives a brief description of the experiment, its equipment, and its scientific objectives.

  14. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    Science.gov (United States)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert; Yakshin, Andrey; Louis, Eric; Bijkerk, Fred

    2017-03-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV experiments. Both planar and three dimensional multilayer structures have been developed to tailor the spectral response in a wide wavelength range. For the planar multilayer optics, different layered schemes are explored. Stacks of periodic multilayers and capping layers are demonstrated to achieve multi-channel reflection or suppression of the reflective properties. Aperiodic multilayer structures enable broadband reflection both in angles and wavelengths, with the possibility of polarization control. The broad wavelength band multilayer is also used to shape attosecond pulses for the study of ultrafast phenomena. Narrowband multilayer monochromators are delivered to bridge the resolution gap between crystals and regular multilayers. High spectral purity multilayers with innovated anti-reflection structures are shown to select spectrally clean XUV radiation from broadband X-ray sources, especially the plasma sources for EUV lithography. Significant progress is also made in the three dimensional multilayer optics, i.e., combining micro- and nanostructures with multilayers, in order to provide new freedom to tune the spectral response. Several kinds of multilayer gratings, including multilayer coated gratings, sliced multilayer gratings, and lamellar multilayer gratings are being pursued for high resolution and high efficiency XUV spectrometers/monochromators, with their advantages and disadvantages, respectively. Multilayer diffraction optics are also developed for spectral purity enhancement. New structures like gratings, zone plates, and pyramids that obtain full suppression of the unwanted radiation and high XUV reflectance are reviewed. Based on the present achievement

  15. EDITORIAL: Extreme Ultraviolet Light Sources for Semiconductor Manufacturing

    Science.gov (United States)

    Attwood, David

    2004-12-01

    The International Technology Roadmap for Semiconductors (ITRS) [1] provides industry expectations for high volume computer chip fabrication a decade into the future. It provides expectations to anticipated performance and requisite specifications. While the roadmap provides a collective projection of what international industry expects to produce, it does not specify the technology that will be employed. Indeed, there are generally several competing technologies for each two or three year step forward—known as `nodes'. Recent successful technologies have been based on KrF (248 nm), and now ArF (193 nm) lasers, combined with ultraviolet transmissive refractive optics, in what are known as step and scan exposure tools. Less fortunate technologies in the recent past have included soft x-ray proximity printing and, it appears, 157 nm wavelength F2 lasers. In combination with higher numerical aperture liquid emersion optics, 193 nm is expected to be used for the manufacture of leading edge chip performance for the coming five years. Beyond that, starting in about 2009, the technology to be employed is less clear. The leading candidate for the 2009 node is extreme ultraviolet (EUV) lithography, however this requires that several remaining challenges, including sufficient EUV source power, be overcome in a timely manner. This technology is based on multilayer coated reflective optics [2] and an EUV emitting plasma. Following Moore's Law [3] it is expected, for example, that at the 2009 `32 nm node' (printable patterns of 32 nm half-pitch), isolated lines with 18 nm width will be formed in resist (using threshold effects), and that these will be further narrowed to 13 nm in transfer to metalized electronic gates. These narrow features are expected to provide computer chips of 19 GHz clock frequency, with of the order of 1.5 billion transistors per chip [1]. This issue of Journal of Physics D: Applied Physics contains a cluster of eight papers addressing the critical

  16. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    Science.gov (United States)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  17. Embedded top-coat for reducing the effect out of band radiation in EUV lithography

    Science.gov (United States)

    Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew

    2017-03-01

    Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure

  18. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  19. Sub 20nm particle inspection on EUV mask blanks

    NARCIS (Netherlands)

    Bussink, P.G.W.; Volatier, J.B.; Walle, P. van der; Fritz, E.C.; Donck, J.C.J. van der

    2016-01-01

    The Rapid Nano is a particle inspection system developed by TNO for the qualification of EUV reticle handling equipment. The detection principle of this system is dark-field microscopy. The performance of the system has been improved via model-based design. Through our model of the scattering

  20. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  1. FORMATION OF S-BEARING SPECIES BY VUV/EUV IRRADIATION OF H{sub 2}S-CONTAINING ICE MIXTURES: PHOTON ENERGY AND CARBON SOURCE EFFECTS

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Y.-J.; Juang, K.-J.; Qiu, J.-M.; Chu, C.-C.; Yih, T.-S. [Department of Physics, National Central University, Jhongli City, Taoyuan County 32054, Taiwan (China); Nuevo, M. [NASA Ames Research Center, Moffett Field, CA 94035 (United States); Jiménez-Escobar, A.; Muñoz Caro, G. M. [Centro de Astrobiología, INTA-CSIC, Torrejón de Ardoz, E-28850 Madrid (Spain); Wu, C.-Y. R. [Space Sciences Center and Department of Physics and Astronomy, University of Southern California, Los Angeles, CA 90089-1341 (United States); Fung, H.-S. [National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China); Ip, W.-H. [Graduate Institute of Astronomy, National Central University, Jhongli City, Taoyuan County 32049, Taiwan (China)

    2015-01-10

    Carbonyl sulfide (OCS) is a key molecule in astrobiology that acts as a catalyst in peptide synthesis by coupling amino acids. Experimental studies suggest that hydrogen sulfide (H{sub 2}S), a precursor of OCS, could be present in astrophysical environments. In the present study, we used a microwave-discharge hydrogen-flow lamp, simulating the interstellar UV field, and a monochromatic synchrotron light beam to irradiate CO:H{sub 2}S and CO{sub 2}:H{sub 2}S ice mixtures at 14 K with vacuum ultraviolet (VUV) or extreme ultraviolet (EUV) photons in order to study the effect of the photon energy and carbon source on the formation mechanisms and production yields of S-containing products (CS{sub 2}, OCS, SO{sub 2}, etc.). Results show that (1) the photo-induced OCS production efficiency in CO:H{sub 2}S ice mixtures is higher than that of CO{sub 2}:H{sub 2}S ice mixtures; (2) a lower concentration of H{sub 2}S enhances the production efficiency of OCS in both ice mixtures; and (3) the formation pathways of CS{sub 2} differ significantly upon VUV and EUV irradiations. Furthermore, CS{sub 2} was produced only after VUV photoprocessing of CO:H{sub 2}S ices, while the VUV-induced production of SO{sub 2} occurred only in CO{sub 2}:H{sub 2}S ice mixtures. More generally, the production yields of OCS, H{sub 2}S{sub 2}, and CS{sub 2} were studied as a function of the irradiation photon energy. Heavy S-bearing compounds were also observed using mass spectrometry during the warm-up of VUV/EUV-irradiated CO:H{sub 2}S ice mixtures. The presence of S-polymers in dust grains may account for the missing sulfur in dense clouds and circumstellar environments.

  2. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemyslaw; Jarocki, Roman; Fiedorowicz, Henryk; Limpouch, Jiri

    2018-01-01

    Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm) laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis) range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE), the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  3. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Directory of Open Access Journals (Sweden)

    Saber Ismail

    2018-01-01

    Full Text Available Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE, the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  4. Study of EUV induced defects on few-layer graphene

    NARCIS (Netherlands)

    Gao, An; Rizo, P.J.; Zoethout, E.; Scaccabarozzi, L.; Lee, Christopher James; Banine, V.; Bijkerk, Frederik

    2012-01-01

    Defects in graphene greatly affect its properties1-3. Radiation induced-defects may reduce the long-term survivability of graphene-based nano-devices. Here, we expose few-layer graphene to extreme ultraviolet (EUV, 13.5nm) radiation and show there is a power-dependent increase in defect density. We

  5. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    Science.gov (United States)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  6. Photoionization of atoms and molecules by intense EUV-FEL pulses and FEL seeded by high-order harmonic of ultrashort laser pulses

    International Nuclear Information System (INIS)

    Iwasaki, Atsushi; Owada, Shigeki; Yamanouchi, Kaoru; Sato, Takahiro; Nagasono, Mitsuru; Yabashi, Makina; Ishikawa, Tetsuya; Togashi, Tadashi; Takahashi, Eiji J.; Midorikawa, Katsumi; Aoyama, Makoto; Yamakawa, Koichi; Kannari, Fumihiko; Yagishita, Akira

    2012-01-01

    The advantages of SPring-8 Compact SASE Source as a light source for spectroscopic measurements in the extreme ultraviolet (EUV) wavelength region are introduced by referring to our recent study of non-linear photoionization processes of He, in which the absolute two-photon ionization cross sections of He at four different wavelengths in the 54 - 62 nm region were determined using intense pulses of the free-election laser (FEL). In addition, our recent effort to generate intense full-coherent EUV light pulses are introduced, in which significant amplification of the 13th harmonic of ultrashort laser pulses at 800 nm was achieved by FEL seeded with the 13th harmonic. (author)

  7. Design, conception, and metrology of Extreme Ultraviolet multilayers mirrors resistant environments of space and EUV sources

    International Nuclear Information System (INIS)

    Hecquet, Ch.

    2009-03-01

    The Extreme Ultraviolet Spectrum (EUV) wavelengths, which range between 13 nm and 40 nm, have many applications in science and technology. These have been developed for example in plasma physics (high order harmonics sources, X ray lasers). The work presented is about the design, the fabrication and the metrology of periodic multilayer mirrors. The main motivation of this study is to establish a cycle of development taking into account both the optical properties of reflective coatings (reflectivity, spectral selectivity, attenuation) and their behaviour under various environments. To improve the spectral selectivity, new multilayer periodic structures have been developed. They are characterized by a bimodal reflectance profile with adjustable attenuation. The effect of environment on the stability of performance is especially critical for the optical collection. The addition of material barriers has stabilized the performance of the peak reflectivity for over 200 h at 400 C deg. and it reduces the influence of other factors of instability on the reflectance. In addition, all structures have been fabricated successfully and evaluated in severe environments. (author)

  8. Design, conception, and metrology of Extreme Ultraviolet multilayers mirrors resistant environments of space and EUV sources; Conception, realisation et metrologie de miroirs multicouches pour l'extreme ultraviolet resistants aux environnements du spatial et des sources EUV

    Energy Technology Data Exchange (ETDEWEB)

    Hecquet, Ch.

    2009-03-15

    The Extreme Ultraviolet Spectrum (EUV) wavelengths, which range between 13 nm and 40 nm, have many applications in science and technology. These have been developed for example in plasma physics (high order harmonics sources, X ray lasers). The work presented is about the design, the fabrication and the metrology of periodic multilayer mirrors. The main motivation of this study is to establish a cycle of development taking into account both the optical properties of reflective coatings (reflectivity, spectral selectivity, attenuation) and their behaviour under various environments. To improve the spectral selectivity, new multilayer periodic structures have been developed. They are characterized by a bimodal reflectance profile with adjustable attenuation. The effect of environment on the stability of performance is especially critical for the optical collection. The addition of material barriers has stabilized the performance of the peak reflectivity for over 200 h at 400 C deg. and it reduces the influence of other factors of instability on the reflectance. In addition, all structures have been fabricated successfully and evaluated in severe environments. (author)

  9. ROSAT EUV and soft X-ray studies of atmospheric composition and structure in G191-B2B

    Science.gov (United States)

    Barstow, M. A.; Fleming, T. A.; Finley, D. S.; Koester, D.; Diamond, C. J.

    1993-01-01

    Previous studies of the hot DA white dwarf GI91-B2B have been unable to determine whether the observed soft X-ray and EUV opacity arises from a stratified hydrogen and helium atmosphere or from the presence of trace metals in the photosphere. New EUV and soft X-ray photometry of this star, made with the ROSAT observatory, when analyzed in conjunction with the earlier data, shows that the stratified models cannot account for the observed fluxes. Consequently, we conclude that trace metals must be a substantial source of opacity in the photosphere of G191-B2B.

  10. Plasma control for efficient extreme ultra-violet source

    International Nuclear Information System (INIS)

    Takahashi, Kensaku; Nakajima, Mitsuo; Kawamura, Tohru; Shiho, Makoto; Hotta, Eiki; Horioka, Kazuhiko

    2008-01-01

    To generate a high efficiency extreme-ultraviolet (EUV) source, effects of plasma shape for controlling radiative plasmas based on xenon capillary discharge are experimentally investigated. The radiation characteristics observed via tapered capillary discharge are compared with those of straight one. From the comparison, the long emission period and different plasma behaviors of tapered capillary discharge are confirmed. This means that control of the plasma geometry is effective for prolonging the EUV emission period. This result also indicates that the plasma shape control seems to have a potential for enhancing the conversion efficiency. (author)

  11. Mix-and-match considerations for EUV insertion in N7 HVM

    Science.gov (United States)

    Chen, Xuemei; Gabor, Allen; Samudrala, Pavan; Meyers, Sheldon; Hosler, Erik; Johnson, Richard; Felix, Nelson

    2017-03-01

    An optimal mix-match control strategy for EUV and 193i scanners is crucial for the insertion of EUV lithography at 7nm technology node. The systematic differences between these exposure systems introduce additional cross-platform mixmatch overlay errors. In this paper, we quantify the EUV specific contributions to mix-match overlay, and explore the effectiveness of higher-order interfield and intrafield corrections on minimizing the on-product mix-match overlay errors. We also analyze the impact of intra-field sampling plans in terms of model accuracy and adequacy in capturing EUV specific intra-field signatures. Our analysis suggests that more intra-field measurements and appropriate placement of the metrology targets within the field are required to achieve the on-product overlay control goals for N7 HVM.

  12. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    NARCIS (Netherlands)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Louis, Eric; Bijkerk, Frederik

    2017-01-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV

  13. Critical parameters influencing the EUV-induced damage of Ru-capped multilayer mirrors

    International Nuclear Information System (INIS)

    Hill, S B; Ermanoski, I; Tarrio, C; Lucatorto, T B; Madey, T E; Bajt, S; Fang, M; Chandhok, M

    2007-01-01

    Ongoing endurance testing of Ru-capped multilayer mirrors (MLMs) at the NIST synchrotron facility has revealed that the damage resulting from EUV irradiation does not always depend on the exposure conditions in an intuitive way. Previous exposures of Ru-capped MLMs to EUV radiation in the presence of water vapor demonstrated that the mirror damage rate actually decreases with increasing water pressure. We will present results of recent exposures showing that the reduction in damage for partial pressures of water up to 5 x 10 -6 Torr is not the result of a spatially uniform decrease in damage across the Gaussian intensity distribution of the incident EUV beam. Instead we observe a drop in the damage rate in the center of the exposure spot where the intensity is greatest, while the reflectivity loss in the wings of the intensity distribution appears to be independent of water partial pressure. (See Fig. 1.) We will discuss how the overall damage rate and spatial profile can be influenced by admixtures of carbon-containing species (e.g., CO, CO 2 , C 6 H 6 ) at partial pressures one-to-two orders of magnitude lower than the water vapor partial pressure. An investigation is underway to find the cause of the non-Gaussian damage profile. Preliminary results and hypotheses will be discussed. In addition to high-resolution reflectometry of the EUV-exposure sites, the results of surface analysis such as XPS will be presented. We will also discuss how the bandwidth and time structure of incident EUV radiation may affect the rate of reflectivity degradation. Although the observations presented here are based on exposures of Ru-capped MLMs, unless novel capping layers are similarly characterized, direct application of accelerated testing results could significantly overestimate mirror lifetime in the production environment

  14. Stellar observations with the Voyager EUV objective grating spectrograph

    International Nuclear Information System (INIS)

    Holberg, J.B.; Polidan, R.S.; Barry, D.C.

    1986-01-01

    During the periods of interplanetary cruise the Voyager ultraviolet spectrometers are used to provide unique and otherwise unobtainable observations in the extreme ultraviolet (EUV, 500 to 1200) and the far ultraviolet (FUV, 912 to 1220 A). These observations include the spectra of hot stellar sources as well as emission from the interplanetary medium. Recent results of note include: (1) extensive spectrophotometric coverage of a superoutburst of the dwarf nova VW Hydri, which showed a clear 1/2 day delay in the outburst at 1000 A relative to that observed in the optical and a curious dip in the FUV light curve near maximum light. The Voyager observations were part of a comprehensive and highly successful campaign involving EXOSAT, IUE and ground based observations of this dwarf nova; (2) a comprehensive study of Be star spectra and variability. These results show the critical importance of FUV observations in the study of the effects of stellar rotation in hot stars; (3) the detection of a strong O VI absorption feature in the spectrum of the PG 1159-like object H1504+65. This detection along with the optical identification of weak O IV lines was a key to the interpretation of this object; which is of extremely high (>150,000K) temperature and appears to be a unique example of a stellar atmosphere devoid of H and He; (4) an analysis of an extremely long duration spectrum of the EUV and FUV sky background, which establishes important new upper limits on both continuum and line emission. This result also provide the first detection of interplanetary Lyman gamma

  15. The EUVE Mission at UCB: Squeezing More From Less

    Science.gov (United States)

    Stroozas, B. A.; Cullison, J. L.; McDonald, K. E.; Nevitt, R.; Malina, R. F.

    2000-05-01

    With 8 years on orbit, and over three years in an outsourced mode at U.C. Berkeley (UCB), NASA's Extreme Ultraviolet Explorer (EUVE) continues to be a highly mature and productive scientific mission. The EUVE satellite is extremely stable and exhibits little degradation in its original scientific capabilities, and science data return continues to be at the >99% level. The Project's very small, dedicated, innovative, and relatively cheap ( \\$1 million/year) support team at UCB continues to validate the success of NASA's outsourcing "experiment" while providing a very high science-per-dollar return on NASA's investment with no significant additional risk to the flight systems. The EUVE mission still has much more to offer in terms of important and exciting scientific discoveries as well as mission operations innovations. To highlight this belief the EUVE team at UCB continues to find creative ways to do more with less -- to squeeze the maximum out of available funds -- in NASA's "cheaper, better, faster" environment. This paper provides an overview of the EUVE mission's past, current, and potential future efforts toward automating and integrating its multi-functional data processing systems in proposal management, observation planning, mission operations and engineering, and the processing, archival, and delivery of raw telemetry and science data products. The paper will also discuss the creative allocation of the Project's few remaining personnel resources who support both core mission functions and new innovations, while at the same time minimizing overall risk and stretching the available budget. This work is funded through NASA/UCB Cooperative Agreement NCC5-138.

  16. Integral characteristics of spectra of ions important for EUV lithography

    International Nuclear Information System (INIS)

    Karazija, R; Kucas, S; Momkauskaite, A

    2006-01-01

    The emission spectrum corresponding to the 4p 5 4d N+1 + 4p 6 4d N-1 4f → 4p 6 4d N transition array is concentrated in a narrow interval of wavelengths. That is due to the existence of an approximate selection rule and quenching of some lines by configuration mixing. Thus such emission of elements near Z = 50 is considered to be the main candidate for the EUV lithography source at λ = 13.5 nm. In the present work the regularities of these transition arrays are considered using their integral characteristics: average energy, total line strength, variance and interval of array containing some part of the total transition probability. Calculations for various ions of elements In, Sn, Sb, Te, I and Xe have been performed in a two-configuration pseudorelativistic approximation, which describes fairly well the main features of the spectra. The variation in the values of the main integral characteristics of the spectra with atomic number and ionization degree gives the possibility of comparing quantitatively the suitability of the emission of various ions for EUV lithography

  17. FIRST SIMULTANEOUS OBSERVATION OF AN H{alpha} MORETON WAVE, EUV WAVE, AND FILAMENT/PROMINENCE OSCILLATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Asai, Ayumi; Isobe, Hiroaki [Unit of Synergetic Studies for Space, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Ishii, Takako T.; Kitai, Reizaburo; Ichimoto, Kiyoshi; UeNo, Satoru; Nagata, Shin' ichi; Morita, Satoshi; Nishida, Keisuke; Shibata, Kazunari [Kwasan and Hida Observatories, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Shiota, Daikou [Advanced Science Institute, RIKEN, Wako, Saitama 351-0198 (Japan); Oi, Akihito [College of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Akioka, Maki, E-mail: asai@kwasan.kyoto-u.ac.jp [Hiraiso Solar Observatory, National Institute of Information and Communications Technology, Hitachinaka, Ibaraki 311-1202 (Japan)

    2012-02-15

    We report on the first simultaneous observation of an H{alpha} Moreton wave, the corresponding EUV fast coronal waves, and a slow and bright EUV wave (typical EIT wave). We observed a Moreton wave, associated with an X6.9 flare that occurred on 2011 August 9 at the active region NOAA 11263, in the H{alpha} images taken by the Solar Magnetic Activity Research Telescope at Hida Observatory of Kyoto University. In the EUV images obtained by the Atmospheric Imaging Assembly on board the Solar Dynamic Observatory we found not only the corresponding EUV fast 'bright' coronal wave, but also the EUV fast 'faint' wave that is not associated with the H{alpha} Moreton wave. We also found a slow EUV wave, which corresponds to a typical EIT wave. Furthermore, we observed, for the first time, the oscillations of a prominence and a filament, simultaneously, both in the H{alpha} and EUV images. To trigger the oscillations by the flare-associated coronal disturbance, we expect a coronal wave as fast as the fast-mode MHD wave with the velocity of about 570-800 km s{sup -1}. These velocities are consistent with those of the observed Moreton wave and the EUV fast coronal wave.

  18. The EUV spectrophotometer on Atmosphere Explorer.

    Science.gov (United States)

    Hinteregger, H. E.; Bedo, D. E.; Manson, J. E.

    1973-01-01

    An extreme ultraviolet (EUV) spectrophotometer for measurements of solar radiation at wavelengths ranging from 140 to 1850 A will be included in the payload of each of the three Atmosphere-Explorer (AE) missions, AE-C, -D, and -E. The instrument consists of 24 grating monochromators, 12 of which can be telecommanded either to execute 128-step scans each covering a relatively small section of the total spectrophotometer wavelength range or to maintain fixed (command-selected) wavelength positions. The remaining 12 nonscan monochromators operate at permanently fixed wavelengths and view only a small fraction of the solar disk except for one viewing the whole sun in H Lyman alpha. Ten of the 12 scan-capable monochromators also view the entire solar disk since their primary function is to measure the total fluxes independent of the distribution of sources across the solar disk.

  19. High Quality, Low-Scatter SiC Optics Suitable for Space-based UV & EUV Applications, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — SSG Precision Optronics proposes the development and demonstration of a new optical fabrication process for the production of EUV quality Silicon Carbide (SiC)...

  20. Micro- and Nanoprocessing of Polymers Using a Laser Plasma Extreme Ultraviolet Source

    International Nuclear Information System (INIS)

    Bartnik, A.; Fiedorowicz, H.; Jarocki, R.; Kostecki, J.; Rakowski, R.; Szczurek, A.; Szczurek, M.

    2010-01-01

    Laser plasma with temperature of the order of tens eV can be an efficient source of extreme ultraviolet (EUV). The radiation can be focused using different kind of optics, giving sufficient fluence for some applications. In this work we present results of investigations concerning applications of a laser plasma EUV source based on a double stream gas puff target. The source was equipped with two different grazing incidence collectors. One of them was a multifoil collector, the second one was an axisymmetrical ellipsoidal collector. The multifoil mirror was used mainly in experiments concerning micromachining of organic polymers by direct photo-etching. The experiments were performed for different polymers that were irradiated through a fine metal grid as a contact mask. The smallest element of a pattern structure obtained in this way was 5 μm, while the structure height was 50 μm giving an aspect ratio about 10. The laser-plasma EUV source equipped with the axisymmetrical ellipsoidal collector was used for surface modification of organic polymers and inorganic solids. The surface morphology after irradiation was investigated. Different forms of micro- and nanostructures were obtained depending on material and irradiation conditions. (author)

  1. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    Science.gov (United States)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  2. PROJECTION EFFECTS IN CORONAL DIMMINGS AND ASSOCIATED EUV WAVE EVENT

    Energy Technology Data Exchange (ETDEWEB)

    Dissauer, K.; Temmer, M.; Veronig, A. M.; Vanninathan, K. [IGAM/Institute of Physics, University of Graz, Universitätsplatz 5/II, A-8010 Graz (Austria); Magdalenić, J., E-mail: karin.dissauer@uni-graz.at [Solar-Terrestrial Center of Excellence-SIDC, Royal Observatory of Belgium, Av. Circulaire 3, B-1180 Brussels (Belgium)

    2016-10-20

    We investigate the high-speed ( v > 1000 km s{sup −1}) extreme-ultraviolet (EUV) wave associated with an X1.2 flare and coronal mass ejection (CME) from NOAA active region 11283 on 2011 September 6 (SOL2011-09-06T22:12). This EUV wave features peculiar on-disk signatures; in particular, we observe an intermittent “disappearance” of the front for 120 s in Solar Dynamics Observatory ( SDO )/AIA 171, 193, 211 Å data, whereas the 335 Å filter, sensitive to hotter plasmas ( T ∼ 2.5 MK), shows a continuous evolution of the wave front. The eruption was also accompanied by localized coronal dimming regions. We exploit the multi-point quadrature position of SDO and STEREO-A , to make a thorough analysis of the EUV wave evolution, with respect to its kinematics and amplitude evolution and reconstruct the SDO line-of-sight (LOS) direction of the identified coronal dimming regions in STEREO-A . We show that the observed intensities of the dimming regions in SDO /AIA depend on the structures that are lying along their LOS and are the combination of their individual intensities, e.g., the expanding CME body, the enhanced EUV wave, and the CME front. In this context, we conclude that the intermittent disappearance of the EUV wave in the AIA 171, 193, and 211 Å filters, which are channels sensitive to plasma with temperatures below ∼2 MK is also caused by such LOS integration effects. These observations clearly demonstrate that single-view image data provide us with limited insight to correctly interpret coronal features.

  3. A new storage-ring light source

    Energy Technology Data Exchange (ETDEWEB)

    Chao, Alex [SLAC National Accelerator Lab., Menlo Park, CA (United States)

    2015-06-01

    A recently proposed technique in storage ring accelerators is applied to provide potential high-power sources of photon radiation. The technique is based on the steady-state microbunching (SSMB) mechanism. As examples of this application, one may consider a high-power DUV photon source for research in atomic and molecular physics or a high-power EUV radiation source for industrial lithography. A less challenging proof-of-principle test to produce IR radiation using an existing storage ring is also considered.

  4. High performance EUV multilayer structures insensitive to capping layer optical parameters.

    Science.gov (United States)

    Pelizzo, Maria Guglielmina; Suman, Michele; Monaco, Gianni; Nicolosi, Piergiorgio; Windt, David L

    2008-09-15

    We have designed and tested a-periodic multilayer structures containing protective capping layers in order to obtain improved stability with respect to any possible changes of the capping layer optical properties (due to oxidation and contamination, for example)-while simultaneously maximizing the EUV reflection efficiency for specific applications, and in particular for EUV lithography. Such coatings may be particularly useful in EUV lithographic apparatus, because they provide both high integrated photon flux and higher stability to the harsh operating environment, which can affect seriously the performance of the multilayer-coated projector system optics. In this work, an evolutive algorithm has been developed in order to design these a-periodic structures, which have been proven to have also the property of stable performance with respect to random layer thickness errors that might occur during coating deposition. Prototypes have been fabricated, and tested with EUV and X-ray reflectometry, and secondary electron spectroscopy. The experimental results clearly show improved performance of our new a-periodic coatings design compared with standard periodic multilayer structures.

  5. Roughness characterization of EUV multilayer coatings and ultra-smooth surfaces by light scattering

    Science.gov (United States)

    Trost, M.; Schröder, S.; Lin, C. C.; Duparré, A.; Tünnermann, A.

    2012-09-01

    Optical components for the extreme ultraviolet (EUV) face stringent requirements for surface finish, because even small amounts of surface and interface roughness can cause significant scattering losses and impair image quality. In this paper, we investigate the roughness evolution of Mo/Si multilayers by analyzing the scattering behavior at a wavelength of 13.5 nm as well as taking atomic force microscopy (AFM) measurements before and after coating. Furthermore, a new approach to measure substrate roughness is presented, which is based on light scattering measurements at 405 nm. The high robustness and sensitivity to roughness of this method are illustrated using an EUV mask blank with a highspatial frequency roughness of as low as 0.04 nm.

  6. Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering

    Science.gov (United States)

    Soltwisch, Victor; Wernecke, Jan; Haase, Anton; Probst, Jürgen; Schoengen, Max; Krumrey, Michael; Scholze, Frank; Pomplun, Jan; Burger, Sven

    2014-09-01

    Non-imaging techniques like X-ray scattering are supposed to play an important role in the further development of CD metrology for the semiconductor industry. Grazing Incidence Small Angle X-ray Scattering (GISAXS) provides directly assessable information on structure roughness and long-range periodic perturbations. The disadvantage of the method is the large footprint of the X-ray beam on the sample due to the extremely shallow angle of incidence. This can be overcome by using wavelengths in the extreme ultraviolet (EUV) spectral range, EUV small angle scattering (EUVSAS), which allows for much steeper angles of incidence but preserves the range of momentum transfer that can be observed. Generally, the potentially higher momentum transfer at shorter wavelengths is counterbalanced by decreasing diffraction efficiency. This results in a practical limit of about 10 nm pitch for which it is possible to observe at least the +/- 1st diffraction orders with reasonable efficiency. At the Physikalisch-Technische Bundesanstalt (PTB), the available photon energy range extends from 50 eV up to 10 keV at two adjacent beamlines. PTB commissioned a new versatile Ellipso-Scatterometer which is capable of measuring 6" square substrates in a clean, hydrocarbon-free environment with full flexibility regarding the direction of the incident light polarization. The reconstruction of line profiles using a geometrical model with six free parameters, based on a finite element method (FEM) Maxwell solver and a particle swarm based least-squares optimization yielded consistent results for EUV-SAS and GISAXS. In this contribution we present scatterometry data for line gratings and consistent reconstruction results of the line geometry for EUV-SAS and GISAXS.

  7. EUV stimulated emission from MgO pumped by FEL pulses

    Directory of Open Access Journals (Sweden)

    Philippe Jonnard

    2017-09-01

    Full Text Available Stimulated emission is a fundamental process in nature that deserves to be investigated and understood in the extreme ultra-violet (EUV and x-ray regimes. Today, this is definitely possible through high energy density free electron laser (FEL beams. In this context, we give evidence for soft-x-ray stimulated emission from a magnesium oxide solid target pumped by EUV FEL pulses formed in the regime of travelling-wave amplified spontaneous emission in backward geometry. Our results combine two effects separately reported in previous works: emission in a privileged direction and existence of a material-dependent threshold for the stimulated emission. We develop a novel theoretical framework, based on coupled rate and transport equations taking into account the solid-density plasma state of the target. Our model accounts for both observed mechanisms that are the privileged direction for the stimulated emission of the Mg L2,3 characteristic emission and the pumping threshold.

  8. Driving down defect density in composite EUV patterning film stacks

    Science.gov (United States)

    Meli, Luciana; Petrillo, Karen; De Silva, Anuja; Arnold, John; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex; Durrant, Danielle; Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Kawakami, Shinichiro; Matsunaga, Koichi

    2017-03-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.

  9. Evaluation of EUV resist performance using interference lithography

    Science.gov (United States)

    Buitrago, E.; Yildirim, O.; Verspaget, C.; Tsugama, N.; Hoefnagels, R.; Rispens, G.; Ekinci, Y.

    2015-03-01

    Extreme ultraviolet lithography (EUVL) stands as the most promising solution for the fabrication of future technology nodes in the semiconductor industry. Nonetheless, the successful introduction of EUVL into the extremely competitive and stringent high-volume manufacturing (HVM) phase remains uncertain partly because of the still limiting performance of EUV resists below 16 nm half-pitch (HP) resolution. Particularly, there exists a trade-off relationship between resolution (half-pitch), sensitivity (dose) and line-edge roughness (LER) that can be achieved with existing materials. This trade-off ultimately hampers their performance and extendibility towards future technology nodes. Here we present a comparative study of highly promising chemically amplified resists (CARs) that have been evaluated using the EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). In this study we have focused on the performance qualification of different resists mainly for 18 nm and 16 nm half-pitch line/space resolution (L/S = 1:1). Among the most promising candidates tested, there are a few choices that allow for 16 nm HP resolution to be achieved with high exposure latitude (up to ~ 33%), low LER (down to 3.3 nm or ~ 20% of critical dimension CD) and low dose-to-size (or best-energy, BE) < 41 mJ/cm2 values. Patterning was even demonstrated down to 12 nm HP with one of CARs (R1UL1) evaluated for their extendibility beyond the 16 nm HP resolution. 11 nm HP patterning with some pattern collapse and well resolved patterns down 12 nm were also demonstrated with another CAR (R15UL1) formulated for 16 nm HP resolution and below. With such resist it was possible even to obtain a small process window for 14 nm HP processing with an EL ~ 8% (BE ~ 37 mJ/cm2, LER ~ 4.5 nm). Though encouraging, fulfilling all of the requirements necessary for high volume production, such as high resolution, low LER, high photon

  10. Characterization of EUV induced carbon films using laser-generated surface acoustic waves

    NARCIS (Netherlands)

    Chen, Juequan; Lee, Christopher James; Louis, Eric; Bijkerk, Frederik; Kunze, Reinhard; Schmidt, Hagen; Schneider, Dieter; Moors, Roel

    2009-01-01

    The deposition of carbon layers on the surfaces of optics exposed to extreme ultraviolet (EUV) radiation has been observed in EUV lithography. It has become of critical importance to detect the presence of the carbon layer in the order of nanometer thickness due to carbon's extremely strong

  11. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  12. High-Resolution EUV Spectroscopy of White Dwarfs

    Science.gov (United States)

    Kowalski, Michael P.; Wood, K. S.; Barstow, M. A.

    2014-01-01

    We compare results of high-resolution EUV spectroscopic measurements of the isolated white dwarf G191-B2B and the binary system Feige 24 obtained with the J-PEX (Joint Plasmadynamic Experiment), which was sponsored jointly by the U.S. Naval Research Laboratory and NASA. J-PEX delivers the world's highest resolution in EUV and does so at high effective area (e.g., more effective area in a sounding rocket than is available with Chandra at adjacent energies, but in a waveband Chandra cannot reach). The capability J-PEX represents is applicable to the astrophysics of hot plasmas in stellar coronae, white dwarfs and the ISM. G191-B2B and Feige 24 are quite distinct hot white dwarf systems having in common that they are bright in the portion of the EUV where He emission features and edges occur, hence they can be exploited to probe both the stellar atmosphere and the ISM, separating those components by model-fitting that sums over all relevant (He) spectral features in the band. There is evidence from these fits that atmospheric He is being detected but the result is more conservatively cast as a pair of upper limits. We discuss how longer duration satellite observations with the same instrumentation could increase exposure to detect atmospheric He in these and other nearby hot white dwarfs.

  13. Mask characterization for CDU budget breakdown in advanced EUV lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  14. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  15. EUV spectrum of highly charged tungsten ions in electron beam ion trap

    International Nuclear Information System (INIS)

    Sakaue, H.A.; Kato, D.; Murakami, I.; Nakamura, N.

    2016-01-01

    We present spectra of highly charged tungsten ions in the extreme ultra-violet (EUV) by using electron beam ion traps. The electron energy dependence of spectra was investigated for electron energy from 540 to 1370 eV. Previously unreported lines were presented in the EUV range, and comparing the wavelengths with theoretical calculations identified them. (author)

  16. Complex EUV imaging reflectometry: spatially resolved 3D composition determination and dopant profiling with a tabletop 13nm source

    Science.gov (United States)

    Porter, Christina L.; Tanksalvala, Michael; Gerrity, Michael; Miley, Galen P.; Esashi, Yuka; Horiguchi, Naoto; Zhang, Xiaoshi; Bevis, Charles S.; Karl, Robert; Johnsen, Peter; Adams, Daniel E.; Kapteyn, Henry C.; Murnane, Margaret M.

    2018-03-01

    With increasingly 3D devices becoming the norm, there is a growing need in the semiconductor industry and in materials science for high spatial resolution, non-destructive metrology techniques capable of determining depth-dependent composition information on devices. We present a solution to this problem using ptychographic coherent diffractive imaging (CDI) implemented using a commercially available, tabletop 13 nm source. We present the design, simulations, and preliminary results from our new complex EUV imaging reflectometer, which uses coherent 13 nm light produced by tabletop high harmonic generation. This tool is capable of determining spatially-resolved composition vs. depth profiles for samples by recording ptychographic images at multiple incidence angles. By harnessing phase measurements, we can locally and nondestructively determine quantities such as device and thin film layer thicknesses, surface roughness, interface quality, and dopant concentration profiles. Using this advanced imaging reflectometer, we can quantitatively characterize materials-sciencerelevant and industry-relevant nanostructures for a wide variety of applications, spanning from defect and overlay metrology to the development and optimization of nano-enhanced thermoelectric or spintronic devices.

  17. Studies of EUV contamination mitigation

    Science.gov (United States)

    Graham, Samual, Jr.; Malinowski, Michael E.; Steinhaus, Chip; Grunow, Philip A.; Klebanoff, Leonard E.

    2002-07-01

    Carbon contamination removal was investigated using remote RF-O2, RF-H2, and atomic hydrogen experiments. Samples consisted of silicon wafers coated with 100 Angstrom sputtered carbon, as well as bare Si-capped Mo/Si optics. Samples were exposed to atomic hydrogen or RF plasma discharges at 100 W, 200 W, and 300 W. Carbon removal rate, optic oxidation rate, at-wavelength (13.4 nm) peak reflectance, and optic surface roughness were characterized. Data show that RF- O2 removes carbon at a rate approximately 6 times faster RF- H2 for a given discharge power. However, both cleaning techniques induce Mo/Si optic degradation through the loss of reflectivity associated with surface oxide growth for RF-O2 and an unknown mechanism with hydrogen cleaning. Atomic hydrogen cleaning shows carbon removal rates sufficient for use as an in-situ cleaning strategy for EUVoptics with less risk of optic degradation from overexposures than RF-discharge cleaning. While hydrogen cleaning (RF and atomic) of EUV optics has proven effective in carbon removal, attempts to dissociate hydrogen in co-exposures with EUV radiation have resulted in no detectable removal of carbon contamination.

  18. Robust design of broadband EUV multilayer beam splitters based on particle swarm optimization

    International Nuclear Information System (INIS)

    Jiang, Hui; Michette, Alan G.

    2013-01-01

    A robust design idea for broadband EUV multilayer beam splitters is introduced that achieves the aim of decreasing the influence of layer thickness errors on optical performances. Such beam splitters can be used in interferometry to determine the quality of EUVL masks by comparing with a reference multilayer. In the optimization, particle swarm techniques were used for the first time in such designs. Compared to conventional genetic algorithms, particle swarm optimization has stronger ergodicity, simpler processing and faster convergence

  19. The first detection of ionized helium in the local ISM - EUVE and IUE spectroscopy of the hot DA white dwarf GD 246

    Science.gov (United States)

    Vennes, Stephane; Dupuis, Jean; Rumph, Todd; Drake, Jeremy; Bowyer, Stuart; Chayer, Pierre; Fontaine, Gilles

    1993-01-01

    We report observations of the extreme ultraviolet spectrum of the hot degenerate star GD 246 obtained with the EUVE. Our initial attempt at modeling the photospheric emission from the white dwarf reveals a relatively uncontaminated pure H spectrum in the range above 200 A, allowing a study of interstellar continuum absorption features in the line of sight of GD 246. Modeling of the He I autoionization transition discussed by Rumph et al. (1993), and the EUV continuum using the white dwarf as a source of background radiation provides measurements of both neutral and, for the first time, singly ionized He column densities in the local ISM (LISM). We estimate the He ionization fraction He II/(He I + He II) at roughly 25 percent with a total He column of 1.40-1.65 x 10 exp 18/sq cm. We have measured and compared H I column densities from the saturated Ly-alpha ISM absorption in IUE high-dispersion spectroscopy and from EUV continuum absorption: the two measurements are in good agreement with a total H column of 1.2-1.6 x 10 exp 19/sq cm. We discuss some implications for the nature of the LISM, particularly in the context of current models of the EUV radiation field.

  20. Highly Stable, Large Format EUV Imager, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Higher detection efficiency and better radiation tolerance imagers are needed for the next generation of EUV instruments. Previously, CCD technology has demonstrated...

  1. Material design of negative-tone polyphenol resist for EUV and EB lithography

    Science.gov (United States)

    Kojima, Kyoko; Mori, Shigeki; Shiono, Daiju; Hada, Hideo; Onodera, Junichi

    2007-03-01

    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.

  2. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  3. EUV microexposures at the ALS using the 0.3-NA MET projection optics

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Goldberg, Kenneth A.; Anderson, Erik; Cain, Jason P.; Denham, Paul; Hoef, Brian; Jackson, Keith; Morlens, Anne-Sophie; Rekawa, Seno; Dean, Kim

    2005-01-01

    The recent development of high numerical aperture (NA) EUV optics such as the 0.3-NA Micro Exposure Tool (MET) optic has given rise to a new class of ultra-high resolution microexposure stations. Once such printing station has been developed and implemented at Lawrence Berkeley National Laboratory's Advanced Light Source. This flexible printing station utilizes a programmable coherence illuminator providing real-time pupil-fill control for advanced EUV resist and mask development. The Berkeley exposure system programmable illuminator enables several unique capabilities. Using dipole illumination out to σ=1, the Berkeley tool supports equal-line-space printing down to 12 nm, well beyond the capabilities of similar tools. Using small-sigma illumination combined with the central obscuration of the MET optic enables the system to print feature sizes that are twice as small as those coded on the mask. In this configuration, the effective 10x-demagnification for equal lines and spaces reduces the mask fabrication burden for ultra-high-resolution printing. The illuminator facilitates coherence studies such as the impact of coherence on line-edge roughness (LER) and flare. Finally the illuminator enables novel print-based aberration monitoring techniques as described elsewhere in these proceedings. Here we describe the capabilities of the new MET printing station and present system characterization results. Moreover, we present the latest printing results obtained in experimental resists. Limited by the availability of high-resolution photoresists, equal line-space printing down to 25 nm has been demonstrated as well as isolated line printing down to 29 nm with an LER of approaching 3 nm

  4. Design decisions from the history of the EUVE science payload

    Science.gov (United States)

    Marchant, W.

    1993-01-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  5. The inner-relationship of hard X-ray and EUV bursts during solar flares

    International Nuclear Information System (INIS)

    Emslie, A.G.; Brown, J.C.; Donnelly, R.F.

    1978-01-01

    A comparison is made between the flux-versus-time profile in the EUV band and the thick target electron flux profile as inferred from hard X-rays for a number of moderately large solar flares. This complements Kane and Donnelly's (1971) study of small flares. The hard X-ray data are from ESRO TD-1A and the EUV inferred from SFD observations. Use of a chi 2 minimising method shows that the best overall fit between the profile fine structures obtains for synchronism to < approximately 5 s which is within the timing accuracy. This suggests that neither conduction nor convection is fast enough as the primary mechanism of energy transport into the EUV flare and rather favours heating by the electrons themselves or by some MHD wave process much faster than acoustic waves. The electron power deposited, for a thick target model, is however far greater than the EUV luminosity for any reasonable assumptions about the area and depth over which EUV is emitted. This means that either most of the power deposited is conducted away to the optical flare or that only a fraction < approximately 1-10% of the X-ray emitting electrons are injected downwards. Recent work on Hα flare heating strongly favours the latter alternative - i.e. that electrons are mostly confined in the corona. (Auth.)

  6. Compact and Light-Weight Solar Spaceflight Instrument Designs Utilizing Newly Developed Miniature Free-Standing Zone Plates: EUV Radiometer and Limb-Scanning Monochromator

    Science.gov (United States)

    Seely, J. F.; McMullin, D. R.; Bremer, J.; Chang, C.; Sakdinawat, A.; Jones, A. R.; Vest, R.

    2014-12-01

    Two solar instrument designs are presented that utilize newly developed miniature free-standing zone plates having interconnected Au opaque bars and no support membrane resulting in excellent long-term stability in space. Both instruments are based on a zone plate having 4 mm outer diameter and 1 to 2 degree field of view. The zone plate collects EUV radiation and focuses a narrow bandpass through a pinhole aperture and onto a silicon photodiode detector. As a miniature radiometer, EUV irradiance is accurately determined from the zone plate efficiency and the photodiode responsivity that are calibrated at the NIST SURF synchrotron facility. The EUV radiometer is pointed to the Sun and measures the absolute solar EUV irradiance in high time cadence suitable for solar physics and space weather applications. As a limb-scanning instrument in low earth orbit, a miniature zone-plate monochromator measures the extinction of solar EUV radiation by scattering through the upper atmosphere which is a measure of the variability of the ionosphere. Both instruments are compact and light-weight and are attractive for CubeSats and other missions where resources are extremely limited.

  7. Nanoparticle Photoresists: Ligand Exchange as a New, Sensitive EUV Patterning Mechanism

    KAUST Repository

    Kryask, Marie

    2013-01-01

    Hybrid nanoparticle photoresists and their patterning using DUV, EUV, 193 nm lithography and e-beam lithography has been investigated and reported earlier. The nanoparticles have demonstrated very high EUV sensitivity and significant etch resistance compared to other standard photoresists. The current study aims at investigating and establishing the underlying mechanism for dual tone patterning of these nanoparticle photoresist systems. Infrared spectroscopy and UV absorbance studies supported by mass loss and dissolution studies support the current model. © 2013SPST.

  8. Optimized qualification protocol on particle cleanliness for EUV mask infrastructure

    Science.gov (United States)

    van der Donck, J. C. J.; Stortelder, J. K.; Derksen, G. B.

    2011-11-01

    With the market introduction of the NXE:3100, Extreme Ultra Violet Lithography (EUVL) enters a new stage. Now infrastructure in the wafer fabs must be prepared for new processes and new materials. Especially the infrastructure for masks poses a challenge. Because of the absence of a pellicle reticle front sides are exceptionally vulnerable to particles. It was also shown that particles on the backside of a reticle may cause tool down time. These effects set extreme requirements to the cleanliness level of the fab infrastructure for EUV masks. The cost of EUV masks justifies the use of equipment that is qualified on particle cleanliness. Until now equipment qualification on particle cleanliness have not been carried out with statistically based qualification procedures. Since we are dealing with extreme clean equipment the number of observed particles is expected to be very low. These particle levels can only be measured by repetitively cycling a mask substrate in the equipment. Recent work in the EUV AD-tool presents data on added particles during load/unload cycles, reported as number of Particles per Reticle Pass (PRP). In the interpretation of the data, variation by deposition statistics is not taken into account. In measurements with low numbers of added particles the standard deviation in PRP number can be large. An additional issue is that particles which are added in the routing outside the equipment may have a large impact on the testing result. The number mismatch between a single handling step outside the tool and the multiple cycling in the equipment makes accuracy of measurements rather complex. The low number of expected particles, the large variation in results and the combined effect of added particles inside and outside the equipment justifies putting good effort in making a test plan. Without a proper statistical background, tests may not be suitable for proving that equipment qualifies for the limiting cleanliness levels. Other risks are that a

  9. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    Science.gov (United States)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  10. Detecting EUV transients in near real time with ALEXIS

    Energy Technology Data Exchange (ETDEWEB)

    Roussel-Dupre`, D.; Bloch, J.J.; Theiler, J.; Pfafman, T.; Beauchesne, B.

    1995-12-31

    The Array of Low Energy X-ray Imaging Sensors (ALEXIS) experiment consists of a mini-satellite containing six wide angle EUV/ultrasoft X-ray telescopes (Priedhorsky et al. 1989, and Bloch et al. 1994). Its scientific objective is to map out the sky in three narrow ({Delta}E/E {approx} 5%) bandpasses around 66, 71, and 93 eV. During each 50 second satellite rotation period the six telescopes, each with a 30{degrees} field, of:view and a spatial resolution of 0.25{degrees}, scan most of the antisolar hemisphere of the sky. The project is a collaborative effort between Los Alamos National Laboratory, Sandia National Laboratory, and the University of California-Berkeley Space Sciences Laboratory. It is controlled entirely from a small ground station located at Los Alamos. The mission was launched on a Pegasus Air Launched Vehicle on April 25, 1993. An incident at launch delayed our ability to properly analyze the data until November of 1994. In January of 1995, we brought on line automated software to routinely carry out the transient search. After the data is downlinked from the satellite, the software processes and transforms it into sky maps that are automatically searched for new sources. The software then sends the results of these searches by e-mail to the science team within two hours of the downlink. This system has successfully detected the Cataclysmic Variables VW Hyi, U Gem and AR UMa in outburst, and has detected at least two unidentified short duration EUV transients (Roussel-Dupre et al 1995, Roussel-Dupre 1995).

  11. A problem to be solved for tungsten diagnostics through EUV spectroscopy in fusion devices

    International Nuclear Information System (INIS)

    Morita, S.; Murakami, I.; Sakaue, H.A.; Dong, C.F.; Goto, M.; Kato, D.; Oishi, T.; Huang, X.L.; Wang, E.H.

    2013-01-01

    Tungsten spectra have been observed from Large Helical Device (LHD) in extreme ultraviolet (EUV) wavelength ranges of 10-650Å. When the electron temperature is less than 2keV, the EUV spectra from plasma core are dominated by unresolved transition array (UTA) composing of a lot of spectral lines, e.g., 6g-4f, 5g-4f, 5f-4d and 5p-4d transitions for W"+"2"4"-"+"3"3 in 15-35Å. In order to understand the UTA spectrum, the EUV spectra measured from LHD plasmas are compared to those measured from Compact electron Beam Ion Trap (CoBIT), in which the electron beam is operated with monoenergetic energy of E_e ≤ 2keV. The tungsten spectra from LHD are well analyzed based on the knowledge from CoBIT tungsten spectra. The collisional-radiative (C-R) model has been developed to explain the UTA spectra from LHD in details. Radial profiles of EUV spectra from highly ionized tungsten ions have been measured and analyzed by impurity transport simulation code with ADPAK atomic database to examine the ionization balance determined by ionization and recombination rate coefficients. If the electron temperature is higher than 2keV, Zn-like WXLV (W"4"4"+) and Cu-like WXLVI (W"4"5"+) spectra can be observed in LHD. Such ions of W"4"4"+ and W"4"5"+ can exhibit much simpler atomic configuration compared to other ionization stages of tungsten. Quantitative analysis of the tungsten density is attempted for the first time on the radial profile of Zn-like WXLV (W"4"4"+) 4p-4s transition measured at 60.9Å, based on the emission rate coefficient calculated with HULLAC code. As a result, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center of LHD is reasonably obtained. Finally, the present problem for tungsten diagnostics in fusion plasmas is summarized. (author)

  12. Oxidation and metal contamination of EUV optics

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Liu, Feng; Pachecka, Malgorzata; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) for printing smaller features on chips. One of the hallenges is to optimally control the contamination of the multilayer mirrors used in the imaging system. The aim of this project is generating fundamental understanding

  13. Laser-produced lithium plasma as a narrow-band extended ultraviolet radiation source for photoelectron spectroscopy.

    Science.gov (United States)

    Schriever, G; Mager, S; Naweed, A; Engel, A; Bergmann, K; Lebert, R

    1998-03-01

    Extended ultraviolet (EUV) emission characteristics of a laser-produced lithium plasma are determined with regard to the requirements of x-ray photoelectron spectroscopy. The main features of interest are spectral distribution, photon flux, bandwidth, source size, and emission duration. Laser-produced lithium plasmas are characterized as emitters of intense narrow-band EUV radiation. It can be estimated that the lithium Lyman-alpha line emission in combination with an ellipsoidal silicon/molybdenum multilayer mirror is a suitable EUV source for an x-ray photoelectron spectroscopy microscope with a 50-meV energy resolution and a 10-mum lateral resolution.

  14. Extreme ultraviolet (EUV) degradation of poly(olefin sulfone)s: Towards applications as EUV photoresists

    International Nuclear Information System (INIS)

    Lawrie, Kirsten; Blakey, Idriss; Blinco, James; Gronheid, Roel; Jack, Kevin; Pollentier, Ivan; Leeson, Michael J.; Younkin, Todd R.; Whittaker, Andrew K.

    2011-01-01

    Poly(olefin sulfone)s, formed by the reaction of sulfur dioxide (SO 2 ) and an olefin, are known to be highly susceptible to degradation by radiation and thus have been identified as candidate materials for chain scission-based extreme ultraviolet lithography (EUVL) resist materials. In order to investigate this further, the synthesis and characterisation of two poly(olefin sulfone)s namely poly(1-pentene sulfone) (PPS) and poly(2-methyl-1-pentene sulfone) (PMPS), was achieved and the two materials were evaluated for possible chain scission EUVL resist applications. It was found that both materials possess high sensitivities to EUV photons; however; the rates of outgassing were extremely high. The only observed degradation products were found to be SO 2 and the respective olefin suggesting that depolymerisation takes place under irradiation in a vacuum environment. In addition to depolymerisation, a concurrent conversion of SO 2 moieties to a sulfide phase was observed using XPS.

  15. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    Science.gov (United States)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  16. High-NA EUV lithography enabling Moore's law in the next decade

    Science.gov (United States)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  17. Spectral lines and characteristic of temporal variations in photoionized plasmas induced with laser-produced plasma extreme ultraviolet source

    Science.gov (United States)

    Saber, I.; Bartnik, A.; Wachulak, P.; Skrzeczanowski, W.; Jarocki, R.; Fiedorowicz, H.

    2017-11-01

    Spectral lines for Kr/Ne/H2 photoionized plasma in the ultraviolet and visible (UV/Vis) wavelength ranges have been created using a laser-produced plasma (LPP) EUV source. The source is based on a double-stream gas puff target irradiated with a commercial Nd:YAG laser. The laser pulses were focused onto a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Spectral lines from photoionization in neutral Kr/Ne/H2 and up to few charged states were observed. The intense emission lines were associated with the Kr transition lines. Experimental and theoretical investigations on intensity variations for some ionic lines are presented. A decrease in the intensity with the delay time between the laser pulse and the spectrum acquisition was revealed. Electron temperature and electron density in the photoionized plasma have been estimated from the characteristic emission lines. Temperature was obtained using Boltzmann plot method, assuming that the population density of atoms and ions are considered in a local thermodynamic equilibrium (LTE). Electron density was calculated from the Stark broadening profile. The temporal evaluation of the plasma and the way of optimizing the radiation intensity of LPP EUV sources is discussed.

  18. Estimation and control of large-scale systems with an application to adaptive optics for EUV lithography

    NARCIS (Netherlands)

    Haber, A.

    2014-01-01

    Extreme UltraViolet (EUV) lithography is a new technology for production of integrated circuits. In EUV lithographic machines, optical elements are heated by absorption of exposure energy. Heating induces thermoelastic deformations of optical elements and consequently, it creates wavefront

  19. EUV multilayer defect compensation (MDC) by absorber pattern modification: from theory to wafer validation

    Science.gov (United States)

    Pang, Linyong; Hu, Peter; Satake, Masaki; Tolani, Vikram; Peng, Danping; Li, Ying; Chen, Dongxue

    2011-11-01

    According to the ITRS roadmap, mask defects are among the top technical challenges to introduce extreme ultraviolet (EUV) lithography into production. Making a multilayer defect-free extreme ultraviolet (EUV) blank is not possible today, and is unlikely to happen in the next few years. This means that EUV must work with multilayer defects present on the mask. The method proposed by Luminescent is to compensate effects of multilayer defects on images by modifying the absorber patterns. The effect of a multilayer defect is to distort the images of adjacent absorber patterns. Although the defect cannot be repaired, the images may be restored to their desired targets by changing the absorber patterns. This method was first introduced in our paper at BACUS 2010, which described a simple pixel-based compensation algorithm using a fast multilayer model. The fast model made it possible to complete the compensation calculations in seconds, instead of days or weeks required for rigorous Finite Domain Time Difference (FDTD) simulations. Our SPIE 2011 paper introduced an advanced compensation algorithm using the Level Set Method for 2D absorber patterns. In this paper the method is extended to consider process window, and allow repair tool constraints, such as permitting etching but not deposition. The multilayer defect growth model is also enhanced so that the multilayer defect can be "inverted", or recovered from the top layer profile using a calibrated model.

  20. Stellar and Laboratory XUV/EUV Line Ratios in Fe XVIII and Fe XIX

    Science.gov (United States)

    Träbert, Elmar; Beiersdorfer, P.; Clementson, J.

    2011-09-01

    A so-called XUV excess has been suspected with the relative fluxes of Fe XVIII and Fe XIX lines in XUV and EUV spectra of the star Capella as observed by the Chandra spacecraft [1] when comparing the observations with simulations of stellar spectra based on APEC or FAC. We have addressed this problem by laboratory studies using the Livermore electron beam ion trap (EBIT). Our understanding of the EBIT spectrum is founded on work by Brown et al. [2]. The electron density of the electron beam in an EBIT is compatible to the density in energetic stellar flares. In our experiments, the relative detection efficiencies of two flat-field grating spectrographs operating in the EUV (near 100 Å) and XUV (near 16 Å) ranges have been determined using the calculated branching ratio of 1-3 and 2-3 transition in the H-like spectrum O VIII. FAC calculations assuming several electron beam energies and electron densities serve to correct the EBIT observations for the Maxwellian excitation in a natural plasma. In the EUV, the line intensity pattern predicted by FAC agrees reasonably well with the laboratory and Capella observations. In the XUV wavelength range, agreement of laboratory and astrophysical line intensities is patchy. The spectral simulation results from FAC are much closer to stellar and laboratory observation than those obtained by APEC. Instead of claiming an XUV excess, the XUV/EUV line intensities can be explained by a somewhat higher temperature of Capella than the previously assumed T=6 MK. This work was performed under the auspices of the USDoE by LLNL under Contract DE-AC52-07NA27344 and was supported by the NASA under work order NNH07AF81I issued by the APRA Program. E.T. acknowledges support by DFG Germany. 1. P. Desai et al., ApJ 625, L59 (2005). 2. G. V. Brown et al., ApJS 140, 589 (2002).

  1. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    International Nuclear Information System (INIS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Guersel, Selmiye Alkan; Scherer, Guenther G.; Wokaun, Alexander

    2007-01-01

    Nanostructures of the thermoresponsive poly(N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 deg. C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures

  2. Laser-plasma source parameters for Kr, Gd, and Tb ions at 6.6 nm

    Energy Technology Data Exchange (ETDEWEB)

    Masnavi, Majid; Szilagyi, John; Parchamy, Homaira; Richardson, Martin C. [The Townes Laser Institute, College of Optics and Photonics, University of Central Florida, 4000 Central Florida Blvd., Orlando, Florida 32816 (United States)

    2013-04-22

    There is increasing interest in extreme-ultraviolet (EUV) laser-based lamps for sub-10-nm lithography operating in the region of 6.6 nm. A collisional-radiative model is developed as a post-processor of a hydrodynamic code to investigate emission from resonance lines in Kr, Gd, and Tb ions under conditions typical for mass-limited EUV sources. The analysis reveals that maximum conversion efficiencies of Kr occur at 5 Multiplication-Sign 10{sup 10}W/cm{sup 2}, while for Gd and Tb it was Asymptotically-Equal-To 0.9%/2{pi}sr for laser intensities of (2-5) Multiplication-Sign 10{sup 12}W/cm{sup 2}.

  3. Uncovering New Thermal and Elastic Properties of Nanostructured Materials Using Coherent EUV Light

    Science.gov (United States)

    Hernandez Charpak, Jorge Nicolas

    Advances in nanofabrication have pushed the characteristic dimensions of nanosystems well below 100nm, where physical properties are often significantly different from their bulk counterparts, and accurate models are lacking. Critical technologies such as thermoelectrics for energy harvesting, nanoparticle-mediated thermal therapy, nano-enhanced photovoltaics, and efficient thermal management in integrated circuits depend on our increased understanding of the nanoscale. However, traditional microscopic characterization tools face fundamental limits at the nanoscale. Theoretical efforts to build a fundamental picture of nanoscale thermal dynamics lack experimental validation and still struggle to account for newly reported behaviors. Moreover, precise characterization of the elastic behavior of nanostructured systems is needed for understanding the unique physics that become apparent in small-scale systems, such as thickness-dependent or fabrication-dependent elastic properties. In essence, our ability to fabricate nanosystems has outstripped our ability to understand and characterize them. In my PhD thesis, I present the development and refinement of coherent extreme ultraviolet (EUV) nanometrology, a novel tool used to probe material properties at the intrinsic time- and length-scales of nanoscale dynamics. By extending ultrafast photoacoustic and thermal metrology techniques to very short probing wavelengths using tabletop coherent EUV beams from high-harmonic upconversion (HHG) of femtosecond lasers, coherent EUV nanometrology allows for a new window into nanoscale physics, previously unavailable with traditional techniques. Using this technique, I was able to probe both thermal and acoustic dynamics in nanostructured systems with characteristic dimensions below 50nm with high temporal (sub-ps) and spatial (size and spacing of the nanoscale heat sources with the phonon spectrum of a material. This makes our technique one of the only experimental routes to

  4. Novel EUV resist materials design for 14nm half pitch and below

    Science.gov (United States)

    Tsubaki, Hideaki; Tarutani, Shinji; Fujimori, Toru; Takizawa, Hiroo; Goto, Takahiro

    2014-04-01

    Polymers with a different Tg and activation energy were prepared to clarify influences of acid diffusion on resolution at 15 nm half-pitch (hp) and 14 nm hp using a EUV micro-field exposure tool (MET) at LBNL. Resolution on such a narrow pattern was limited by collapse and pinching. Clear relationship between pinching numbers and polymer Tg indicates that acid diffusion is one of major contributors on the pinching. In addition, polymers with a low thermal activation energy (Ea) on deprotection were effective for reducing pinching. This is probably originated from its high chemically amplification character even in low post-exposure bake (PEB) temperature to obtain both large chemical contrast and short acid diffusion. On the other hand, a good correlation between a cleanable outgassing amount and Ea indicates trade-off relationship between outgassing and resolution. Advantages of n-butyl acetate (nBA) developer have been investigated in viewpoint of dissolution uniformity. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM as indicator of uniformity in development process. To avoid any differences in resist chemistry other than development process, cross linking negative tone resist was applied for this study. The surface roughness obtained by nBA, which is conventional negative-tone imaging (NTI) developer, was 32 % lower than that obtained by 2.38 % TMAH solution. NTI resist system with a nBA developer and optimized resist reduced LWR from 4.8 nm to 3.0 nm in comparison with conventional positive tone resist with a 2.38 % TMAH developer. In addition, advantage on semi-dense trench patterning was well defined. New EUV sensitizer with 1.15 times higher EUV absorption resulted in 1.15 times higher acid yield by EUV exposure. Lithography performance of the new EUV sensitizer has been investigated by MET at SEMATECH Albany. Sensitivity was indeed improved from 20 mJ/cm2 to 17 mJ/cm2 according

  5. Latest developments on EUV reticle and pellicle research and technology at TNO

    Science.gov (United States)

    Verberk, Rogier; Koster, Norbert; te Sligte, Edwin; Staring, Wilbert

    2017-06-01

    At TNO an extensive EUV optics life time program has been running for over 15 years together with our partners ASML and Carl Zeiss. This has contributed to the upcoming introduction of EUV High Volume Manufacturing (HVM). To further help the industry with the introduction of EUV, TNO has worked on extending their facilities with a number of reticle and pellicle research infrastructure facilities. In this paper we will show some of the facilities that are available at TNO and shortly introduce their capabilities. Recently we have opened our EBL2 facility, which is an EUV Beam Line (EBL2) meant for studying the effects of high power EUV illumination on optics, reticles and pellicles up to the power roadmap of 500 W at intermediate Focus (IF). This facility is open to users from all over the world and is beneficial for the industry in helping developing alternative capping layers and contamination control strategies for optics lifetime, new absorber materials, pellicles and resists. The EBL2 system has seen first light in December 2016 and is now in the final stage of acceptance testing and qualification. It is expected that the system will be fully operational in the third quarter of 2017, and available for users. It is possible to transfer reticles to and from the EBL2 by means of the reticle handler using the dual pod interface. This secures backside cleanliness to NXE standards and thus enables wafer printing on a NXE tool in a later stage after the exposures and inspection at EBL2. Besides EBL2, a high performance and ultra-clean reticle handler is available at TNO. This handler incorporates our particle scanner Rapid Nano 4 for front side inspection of reticle blanks with a detection limit down to 20 nm particles. Attached to the handler is also an Optical Coherence Tomography (OCT) inspection tool for back-side reticle or pellicle inspection with a resolution down to 1 micron.

  6. Measurements of EUV coronal holes and open magnetic flux

    International Nuclear Information System (INIS)

    Lowder, C.; Qiu, J.; Leamon, R.; Liu, Y.

    2014-01-01

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10 22 Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10 22 Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  7. SOLAR SOURCES OF 3He-RICH SOLAR ENERGETIC PARTICLE EVENTS IN SOLAR CYCLE 24

    International Nuclear Information System (INIS)

    Nitta, Nariaki V.; Mason, Glenn M.; Wang, Linghua; Cohen, Christina M. S.; Wiedenbeck, Mark E.

    2015-01-01

    Using high-cadence EUV images obtained by the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory, we investigate the solar sources of 26 3 He-rich solar energetic particle events at ≲1 MeV nucleon −1 that were well-observed by the Advanced Composition Explorer during solar cycle 24. Identification of the solar sources is based on the association of 3 He-rich events with type III radio bursts and electron events as observed by Wind. The source locations are further verified in EUV images from the Solar and Terrestrial Relations Observatory, which provides information on solar activities in the regions not visible from the Earth. Based on AIA observations, 3 He-rich events are not only associated with coronal jets as emphasized in solar cycle 23 studies, but also with more spatially extended eruptions. The properties of the 3 He-rich events do not appear to be strongly correlated with those of the source regions. As in the previous studies, the magnetic connection between the source region and the observer is not always reproduced adequately by the simple potential field source surface model combined with the Parker spiral. Instead, we find a broad longitudinal distribution of the source regions extending well beyond the west limb, with the longitude deviating significantly from that expected from the observed solar wind speed

  8. Time Variabilities of Solar Wind Ion Fluxes and of X-ray and EUV Emissions from Comet Hyakutake

    Science.gov (United States)

    Neugebauer, M.; Cravens, T.; Lisse, C.; Ipavich, F.; von Steiger, R.; Shah, P.; Armstrong, T.

    1999-01-01

    Observations of X-ray and extreme ultraviolet (EUV) emissions from comet C/Hyakutake 1996 B2 made by the Rontgen X-ray satellite (ROSAT) and the Extreme Ultraviolet Explorer (EUVE) revealed a total X-ray luminosity of about 500 MW.

  9. kW-class picosecond thin-disc prepulse laser Perla for efficient EUV generation

    Czech Academy of Sciences Publication Activity Database

    Endo, Akira; Smrž, Martin; Mužík, Jiří; Novák, Ondřej; Chyla, Michal; Mocek, Tomáš

    2017-01-01

    Roč. 16, č. 4 (2017), s. 1-6, č. článku 041011. ISSN 1932-5150 R&D Projects: GA MŠk LO1602; GA ČR GA16-12960S; GA MŠk LM2015086 EU Projects: European Commission(XE) 739573 - HiLASE CoE Grant - others:OP VVV - HiLASE-CoE(XE) CZ.02.1.01/0.0/0.0/15_006/0000674 Institutional support: RVO:68378271 Keywords : EUV source * laser produced plasma * FEL * prepulse * thin-disc laser Subject RIV: BH - Optics, Masers, Laser s OBOR OECD: Optics (including laser optics and quantum optics) Impact factor: 1.350, year: 2016

  10. The future of EUV lithography: enabling Moore's Law in the next decade

    Science.gov (United States)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  11. EUV multilayer mirror, optical system including a multilayer mirror and method of manufacturing a multilayer mirror

    NARCIS (Netherlands)

    Huang, Qiushi; Louis, Eric; Bijkerk, Frederik; de Boer, Meint J.; von Blanckenhagen, G.

    2016-01-01

    A multilayer mirror (M) reflecting extreme ultraviolet (EUV) radiation from a first wave-length range in a EUV spectral region comprises a substrate (SUB) and a stack of layers (SL) on the substrate, the stack of layers comprising layers comprising a low index material and a high index material, the

  12. Uncertainties in (E)UV model atmosphere fluxes

    Science.gov (United States)

    Rauch, T.

    2008-04-01

    Context: During the comparison of synthetic spectra calculated with two NLTE model atmosphere codes, namely TMAP and TLUSTY, we encounter systematic differences in the EUV fluxes due to the treatment of level dissolution by pressure ionization. Aims: In the case of Sirius B, we demonstrate an uncertainty in modeling the EUV flux reliably in order to challenge theoreticians to improve the theory of level dissolution. Methods: We calculated synthetic spectra for hot, compact stars using state-of-the-art NLTE model-atmosphere techniques. Results: Systematic differences may occur due to a code-specific cutoff frequency of the H I Lyman bound-free opacity. This is the case for TMAP and TLUSTY. Both codes predict the same flux level at wavelengths lower than about 1500 Å for stars with effective temperatures (T_eff) below about 30 000 K only, if the same cutoff frequency is chosen. Conclusions: The theory of level dissolution in high-density plasmas, which is available for hydrogen only should be generalized to all species. Especially, the cutoff frequencies for the bound-free opacities should be defined in order to make predictions of UV fluxes more reliable.

  13. Negating HIO-induced metal and carbide EUV surface contamination

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Gleeson, Michael; van de Kruijs, Robbert Wilhelmus Elisabeth; Lee, Christopher James; Kleyn, A.W.; Bijkerk, Frederik

    2011-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) light in order to reduce feature sizes in semiconductor manufactoring. Lens materials for this wavelength do not exist: image projection requires multilayer mirrors that act as an artificial Bragg crystal.

  14. Exploring EUV Spicules Using 304 Ang He II Data from SDO/AIA

    Science.gov (United States)

    Snyder, Ian; Sterling, Alphonse C.; Falconer, David A.; Moore, Ronald L.

    2015-01-01

    We present results from a statistical study of He II 304 Angstrom EUV spicules and macrospicules at the limb of the Sun. We use high-cadence (12 sec) and high-resolution (0.6 arcsec pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred in quiet or coronal hole regions near the solar pole. Spicules and macrospicules are typically transient jet-like chromospheric-material features, the macrospicules are wider and have taller maximum heights than the spicules. We looked for characteristics of the populations of these two phenomena that might indicate whether they have the same or different initiation mechanisms. We examined the maximum heights, time-averaged rise velocities, and lifetimes of about two dozen EUV spicules and about five EUV macrospicules. For spicules, these quantities are, respectively, approx. 5-30 km, 5-50 km/s, and a few 100- approx. 1000 sec. Macrospicules were approx. 60,000 km, 55 km/s, and had lifetimes of approx. 1800 sec. Therefore the macrospicules were taller and longer-lived than the spicules, and had velocities comparable to that of the fastest spicules. The rise profiles of both the spicules and the macrospicules matched well a second-order ("parabolic'') trajectory, although the acceleration was generally weaker than that of solar gravity in the profiles fitted to the trajectories. The Macrospicules also had obvious brightenings at their bases at their birth, while such brightenings were not apparent for most of the spicules. Most of the spicules and several of the macrospicules remained visible during their decent back to the solar surface, although a small percentage of the spicules faded out before their fall was completed. Are findings are suggestive of the two phenomena possibly having different initiation mechanisms, but this is not yet conclusive. Qualitatively the EUV 304 Angstrom spicules match well the properties quoted for "Type I

  15. Enhancement of EUV emission from a liquid microjet target by use of dual laser pulses

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Koga, Masato; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi; Kikuchi, Takashi; Yugami, Noboru; Kawata, Shigeo; Andreev, Alexander A.

    2005-03-01

    Extreme ultraviolet (EUV) radiation at the wavelength of around 13nm waws observed from a laser-produced plasma using continuous water-jet. Strong dependence of the conversion efficiency (CE) on the laser focal spot size and jet diameter was observed. The EUV CE at a given laser spot size and jet diameter was further enhanced using double laser pulses, where a pre-pulse was used for initial heating of the plasma.

  16. EUV blank defect and particle inspection with high throughput immersion AFM with 1nm 3D resolution

    NARCIS (Netherlands)

    Es, M.H. van; Sadeghian Marnani, H.

    2016-01-01

    Inspection of EUV mask substrates and blanks is demanding. We envision this is a good target application for massively parallel Atomic Force Microscopy (AFM). We envision to do a full surface characterization of EUV masks with AFM enabling 1nm true 3D resolution over the entire surface. The limiting

  17. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Huadong; Zhang, Jun; Ma, Suli [Key Laboratory of Solar Activity, National Astronomical Observatories, Chinese Academy of Sciences, Beijing 100012 (China); Yan, Xiaoli [Yunnan Observatories, Chinese Academy of Sciences, Kunming 650011 (China); Xue, Jianchao, E-mail: hdchen@nao.cas.cn, E-mail: zjun@nao.cas.cn [Key Laboratory for Dark Matter and Space Science, Purple Mountain Observatory, Chinese Academy of Sciences, Nanjing 210008 (China)

    2017-05-20

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s{sup −1}. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  18. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    International Nuclear Information System (INIS)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-01-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s −1 . During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  19. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Science.gov (United States)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-05-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19-20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ˜280 km s-1. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ˜8 G.

  20. Formation dynamics of UV and EUV induced hydrogen plasma

    NARCIS (Netherlands)

    Dolgov, A.A.; Lee, Christopher James; Yakushev, O.; Lopaev, D.V.; Abrikosov, A.; Krivtsun, V.M.; Zotovich, A.; Bijkerk, F.

    2014-01-01

    The comparative study of the dynamics of ultraviolet (UV) and extreme ultraviolet (EUV) induced hydrogen plasma was performed. It was shown that for low H2 pressures and bias voltages, the dynamics of the two plasmas are significantly different. In the case of UV radiation, the plasma above the

  1. FIRST MEASUREMENTS OF THE MASS OF CORONAL MASS EJECTIONS FROM THE EUV DIMMING OBSERVED WITH STEREO EUVI A+B SPACECRAFT

    International Nuclear Information System (INIS)

    Aschwanden, Markus J.; Nitta, Nariaki V.; Wuelser, Jean-Pierre; Lemen, James R.; Sandman, Anne; Vourlidas, Angelos; Colaninno, Robin C.

    2009-01-01

    The masses of coronal mass ejections (CMEs) have traditionally been determined from white-light coronagraphs (based on Thomson scattering of electrons), as well as from extreme ultraviolet (EUV) dimming observed with one spacecraft. Here we develop an improved method of measuring CME masses based on EUV dimming observed with the dual STEREO/EUVI spacecraft in multiple temperature filters that includes three-dimensional volume and density modeling in the dimming region and background corona. As a test, we investigate eight CME events with previous mass determinations from STEREO/COR2, of which six cases are reliably detected with the Extreme Ultraviolet Imager (EUVI) using our automated multi-wavelength detection code. We find CME masses in the range of m CME = (2-7) x 10 15 g. The agreement between the two EUVI/A and B spacecraft is m A /m B = 1.3 ± 0.6 and the consistency with white-light measurements by COR2 is m EUVI /m COR2 = 1.1 ± 0.3. The consistency between EUVI and COR2 implies no significant mass backflows (or inflows) at r sun and adequate temperature coverage for the bulk of the CME mass in the range of T ∼ 0.5-3.0 MK. The temporal evolution of the EUV dimming allows us to also model the evolution of the CME density n e (t), volume V(t), height-time h(t), and propagation speed v(t) in terms of an adiabatically expanding self-similar geometry. We determine e-folding EUV dimming times of t D = 1.3 ± 1.4 hr. We test the adiabatic expansion model in terms of the predicted detection delay (Δt ∼ 0.7 hr) between EUVI and COR2 for the fastest CME event (2008 March 25) and find good agreement with the observed delay (Δt ∼ 0.8 hr).

  2. Negative-tone imaging with EUV exposure for 14nm hp and beyond

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Fujimori, Toru; Momota, Makoto; Goto, Takahiro

    2015-03-01

    Manipulation of dissolution properties by changing organic solvent developer and rinse material provides a novel technology to obtain fine pattern beyond the limitation of imaging system based on alkaline developer. QCM study showed no swelling character in negative-tone imaging (NTI) process even for current developer of n-butyl acetate (nBA). Actually, NTI process has shown advantages on resolution and line-width roughness (LWR) in loose pitch around 30 ~ 45 nm hp as a consequence of its non-swelling character. On the other hand, bridge and collapse limited its resolution below 20 nm hp, indicating that non-negligible amount of swelling still exists for tight pitch resolution. We investigated effects of solubility parameter of organic solvents on resolution below 20 nm hp. A bridge was reduced with a decrease in the solubility parameter dp from nBA. On the other hand, much lower dp caused film remaining due to its extremely slow Rmax. Based on these results, we newly developed FN-DP301 containing organic solvent with smaller dp than nBA. Although rinse solvent gave negligible effects on bridge, there is a clear improvement on pattern collapse only in case of using new rinse solvent of FN-RP311. Lithographic performances of NTI process using nBA and FN-DP301 together with the other organic solvents were described in this paper under exposures with an E-beam and a EUV light. It is emphasized that 14 nm hp resolution was obtained only using FN-DP301 as a developer and FN-RP311 as a rinse under E-beam exposure. NTI showed 43% faster photospeed in comparison with PTI at 16 nm hp, indicating that NTI is applicable to obtain high throughput with maintaining resolution. In addition, sub-20 nm trench was obtained using NTI without bridge under EUV exposure, all of which are attributed to the low swelling character of NTI process. Similarly, NTI was able to print 20 nm dots using NXE:3100 with only a little peeling. Conversely CH patterning was significantly worse with NTI

  3. Measurements of EUV coronal holes and open magnetic flux

    Energy Technology Data Exchange (ETDEWEB)

    Lowder, C.; Qiu, J.; Leamon, R. [Department of Physics, Montana State University, Bozeman, MT 59717 (United States); Liu, Y., E-mail: clowder@solar.physics.montana.edu [W. W. Hansen Experimental Physics Laboratory, Stanford University, Stanford, CA 94305 (United States)

    2014-03-10

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10{sup 22} Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10{sup 22} Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  4. EUV-driven ionospheres and electron transport on extrasolar giant planets orbiting active stars

    Science.gov (United States)

    Chadney, J. M.; Galand, M.; Koskinen, T. T.; Miller, S.; Sanz-Forcada, J.; Unruh, Y. C.; Yelle, R. V.

    2016-03-01

    The composition and structure of the upper atmospheres of extrasolar giant planets (EGPs) are affected by the high-energy spectrum of their host stars from soft X-rays to the extreme ultraviolet (EUV). This emission depends on the activity level of the star, which is primarily determined by its age. In this study, we focus upon EGPs orbiting K- and M-dwarf stars of different ages - ɛ Eridani, AD Leonis, AU Microscopii - and the Sun. X-ray and EUV (XUV) spectra for these stars are constructed using a coronal model. These spectra are used to drive both a thermospheric model and an ionospheric model, providing densities of neutral and ion species. Ionisation - as a result of stellar radiation deposition - is included through photo-ionisation and electron-impact processes. The former is calculated by solving the Lambert-Beer law, while the latter is calculated from a supra-thermal electron transport model. We find that EGP ionospheres at all orbital distances considered (0.1-1 AU) and around all stars selected are dominated by the long-lived H+ ion. In addition, planets with upper atmospheres where H2 is not substantially dissociated (at large orbital distances) have a layer in which H3+ is the major ion at the base of the ionosphere. For fast-rotating planets, densities of short-lived H3+ undergo significant diurnal variations, with the maximum value being driven by the stellar X-ray flux. In contrast, densities of longer-lived H+ show very little day/night variability and the magnitude is driven by the level of stellar EUV flux. The H3+ peak in EGPs with upper atmospheres where H2 is dissociated (orbiting close to their star) under strong stellar illumination is pushed to altitudes below the homopause, where this ion is likely to be destroyed through reactions with heavy species (e.g. hydrocarbons, water). The inclusion of secondary ionisation processes produces significantly enhanced ion and electron densities at altitudes below the main EUV ionisation peak, as

  5. The EUV Spectrum of Sunspot Plumes Observed by SUMER on ...

    Indian Academy of Sciences (India)

    tribpo

    Abstract. We present results from sunspot observations obtained by. SUMER on SOHO. In sunspot plumes the EUV spectrum differs from the quiet Sun; continua are observed with different slopes and intensities; emission lines from molecular hydrogen and many unidentified species indicate unique plasma conditions ...

  6. Effect of solar UV/EUV heating on the intensity and spatial distribution of Jupiter's synchrotron radiation

    Science.gov (United States)

    Kita, H.; Misawa, H.; Tsuchiya, F.; Tao, C.; Morioka, A.

    2013-10-01

    We analyzed the Very Large Array archived data observed in 2000 to determine whether solar ultraviolet (UV)/extreme ultraviolet (EUV) heating of the Jovian thermosphere causes variations in the total flux density and dawn-dusk asymmetry (the characteristic differences between the peak emissions at dawn and dusk) of Jupiter's synchrotron radiation (JSR). The total flux density varied by 10% over 6 days of observations and accorded with theoretical expectations. The average dawn-dusk peak emission ratio indicated that the dawn side emissions were brighter than those on the dusk side and this was expected to have been caused by diurnal wind induced by the solar UV/EUV. The daily variations in the dawn-dusk ratio did not correspond to the solar UV/EUV, and this finding did not support the theoretical expectation that the dawn-dusk ratio and diurnal wind velocity varies in correspondence with the solar UV/EUV. We tried to determine whether the average dawn-dusk ratio could be explained by a reasonable diurnal wind velocity. We constructed an equatorial brightness distribution model of JSR using the revised Divine-Garrett particle distribution model and used it to derive a relation between the dawn-dusk ratio and diurnal wind velocity. The estimated diurnal wind velocity reasonably corresponded to a numerical simulation of the Jovian thermosphere. We also found that realistic changes in the diurnal wind velocity could not cause the daily variations in the dawn-dusk ratio. Hence, we propose that the solar UV/EUV related variations were below the detection limit and some other processes dominated the daily variations in the dawn-dusk ratio.

  7. Development of a liquid tin microjet target for an efficient laser-produced plasma extreme ultraviolet source

    Science.gov (United States)

    Higashiguchi, Takeshi; Hamada, Masaya; Kubodera, Shoichi

    2007-03-01

    A regenerative tin liquid microjet target was developed for a high average power extreme ultraviolet (EUV) source. The diameter of the target was smaller than 160 μm and good vacuum lower than 0.5 Pa was maintained during the operation. A maximum EUV conversion efficiency of 1.8% at the Nd:yttrium-aluminum-garnet laser intensity of around 2×1011 W/cm2 with a spot diameter of 175 μm (full width at half maximum) was observed. The angular distribution of the EUV emission remained almost isotropic, whereas suprathermal ions mainly emerged toward the target normal.

  8. Development of a liquid tin microjet target for an efficient laser-produced plasma extreme ultraviolet source

    International Nuclear Information System (INIS)

    Higashiguchi, Takeshi; Hamada, Masaya; Kubodera, Shoichi

    2007-01-01

    A regenerative tin liquid microjet target was developed for a high average power extreme ultraviolet (EUV) source. The diameter of the target was smaller than 160 μm and good vacuum lower than 0.5 Pa was maintained during the operation. A maximum EUV conversion efficiency of 1.8% at the Nd:yttrium-aluminum-garnet laser intensity of around 2x10 11 W/cm 2 with a spot diameter of 175 μm (full width at half maximum) was observed. The angular distribution of the EUV emission remained almost isotropic, whereas suprathermal ions mainly emerged toward the target normal

  9. Development of a liquid tin microjet target for an efficient laser-produced plasma extreme ultraviolet source.

    Science.gov (United States)

    Higashiguchi, Takeshi; Hamada, Masaya; Kubodera, Shoichi

    2007-03-01

    A regenerative tin liquid microjet target was developed for a high average power extreme ultraviolet (EUV) source. The diameter of the target was smaller than 160 microm and good vacuum lower than 0.5 Pa was maintained during the operation. A maximum EUV conversion efficiency of 1.8% at the Nd:yttrium-aluminum-garnet laser intensity of around 2 x 10(11) Wcm(2) with a spot diameter of 175 microm (full width at half maximum) was observed. The angular distribution of the EUV emission remained almost isotropic, whereas suprathermal ions mainly emerged toward the target normal.

  10. Automated Identification of Coronal Holes from Synoptic EUV Maps

    Science.gov (United States)

    Hamada, Amr; Asikainen, Timo; Virtanen, Ilpo; Mursula, Kalevi

    2018-04-01

    Coronal holes (CHs) are regions of open magnetic field lines in the solar corona and the source of the fast solar wind. Understanding the evolution of coronal holes is critical for solar magnetism as well as for accurate space weather forecasts. We study the extreme ultraviolet (EUV) synoptic maps at three wavelengths (195 Å/193 Å, 171 Å and 304 Å) measured by the Solar and Heliospheric Observatory/Extreme Ultraviolet Imaging Telescope (SOHO/EIT) and the Solar Dynamics Observatory/Atmospheric Imaging Assembly (SDO/AIA) instruments. The two datasets are first homogenized by scaling the SDO/AIA data to the SOHO/EIT level by means of histogram equalization. We then develop a novel automated method to identify CHs from these homogenized maps by determining the intensity threshold of CH regions separately for each synoptic map. This is done by identifying the best location and size of an image segment, which optimally contains portions of coronal holes and the surrounding quiet Sun allowing us to detect the momentary intensity threshold. Our method is thus able to adjust itself to the changing scale size of coronal holes and to temporally varying intensities. To make full use of the information in the three wavelengths we construct a composite CH distribution, which is more robust than distributions based on one wavelength. Using the composite CH dataset we discuss the temporal evolution of CHs during the Solar Cycles 23 and 24.

  11. The Diagnostics of the kappa-Distributions from EUV Spectra

    Czech Academy of Sciences Publication Activity Database

    Dzifčáková, Elena; Kulinová, Alena

    2010-01-01

    Roč. 263, 1-2 (2010), s. 25-41 ISSN 0038-0938 R&D Projects: GA ČR GA205/09/1705 Grant - others:VEGA(SK) 1/0069/08 Institutional research plan: CEZ:AV0Z10030501 Keywords : EUV spectra * non- thermal distributions * plasma diagnostics Subject RIV: BN - Astronomy, Celestial Mechanics, Astrophysics Impact factor: 3.386, year: 2010

  12. Optical, UV, and EUV Oscillations of SS Cygni in Outburst

    Science.gov (United States)

    Mauche, Christopher W.

    2004-07-01

    I provide a review of observations in the optical, UV (HST), and EUV (EUVE and Chandra LETG) of the rapid periodic oscillations of nonmagnetic, disk-accreting, high mass-accretion rate cataclysmic variables (CVs), with particular emphasis on the dwarf nova SS Cyg in outburst. In addition, I drawn attention to a correlation, valid over nearly six orders of magnitude in frequency, between the frequencies of the quasi-periodic oscillations (QPOs) of white dwarf, neutron star, and black hole binaries. This correlation identifies the high frequency quasi-coherent oscillations (so-called ``dwarf nova oscillations'') of CVs with the kilohertz QPOs of low mass X-ray binaries (LMXBs), and the low frequency and low coherence QPOs of CVs with the horizontal branch oscillations (or the broad noise component identified as such) of LMXBs. Assuming that the same mechanisms produce the QPOs of white dwarf, neutron star, and black hole binaries, this correlation has important implications for QPO models.

  13. Solar Cycle Variation of Microwave Polar Brightening and EUV Coronal Hole Observed by Nobeyama Radioheliograph and SDO/AIA

    Science.gov (United States)

    Kim, Sujin; Park, Jong-Yeop; Kim, Yeon-Han

    2017-08-01

    We investigate the solar cycle variation of microwave and extreme ultraviolet (EUV) intensity in latitude to compare microwave polar brightening (MPB) with the EUV polar coronal hole (CH). For this study, we used the full-sun images observed in 17 GHz of the Nobeyama Radioheliograph from 1992 July to 2016 November and in two EUV channels of the Atmospheric Imaging Assembly (AIA) 193 Å and 171 Å on the Solar Dynamics Observatory (SDO) from 2011 January to 2016 November. As a result, we found that the polar intensity in EUV is anti-correlated with the polar intensity in microwave. Since the depression of EUV intensity in the pole is mostly owing to the CH appearance and continuation there, the anti-correlation in the intensity implies the intimate association between the polar CH and the MPB. Considering the report of tet{gopal99} that the enhanced microwave brightness in the CH is seen above the enhanced photospheric magnetic field, we suggest that the pole area during the solar minimum has a stronger magnetic field than the quiet sun level and such a strong field in the pole results in the formation of the polar CH. The emission mechanism of the MPB and the physical link with the polar CH are not still fully understood. It is necessary to investigate the MPB using high resolution microwave imaging data, which can be obtained by the high performance large-array radio observatories such as the ALMA project.

  14. Reflectance Tuning at Extreme Ultraviolet (EUV) Wavelengths with Active Multilayer Mirrors

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Lee, Christopher James; van Goor, F.A.; Koster, Gertjan; Rijnders, Augustinus J.H.M.; Bijkerk, Frederik

    2011-01-01

    At extreme ultraviolet (EUV) wavelengths the refractive power of transmission type optical components is limited, therefore reflective components are used. Reflective optics (multilayer mirrors) usually consist of many bilayers and each bilayer is composed of a high and a low refractive index

  15. RapidNano: towards 20nm Particle Detection on EUV Mask Blanks

    NARCIS (Netherlands)

    Donck, J.C.J. van der; Bussink, P.G.W.; Fritz, E.C.; Walle, P. van der

    2016-01-01

    Cleanliness is a prerequisite for obtaining economically feasible yield levels in the semiconductor industry. For the next generation of lithographic equipment, EUV lithography, the size of yield-loss inducing particles for the masks will be smaller than 20 nm. Consequently, equipment for handling

  16. Systematic study of ligand structures of metal oxide EUV nanoparticle photoresists

    KAUST Repository

    Jiang, Jing

    2015-03-19

    Ligand stabilized metal oxide nanoparticle resists are promising candidates for EUV lithography due to their high sensitivity for high-resolution patterning and high etching resistance. As ligand exchange is responsible for the patterning mechanism, we systematically studied the influence of ligand structures of metal oxide EUV nanoparticles on their sensitivity and dissolution behavior. ZrO2 nanoparticles were protected with various aromatic ligands with electron withdrawing and electron donating groups. These nanoparticles have lower sensitivity compared to those with aliphatic ligands suggesting the structures of these ligands is more important than their pka on resist sensitivity. The influence of ligand structure was further studied by comparing the nanoparticles’ solubility for a single type ligand to mixtures of ligands. The mixture of nanoparticles showed improved pattern quality. © (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  17. Normal incidence spectrophotometer using high density transmission grating technology and highly efficiency silicon photodiodes for absolute solar EUV irradiance measurements

    Science.gov (United States)

    Ogawa, H. S.; Mcmullin, D.; Judge, D. L.; Korde, R.

    1992-01-01

    New developments in transmission grating and photodiode technology now make it possible to realize spectrometers in the extreme ultraviolet (EUV) spectral region (wavelengths less than 1000 A) which are expected to be virtually constant in their diffraction and detector properties. Time dependent effects associated with reflection gratings are eliminated through the use of free standing transmission gratings. These gratings together with recently developed and highly stable EUV photodiodes have been utilized to construct a highly stable normal incidence spectrophotometer to monitor the variability and absolute intensity of the solar 304 A line. Owing to its low weight and compactness, such a spectrometer will be a valuable tool for providing absolute solar irradiance throughout the EUV. This novel instrument will also be useful for cross-calibrating other EUV flight instruments and will be flown on a series of Hitchhiker Shuttle Flights and on SOHO. A preliminary version of this instrument has been fabricated and characterized, and the results are described.

  18. Spectroscopic modeling for tungsten EUV spectra

    International Nuclear Information System (INIS)

    Murakami, Izumi; Kato, Daiji; Sakaue, Hiroyuki A.; Suzuki, Chihiro; Morita, Shigeru; Goto, Motoshi; Sasaki, Akira; Nakamura, Nobuyuki; Yamamoto, Norimasa; Koike, Fumihiro

    2014-01-01

    We have constructed an atomic model for tungsten extreme ultraviolet (EUV) spectra to reconstruct characteristic spectral feature of unresolved transition array (UTA) observed at 4-7 nm for tungsten ions. In the tungsten atomic modeling, we considered fine-structure levels with the quantum principal number n up to 6 as the atomic structure and calculated the electron-impact collision cross sections by relativistic distorted-wave method, using HULLAC atomic code. We measured tungsten EUV spectra in Large Helical Device (LHD) and Compact Electron Beam Ion Trap device (CoBIT) and compared them with the model calculation. The model successfully explain series of emission peaks at 1.5-3.5 nm as n=5-4 and 6-4 transitions of W"2"4"+ - W"3"2"+ measured in CoBIT and LHD and the charge state distributions were estimated for LHD plasma. The UTA feature observed at 4-7 nm was also successfully reconstructed with our model. The peak at ∼5 nm is produced mainly by many 4f-4d transition of W"2"2"+ - W"3"5"+ ions, and the second peak at ∼6 nm is produced by 4f-4d transition of W"2"5"+ - W"2"8"+ ions, and 4d-4p inner-shell transitions, 4p"54d"n"+"1 - 4p"64d"n, of W"2"9"+ - W"3"5"+ ions. These 4d-4p inner-shell transitions become strong since we included higher excited states such as 4p"54d"n4f state, which ADAS atomic data set does not include for spectroscopic modeling with fine structure levels. (author)

  19. Observations and predictions of EUV emission from classical novae

    International Nuclear Information System (INIS)

    Starrfield, S.; Truran, J.W.; Sparks, W.M.; Krautter, J.

    1989-01-01

    Theoretical modeling of novae in outburst predicts that they should be active emitters of radiation both in the EUV and soft X-ray wavelengths twice during the outburst. The first time is very early in the outburst when only an all sky survey can detect them. This period lasts only a few hours. They again become bright EUV and soft X-ray emitters late in the outburst when the remnant object becomes very hot and is still luminous. The predictions imply both that a nova can remain very hot for months to years and that the peak temperature at this time strongly depends upon the mass of the white dwarf. It is important to observe novae at these late times because a measurement of both the flux and temperature can provide information about the mass of the white dwarf, the tun-off time scale, and the energy budget of the outburst. We review the existing observations of novae in late stages of their outburst and present some newly obtained data for GQ Mus 1983. We then provide results of new hydrodynamic simulations of novae in outburst and compare the predictions to the observations. 43 refs., 6 figs

  20. EUV soft X-ray characterization of a FEL multilayer optics damaged by multiple shot laser beam

    International Nuclear Information System (INIS)

    Giglia, A.; Mahne, N.; Bianco, A.; Svetina, C.; Nannarone, S.

    2011-01-01

    We have investigated the damaging effects of a femtosecond pulsed laser beam with 400 nm wavelength on a Mo/Si EUV multilayer. The exposures have been done in vacuum with multiple pulses (5 pulses/mm 2 ) of 120 fs varying the laser fluence in the 38-195 mJ/cm 2 range. The analysis of the different irradiated regions has been performed ex-situ by means of different techniques, including specular and diffuse reflectivity, X-ray photoemission spectroscopy (XPS) and total electron yield (TEY) in the EUV and soft X-ray range. Surface images have been acquired by atomic force microscopy (AFM) and scanning electron microscopy (SEM). Results clearly indicate a progressive degradation of the EUV multilayer performances with the increase of the laser fluence. Spectroscopic analysis allowed to correlate the decrease of reflectivity with the degradation of the multilayer stacking, ascribed to Mo-Si intermixing at the Mo/Si interfaces of the first layers, close to the surface of the mirror.

  1. Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method

    Science.gov (United States)

    Shao, Feng; Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas

    2009-10-01

    This paper employs the Waveguide decomposition method as an efficient rigorous electromagnetic field (EMF) solver to investigate three dimensional mask-induced imaging artifacts in EUV lithography. The major mask diffraction induced imaging artifacts are first identified by applying the Zernike analysis of the mask nearfield spectrum of 2D lines/spaces. Three dimensional mask features like 22nm semidense/dense contacts/posts, isolated elbows and line-ends are then investigated in terms of lithographic results. After that, the 3D mask-induced imaging artifacts such as feature orientation dependent best focus shift, process window asymmetries, and other aberration-like phenomena are explored for the studied mask features. The simulation results can help lithographers to understand the reasons of EUV-specific imaging artifacts and to devise illumination and feature dependent strategies for their compensation in the optical proximity correction (OPC) for EUV masks. At last, an efficient approach using the Zernike analysis together with the Waveguide decomposition technique is proposed to characterize the impact of mask properties for the future OPC process.

  2. Free-electron laser emission architecture impact on extreme ultraviolet lithography

    Science.gov (United States)

    Hosler, Erik R.; Wood, Obert R.; Barletta, William A.

    2017-10-01

    Laser-produced plasma (LPP) EUV sources have demonstrated ˜125 W at customer sites, establishing confidence in EUV lithography (EUVL) as a viable manufacturing technology. However, for extension to the 3-nm technology node and beyond, existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multipatterning (requiring increased wafer throughput proportional to the number of exposure passes). Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should FELs become the preferred next-generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability. A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) self-amplified spontaneous emission, (2) regenerative amplifier, or (3) self-seeding. Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provides a framework for future FEL design and enablement for EUVL applications.

  3. A serendipitous observation of the gamma-ray burst GRB 921013b field with EUVE

    DEFF Research Database (Denmark)

    Castro-Tirado, A.J.; Gorosabel, J.; Bowyer, S.

    1999-01-01

    hours after the burst is 1.8 x10(-16) erg s(-1) cm(-2) after correction for absorption by the Galactic interstellar medium. Even if we exclude an intrinsic absorption, this is well below the detection limit of the EUVE measurement. Although it is widely accepted that gamma-ray bursts are at cosmological......We report a serendipitous extreme ultraviolet observation by EUVE of the field containing GRB 921013b, similar to 11 hours after its occurrence. This burst was detected on 1992 October 13 by the WATCH and PHEBUS on Granat, and by the GRB experiment on Ulysses. The lack of any transient (or...

  4. Analysis of Ozone (O3 and Erythemal UV (EUV measured by TOMS in the equatorial African belt

    Directory of Open Access Journals (Sweden)

    Øyvind Frette

    2010-03-01

    Full Text Available We presented time series of total ozone column amounts (TOCAs and erythemal UV (EUV doses derived from measurements by TOMS (Total Ozone Mapping Spectrometer instruments on board the Nimbus-7 (N7 and the Earth Probe (EP satellites for three locations within the equatorial African belt for the period 1979 to 2000. The locations were Dar-es-Salaam (6.8° S, 39.26° E in Tanzania, Kampala (0.19° N, 32.34° E in Uganda, and Serrekunda (13.28° N, 16.34° W in Gambia. Equatorial Africa has high levels of UV radiation, and because ozone shields UV radiation from reaching the Earth’s surface, there is a need to monitor TOCAs and EUV doses. In this paper we investigated the trend of TOCAs and EUV doses, the effects of annual and solar cycles on TOCAs, as well as the link between lightning and ozone production in the equatorial African belt. We also compared clear-sky simulated EUV doses with the corresponding EUV doses derived from TOMS measurements. The TOCAs were found to vary in the ranges 243 DU − 289 DU, 231 DU − 286 DU, and 236 DU − 296 DU, with mean values of 266.9 DU, 260.9 DU, and 267.8 DU for Dar-es-Salaam, Kampala and Serrekunda, respectively. Daily TOCA time series indicated that Kampala had the lowest TOCA values, which we attributed to the altitude effect. There were two annual ozone peaks in Dar-es-Salaam and Kampala, and one annual ozone peak in Serrekunda. The yearly TOCA averages showed an oscillation within a five-year period. We also found that the EUV doses were stable at all three locations for the period 1979−2000, and that Kampala and Dar-es-Salaam were mostly cloudy throughout the year, whereas Serrekunda was mostly free from clouds. It was also found that clouds were among the major factors determining the level of EUV reaching the Earth´s surface. Finally, we noted that during rainy seasons, horizontal advection effects augmented by lightning activity may be responsible for enhanced ozone production in the tropics.

  5. Feasibility of compensating for EUV field edge effects through OPC

    Science.gov (United States)

    Maloney, Chris; Word, James; Fenger, Germain L.; Niroomand, Ardavan; Lorusso, Gian F.; Jonckheere, Rik; Hendrickx, Eric; Smith, Bruce W.

    2014-04-01

    As EUV Lithography (EUVL) continues to evolve, it offers a possible solution to the problems of additional masks and lithography steps that drive up the cost and complexity of 193i multiple patterning. EUVL requires a non-telecentric reflective optical system for operation. This requirement causes EUV specific effects such as shadowing. The absorber physically shadows the reflective multilayer (ML) on an EUV reticle resulting in pattern fidelity degradation. To reduce this degradation, a thinner absorber may help. Yet, as the absorber thickness decreases, reflectivity increases in the `dark' region around the image field, resulting in a loss of contrast. The region around the edge of the die on the mask of unpatterned absorber material deposited on top of ML, known as the image border, is also susceptible to undesirable reflections in an ideally dark region. For EUVL to be enabled for high-volume manufacturing (HVM), reticle masking (REMA) blades are used to shield light from the image border to allow for the printing of densely spaced die. When die are printed densely, the image border of each neighboring die will overlap with the edge of a given die resulting in an increase of dose that overexposes features at the edge of the field. This effect is convolved with a fingerprint from the edge of the REMA blades. This phenomenon will be referred to as a field edge effect. One such mitigation strategy that has been investigated to reduce the field edge effect is to fully remove the ML along the image border to ensure that no actinic-EUV radiation can be reflected onto neighboring die. This has proven to suppress the effect, but residual out-of-band radiation still provides additional dose to features near the image border, especially in the corners where three neighboring fields overlap. Measurements of dense contact holes (CHs) have been made along the image border with and without a ML-etched border at IMEC in collaboration with Micron using the ASML NXE:3100. The

  6. A two-step method for fast and reliable EUV mask metrology

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajendran, Rajeev; Yoshitake, Shusuke; Ekinci, Yasin

    2017-03-01

    One of the major obstacles towards the implementation of extreme ultraviolet lithography for upcoming technology nodes in semiconductor industry remains the realization of a fast and reliable detection methods patterned mask defects. We are developing a reflective EUV mask-scanning lensless imaging tool (RESCAN), installed at the Swiss Light Source synchrotron at the Paul Scherrer Institut. Our system is based on a two-step defect inspection method. In the first step, a low-resolution defect map is generated by die to die comparison of the diffraction patterns from areas with programmed defects, to those from areas that are known to be defect-free on our test sample. In a later stage, a die to database comparison will be implemented in which the measured diffraction patterns will be compared to those calculated directly from the mask layout. This Scattering Scanning Contrast Microscopy technique operates purely in the Fourier domain without the need to obtain the aerial image and, given a sufficient signal to noise ratio, defects are found in a fast and reliable way, albeit with a location accuracy limited by the spot size of the incident illumination. Having thus identified rough locations for the defects, a fine scan is carried out in the vicinity of these locations. Since our source delivers coherent illumination, we can use an iterative phase-retrieval method to reconstruct the aerial image of the scanned area with - in principle - diffraction-limited resolution without the need of an objective lens. Here, we will focus on the aerial image reconstruction technique and give a few examples to illustrate the capability of the method.

  7. Rocket flight of a multilayer coated high-density EUV toroidal grating

    Science.gov (United States)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Davila, Joseph M.

    1992-01-01

    A multilayer coated high density toroidal grating was flown on a sounding rocket experiment in the Solar EUV Rocket Telescope and Spectrograph (SERTS) instrument. To our knowledge this is the first space flight of a multilayer coated grating. Pre-flight performance evaluation showed that the application of a 10-layer Ir/Si multilayer coating to the 3600 l/mm blazed toroidal replica grating produced a factor of 9 enhancement in peak efficiency near the design wavelength around 30 nm in first order over the standard gold coating, with a measured EUV efficiency that peaked at 3.3 percent. In addition, the grating's spectral resolution of better than 5000 was maintained. The region of enhanced grating efficiency due to the multilayer coating is clearly evident in the flight data. Within the bandpass of the multilayer coating, the recorded film densities were roughly equivalent to those obtained with a factor of six longer exposure on the previous flight of the SERTS instrument.

  8. EUV emission stimulated by use of dual laser pulses from continus liquid microjet targets

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Sasaki, Wataru; Kubodera, Shoichi

    2004-11-01

    A continuous water-jet or water-jet mixed with LiF with several tens μm diameter was formed in a vacuum chamber through a small capillary nozzle. Usage of two laser pulses is an efficient way to produce EUV emission, since a density and temperature of a plasma formed by the first laser pulse are regulated by the second laser pulse. By adjusting the delay of the second pulse, one could maximize the EUV emission. A subpicosecond Ti:Sapphire laser at a wavelength of 800 nm produced a maximum energy around 30 mJ. The beam was divided by a Michelson interferometer, which produced two laser pulses with energies of 5 mJ. The pulse duration was adjusted around 300 fs (FWHM). Both beams were focused on a micro-jet using a lens with a focal length of 15 cm. The delay time between the two pulses was varied from 100 to 800 ps by use of an optical delay line. Clear enhancement of the EUV emission yield was observed when the delay between the two pulses was around 500 ps. The experimentally observed delay agrees reasonably well with that of a plasma to expand to its critical density of 10^21 cm-3.

  9. The EUV-observatory TESIS on board Coronas-Photon: scientific goals and initial plan of observations

    Science.gov (United States)

    Bogachev, Sergey

    The TESIS a EUV-observatory for solar research from space will be launched in 2008 September on board the satellite Coronas-Photon from cosmodrome Plesetsk. TESIS is a project of Lebedev Physical Institute of Russian Academy of Science with contribution from Space Research Center of Polish Academy of Science (the spectrometer SphinX). The experiment will focus on quasi-monochromatic imaging of the Sun and XUV spectroscopy of solar plasma. The scientific payload of TESIS contains five instruments: (1) Bragg crystal spectroheliometer for Sun monochromatic imaging in the line MgXII 8.42 A, (2) the normal-incidence Herschelian EUV telescopes with a resolution of 1.7 arc sec operated in lines FeXXII 133 A, FeIX 171 A and HeII 304 A, (3) the EUV imaging spectrometer, (4) the wide-field Ritchey-Chretien coronograph and (5) the X-ray spectrometer SphinX. The TESIS will focus on coordinated study of solar activity from the transition region to the outer corona up to 4 solar radii in wide temperature range from 5*104 to 2*107 K. We describe the scientific goals of the TESIS and its initial plan of observations.

  10. Inner shell transitions of BrI in the EUV

    Energy Technology Data Exchange (ETDEWEB)

    Mazzoni, M [Florence Univ. (Italy). Ist. di Astronomia; Pettini, M [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1981-10-12

    The EUV line spectrum originating from transitions of the inner 3d shell of neutral atomic bromine has been observed in absorption. Fano parameters have been derived for the three autoionized resonances nd/sup 10/(n + 1)s/sup 2/(n + 1)p/sup 5/ /sup 2/P-nd/sup 9/(n + 1)s/sup 2/(n + 1)p/sup 62/D observed in both bromine (n = 3) and iodine (n = 4) spectra.

  11. UNDERCOVER EUV SOLAR JETS OBSERVED BY THE INTERFACE REGION IMAGING SPECTROGRAPH

    Energy Technology Data Exchange (ETDEWEB)

    Chen, N.-H. [Korea Astronomy and Space Science Institute, Daejeon (Korea, Republic of); Innes, D. E. [Max-Planck-Institut für Sonnensystemforschung, D-37077 Göttingen (Germany)

    2016-12-10

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT to 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si iv spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg ii line profiles produced by the jets spires: reversed and non-reversed. Mg ii lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (10{sup 8} erg cm{sup −2} s{sup −1}) toward the corona and the downward component is less than 3%.

  12. Fabrication of nanoscale patterns in lithium fluoride crystal using a 13.5 nm Schwarzschild objective and a laser produced plasma source

    International Nuclear Information System (INIS)

    Wang Xin; Mu Baozhong; Jiang Li; Zhu Jingtao; Yi Shengzhen; Wang Zhanshan; He Pengfei

    2011-01-01

    Lithium fluoride (LiF) crystal is a radiation sensitive material widely used as EUV and soft x-ray detector. The LiF-based detector has high resolution, in principle limited by the point defect size, large field of view, and wide dynamic range. Using LiF crystal as an imaging detector, a resolution of 900 nm was achieved by a projection imaging of test meshes with a Schwarzschild objective operating at 13.5 nm. In addition, by imaging of a pinhole illuminated by the plasma, an EUV spot of 1.5 μm diameter in the image plane of the objective was generated, which accomplished direct writing of color centers with resolution of 800 nm. In order to avoid sample damage and contamination due to the influence of huge debris flux produced by the plasma source, a spherical normal-incidence condenser was used to collect EUV radiation. Together with a description of experimental results, the development of the Schwarzschild objective, the influence of condenser on energy density and the alignment of the imaging system are also reported.

  13. Laser-assisted vacuum arc extreme ultraviolet source: a comparison of picosecond and nanosecond laser triggering

    Science.gov (United States)

    Beyene, Girum A.; Tobin, Isaac; Juschkin, Larissa; Hayden, Patrick; O'Sullivan, Gerry; Sokell, Emma; Zakharov, Vassily S.; Zakharov, Sergey V.; O'Reilly, Fergal

    2016-06-01

    Extreme ultraviolet (EUV) light generation by hybrid laser-assisted vacuum arc discharge plasmas, utilizing Sn-coated rotating-disc-electrodes, was investigated. The discharge was initiated by localized ablation of the liquid tin coating of the cathode disc by a laser pulse. The laser pulse, at 1064 nm, was generated by Nd:YAG lasers with variable energy from 1 to 100 mJ per pulse. The impact of shortening the laser pulse from 7 ns to 170 ps on the EUV generation has been investigated in detail. The use of ps pulses resulted in an increase in emission of EUV radiation. With a fixed discharge energy of ~4 J, the EUV conversion efficiency tends to plateau at ~2.4  ±  0.25% for the ps laser pulses, while for the ns pulses, it saturates at ~1.7  ±  0.3%. Under similar discharge and laser energy conditions, operating the EUV source with the ps-triggering resulted also in narrower spectral profiles of the emission in comparison to ns-triggering. The results indicate an advantage in using ps-triggering in laser-assisted discharges to produce brighter plasmas required for applications such as metrology.

  14. Enhancing native defect sensitivity for EUV actinic blank inspection: optimized pupil engineering and photon noise study

    Science.gov (United States)

    Wang, Yow-Gwo; Neureuther, Andrew; Naulleau, Patrick

    2016-03-01

    In this paper, we discuss the impact of optimized pupil engineering and photon noise on native defect sensitivity in EUV actinic blank inspection. Native defects include phase-dominated defects, absorber defects, and defects with a combination of phase and absorption behavior. First, we extend the idea of the Zernike phase contrast (ZPC) method and study the impact of optimum phase shift in the pupil plane on native defect sensitivity, showing a 23% signal-to-noise ratio (SNR) enhancement compare to bright field (BF) for a phase defect with 20% absorption. We also describe the possibility to increase target defect SNR on target defect sizes at the price of losing the sensitivity on smaller (non-critical) defects. Moreover, we show the advantage of the optimized phase contrast (OZPC) method over BF EUV actinic blank inspection. A single focus scan from OZPC has better inspection efficiency over BF. Second, we make a detailed comparison between the phase contrast with apodization (AZPC) method and dark field (DF) method based on defect sensitivity in the presence of both photon shot noise and camera noise. Performance is compared for a variety of photon levels, mask roughness conditions, and combinations of defect phase and absorption.

  15. Low-debris, efficient laser-produced plasma extreme ultraviolet source by use of a regenerative liquid microjet target containing tin dioxide (SnO2) nanoparticles

    Science.gov (United States)

    Higashiguchi, Takeshi; Dojyo, Naoto; Hamada, Masaya; Sasaki, Wataru; Kubodera, Shoichi

    2006-05-01

    We demonstrated a low-debris, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO2) nanoparticles. By using a low SnO2 concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris.

  16. Low-debris, efficient laser-produced plasma extreme ultraviolet source by use of a regenerative liquid microjet target containing tin dioxide (SnO2) nanoparticles

    International Nuclear Information System (INIS)

    Higashiguchi, Takeshi; Dojyo, Naoto; Hamada, Masaya; Sasaki, Wataru; Kubodera, Shoichi

    2006-01-01

    We demonstrated a low-debris, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO 2 ) nanoparticles. By using a low SnO 2 concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris

  17. EUV and radio spectrum of coronal holes

    Energy Technology Data Exchange (ETDEWEB)

    Chiuderi Drago, F [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1980-03-01

    From the intensity of 19 EUV lines whose formation temperature anti T ranges from 3 x 10/sup 4/ to 1.4 x 10/sup 6/, two different models of the transition region and corona for the cell-centre and the network are derived. It is shown that both these models give radio brightness temperatures systematically higher than the observed ones. An agreement with radio data can be found only with lines formed at low temperature (anti T < 8.5 x 10/sup 5/) by decreasing the coronal temperature and the emission measure. The possibility of resolving the discrepancy by using different ion abundances has also been investigated with negative results.

  18. Modeling of EUV emission from xenon and tin plasma sources for nanolithography

    Energy Technology Data Exchange (ETDEWEB)

    Poirier, M. [Service Photons, Atomes, et Molecules, CEA Saclay, bat. 522, F91191 Gif/Yvette Cedex (France)]. E-mail: michel.poirier@cea.fr; Blenski, T. [Service Photons, Atomes, et Molecules, CEA Saclay, bat. 522, F91191 Gif/Yvette Cedex (France); Gaufridy de Dortan, F. de [Service Photons, Atomes, et Molecules, CEA Saclay, bat. 522, F91191 Gif/Yvette Cedex (France); Gilleron, F. [CEA-DAM, F91680 Bruyeres-le-Chatel (France)

    2006-05-15

    Over the last decade there has been a major effort devoted to the development of efficient extreme UV sources designed for nanolithography, operating in the 13.5-nm range. Possible sources include laser-produced plasmas and discharge-produced plasmas. This paper, devoted to the modeling of such emission, emphasizes the atomic physics effects and particularly the effects of configuration interaction. Two types of theoretical approaches are presented, one involving the detailed computation with the parametric potential code HULLAC, the other based on the superconfiguration code SCO. Computations of emission spectra in xenon and tin are presented. The possible influence of non-local thermodynamic equilibrium (NLTE) effects is investigated using populations given by the simple collisional-radiative formulas from Colombant and Tonon. Convergence to LTE is analyzed in the tin case.

  19. Off-limb EUV observations of the solar corona and transients with the CORONAS-F/SPIRIT telescope-coronagraph

    Directory of Open Access Journals (Sweden)

    V. Slemzin

    2008-10-01

    Full Text Available The SPIRIT telescope aboard the CORONAS-F satellite (in orbit from 26 July 2001 to 5 December 2005, observed the off-limb solar corona in the 175 Å (Fe IX, X and XI lines and 304 Å (He II and Si XI lines bands. In the coronagraphic mode the mirror was tilted to image the corona at the distance of 1.1...5 Rsun from the solar center, the outer occulter blocked the disk radiation and the detector sensitivity was enhanced. This intermediate region between the fields of view of ordinary extreme-ultraviolet (EUV telescopes and most of the white-light (WL coronagraphs is responsible for forming the streamer belt, acceleration of ejected matter and emergence of slow and fast solar wind. We present here the results of continuous coronagraphic EUV observations of the solar corona carried out during two weeks in June and December 2002. The images showed a "diffuse" (unresolved component of the corona seen in both bands, and non-radial, ray-like structures seen only in the 175 Å band, which can be associated with a streamer base. The correlations between latitudinal distributions of the EUV brightness in the corona and at the limb were found to be high in 304 Å at all distances and in 175 Å only below 1.5 Rsun. The temporal correlation of the coronal brightness along the west radial line, with the brightness at the underlying limb region was significant in both bands, independent of the distance. On 2 February 2003 SPIRIT observed an expansion of a transient associated with a prominence eruption seen only in the 304 Å band. The SPIRIT data have been compared with the corresponding data of the SOHO LASCO, EIT and UVCS instruments.

  20. Extending CO2 cryogenic aerosol cleaning for advanced optical and EUV mask cleaning

    Science.gov (United States)

    Varghese, Ivin; Bowers, Charles W.; Balooch, Mehdi

    2011-11-01

    Cryogenic CO2 aerosol cleaning being a dry, chemically-inert and residue-free process is used in the production of optical lithography masks. It is an attractive cleaning option for the mask industry to achieve the requirement for removal of all printable soft defects and repair debris down to the 50nm printability specification. In the technique, CO2 clusters are formed by sudden expansion of liquid from high to almost atmospheric pressure through an optimally designed nozzle orifice. They are then directed on to the soft defects or debris for momentum transfer and subsequent damage free removal from the mask substrate. Unlike aggressive acid based wet cleaning, there is no degradation of the mask after processing with CO2, i.e., no critical dimension (CD) change, no transmission/phase losses, or chemical residue that leads to haze formation. Therefore no restriction on number of cleaning cycles is required to be imposed, unlike other cleaning methods. CO2 aerosol cleaning has been implemented for several years as full mask final clean in production environments at several state of the art mask shops. Over the last two years our group reported successful removal of all soft defects without damage to the fragile SRAF features, zero adders (from the cleaning and handling mechanisms) down to a 50nm printability specification. In addition, CO2 aerosol cleaning is being utilized to remove debris from Post-RAVE repair of hard defects in order to achieve the goal of no printable defects. It is expected that CO2 aerosol cleaning can be extended to extreme ultraviolet (EUV) masks. In this paper, we report advances being made in nozzle design qualification for optimum snow properties (size, velocity and flux) using Phase Doppler Anemometry (PDA) technique. In addition the two new areas of focus for CO2 aerosol cleaning i.e. pellicle glue residue removal on optical masks, and ruthenium (Ru) film on EUV masks are presented. Usually, the residue left over after the pellicle

  1. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    Science.gov (United States)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  2. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Banine, V Y; Osorio, E A

    2015-01-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure. (fast track communication)

  3. EUV FLICKERING OF SOLAR CORONAL LOOPS: A NEW DIAGNOSTIC OF CORONAL HEATING

    Energy Technology Data Exchange (ETDEWEB)

    Tajfirouze, E.; Reale, F.; Peres, G. [Dipartimento di Fisica e Chimica, Università di Palermo, Piazza del Parlamento 1, I-90134 (Italy); Testa, P., E-mail: reale@astropa.unipa.it [Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States)

    2016-02-01

    A previous work of ours found the best agreement between EUV light curves observed in an active region core (with evidence of super-hot plasma) and those predicted from a model with a random combination of many pulse-heated strands with a power-law energy distribution. We extend that work by including spatially resolved strand modeling and by studying the evolution of emission along the loops in the EUV 94 Å and 335 Å channels of the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. Using the best parameters of the previous work as the input of the present one, we find that the amplitude of the random fluctuations driven by the random heat pulses increases from the bottom to the top of the loop in the 94 Å channel and from the top to the bottom in the 335 Å channel. This prediction is confirmed by the observation of a set of aligned neighboring pixels along a bright arc of an active region core. Maps of pixel fluctuations may therefore provide easy diagnostics of nanoflaring regions.

  4. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  5. Enhancement of the EUV emission of a metallic capillary discharge operated with argon ambient gas

    Energy Technology Data Exchange (ETDEWEB)

    Chan, L. S., E-mail: lschan1982@yahoo.com; Tan, D., E-mail: lschan1982@yahoo.com; Saboohi, S., E-mail: lschan1982@yahoo.com; Yap, S. L., E-mail: lschan1982@yahoo.com; Wong, C. S., E-mail: lschan1982@yahoo.com [Plasma Technology Research Centre, Physics Department, University of Malaya, 50603 Kuala Lumpur (Malaysia)

    2014-03-05

    In this work, the metallic capillary discharge is operated with two different ambients: air and argon. In the experiments reported here, the chamber is first evacuated to 10{sup −5} mbar. The discharge is initiated by the transient hollow cathode effect generated electron beam, with either air ambient or argon ambient at 10{sup −4} mbar. The bombardment of electron beam at the tip of the stainless steel anode gives rise to a metallic vapor, which is injected into the capillary and initiates the main discharge through the capillary. The EUV emission is measured for different discharge voltages for both conditions and compared. It is found that the metallic capillary discharge with argon ambientis able to produce higher EUV energy compared to that with air ambient.

  6. Rocket-borne EUV-visible emission measurements

    International Nuclear Information System (INIS)

    Schmidtke, G.; Baker, K.D.; Stasek, G.

    1982-01-01

    Two rocket-borne experiments for measuring EUV atmospheric emissions have been conducted. The first measured emissions at 391.4 nm and 557.7 nm, and the second measured emissions in the range from 50 to 650 nm. Height profiles of selected auroral emissions from atomic oxygen at 130.4 nm (exhibiting resonant radiation diffusion) and from atomic oxygen at 557.7 nm, and from neutral and ionized molecular nitrogen are shown. Some details of the recorded spectra are given. In the shorter wavelength regions, emissions from atomic oxygen and nitrogen dominate. Over 140 nm, Lyman-Birge-Hopfield bands, second positive bands and Vegard-Kaplan bands of molecular nitrogen contribute most strongly except for some atomic lines. The Lyman-Birge-Hopfield bands of molecular nitrogen are relatively weak during the auroral arc as compared to the diffuse aurora

  7. High-repetition intra-cavity source of Compton radiation

    International Nuclear Information System (INIS)

    Pogorelsky, I; Polyanskiy, M; Agustsson, R; Campese, T; Murokh, A; Ovodenko, A; Shaftan, T

    2014-01-01

    We report our progress in developing a high-power Compton source for a diversity of applications ranging from university-scale compact x-ray light sources and metrology tools for EUV lithography, to high-brilliance gamma-sources for nuclear analysis. Our conceptual approach lies in multiplying the source’s repetition rate and increasing its average brightness by placing the Compton interaction point inside the optical cavity of an active laser. We discuss considerations in its design, our simulations, and tests of the laser’s cavity that confirm the feasibility of the proposed concept. (paper)

  8. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    Science.gov (United States)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  9. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  10. EUV actinic defect inspection and defect printability at the sub-32 nm half pitch

    Energy Technology Data Exchange (ETDEWEB)

    Huh, Sungmin; Kearney, Patrick; Wurm, Stefan; Goodwin, Frank; Han, Hakseung; Goldberg, Kenneth; Mochi, Iacopp; Gullikson, Eric M.

    2009-08-01

    Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.

  11. Method for the manufacture of phase shifting masks for EUV lithography

    Science.gov (United States)

    Stearns, Daniel G.; Sweeney, Donald W.; Mirkarimi, Paul B.; Barty, Anton

    2006-04-04

    A method for fabricating an EUV phase shift mask is provided that includes a substrate upon which is deposited a thin film multilayer coating that has a complex-valued reflectance. An absorber layer or a buffer layer is attached onto the thin film multilayer, and the thickness of the thin film multilayer coating is altered to introduce a direct modulation in the complex-valued reflectance to produce phase shifting features.

  12. Atomic structure calculations and identification of EUV and SXR spectral lines in Sr XXX

    International Nuclear Information System (INIS)

    Goyal, Arun; Khatri, Indu; Aggarwal, Sunny; Singh, A.K.; Mohan, Man

    2015-01-01

    We report an extensive theoretical study of atomic data for Sr XXX in a wide range with L-shell electron excitations to the M-shell. We have calculated energy levels, wave-function compositions and lifetimes for lowest 113 fine structure levels and wavelengths of an extreme Ultraviolet (EUV) and soft X-ray (SXR) transitions. We have employed multi-configuration Dirac Fock method (MCDF) approach within the framework of Dirac–Coulomb Hamiltonian including quantum electrodynamics (QED) and Breit corrections. We have also presented the radiative data for electric and magnetic dipole (E1, M1) and quadrupole (E2, M2) transitions from the ground state. We have made comparisons with available energy levels compiled by NIST and achieve good agreement. But due to inadequate data in the literature, analogous relativistic distorted wave calculations have also been performed using flexible atomic code (FAC) to assess the reliability and accuracy of our results. Additionally, we have provided new atomic data for Sr XXX which is not published elsewhere in the literature and we believe that our results may be beneficial in fusion plasma research and astrophysical investigations and applications. - Highlights: • 113 Lowest levels for Sr XXX are calculated. • Extreme Ultraviolet (EUV) and soft-X ray (SXR) spectral lines are identified. • Wavelengths of EUV and SXR spectral lines are reported. • E1, E2, M1 and M2 transition rates, oscillator strengths and lines strengths for lowest 113 levels are presented. • Lifetimes for lowest 113 fine structure levels are provided

  13. Reflectivity and surface roughness of multilayer-coated substrate recovery layers for EUV lithographic optics

    NARCIS (Netherlands)

    Nedelcu, I.; van de Kruijs, R.W.E.; Yakshin, A. E.; von Blanckenhagen, G.; F. Bijkerk,

    2008-01-01

    We investigated the use of separation, or substrate recovery, layers (SRLs), to enable the reuse of optical substrates after the deposition of multilayer reflective coatings, in particular Mo/Si multilayers as used for EUV lithography. An organic material (polyimide), known from other work to reduce

  14. EUV observations of the active Sun from the Havard experiment on ATM

    International Nuclear Information System (INIS)

    Noyes, R.W.; Foukal, P.V.; Huber, M.C.E.; Reeves, E.M.; Schmahl, E.J.; Timothy, J.G.; Vernazza, J.E.; Withbroe, G.L.

    1975-01-01

    The authors review some preliminary results from the Harvard College Observatory Extreme Ultraviolet Spectroheliometer on ATM that pertain to solar activity. The results reviewed are described in more detail in other papers referred to in the text. They first describe the instrument and its capabilities, and then turm to results on active regions, sunspots, flares, EUV bright points, coronal holes, and prominences. (Auth.)

  15. MULTI-VIEWPOINT OBSERVATIONS OF A WIDELY DISTRIBUTED SOLAR ENERGETIC PARTICLE EVENT: THE ROLE OF EUV WAVES AND WHITE-LIGHT SHOCK SIGNATURES

    Energy Technology Data Exchange (ETDEWEB)

    Kouloumvakos, A.; Patsourakos, S.; Nindos, A. [Section of Astrogeophysics, Department of Physics, University of Ioannina, 45110 Ioannina (Greece); Vourlidas, A. [The Johns Hopkins University Applied Physics Laboratory, Laurel, MD 20723 (United States); Anastasiadis, A.; Sandberg, I. [Institute for Astronomy, Astrophysics, Space Applications and Remote Sensing, National Observatory of Athens, 15236 Penteli (Greece); Hillaris, A. [Section of Astrophysics, Astronomy and Mechanics, Department of Physics, National and Kapodistrian University of Athens, 15783 Athens (Greece)

    2016-04-10

    On 2012 March 7, two large eruptive events occurred in the same active region within 1 hr from each other. Each consisted of an X-class flare, a coronal mass ejection (CME), an extreme-ultraviolet (EUV) wave, and a shock wave. The eruptions gave rise to a major solar energetic particle (SEP) event observed at widely separated (∼120°) points in the heliosphere. From multi-viewpoint energetic proton recordings we determine the proton release times at STEREO B and A (STB, STA) and the first Lagrange point (L1) of the Sun–Earth system. Using EUV and white-light data, we determine the evolution of the EUV waves in the low corona and reconstruct the global structure and kinematics of the first CME’s shock, respectively. We compare the energetic proton release time at each spacecraft with the EUV waves’ arrival times at the magnetically connected regions and the timing and location of the CME shock. We find that the first flare/CME is responsible for the SEP event at all three locations. The proton release at STB is consistent with arrival of the EUV wave and CME shock at the STB footpoint. The proton release time at L1 was significantly delayed compared to STB. Three-dimensional modeling of the CME shock shows that the particle release at L1 is consistent with the timing and location of the shock’s western flank. This indicates that at L1 the proton release did not occur in low corona but farther away from the Sun. However, the extent of the CME shock fails to explain the SEP event observed at STA. A transport process or a significantly distorted interplanetary magnetic field may be responsible.

  16. Interface morphology of Mo/Si multilayer systems with varying Mo layer thickness studied by EUV diffuse scattering.

    Science.gov (United States)

    Haase, Anton; Soltwisch, Victor; Braun, Stefan; Laubis, Christian; Scholze, Frank

    2017-06-26

    We investigate the influence of the Mo-layer thickness on the EUV reflectance of Mo/Si mirrors with a set of unpolished and interface-polished Mo/Si/C multilayer mirrors. The Mo-layer thickness is varied in the range from 1.7 nm to 3.05 nm. We use a novel combination of specular and diffuse intensity measurements to determine the interface roughness throughout the multilayer stack and do not rely on scanning probe measurements at the surface only. The combination of EUV and X-ray reflectivity measurements and near-normal incidence EUV diffuse scattering allows to reconstruct the Mo layer thicknesses and to determine the interface roughness power spectral density. The data analysis is conducted by applying a matrix method for the specular reflection and the distorted-wave Born approximation for diffuse scattering. We introduce the Markov-chain Monte Carlo method into the field in order to determine the respective confidence intervals for all reconstructed parameters. We unambiguously detect a threshold thickness for Mo in both sample sets where the specular reflectance goes through a local minimum correlated with a distinct increase in diffuse scatter. We attribute that to the known appearance of an amorphous-to-crystallization transition at a certain thickness threshold which is altered in our sample system by the polishing.

  17. A Recirculating Linac-Based Facility for Ultrafast X-Ray Science

    International Nuclear Information System (INIS)

    Corlett, J. N.; Barletta, W. A.; DeSantis, S.; Doolittle, L.; Fawley, W. M.; Green, M.A.; Heimann, P.; Leone, S.; Lidia, S.; Li, D.; Ratti, A.; Robinson, K.; Schoenlein, R.; Staples, J.; Wan, W.; Wells, R.; Wolski, A.; Zholents, A.; Parmigiani, F.; Placidi, M.; Pirkl, W.; Rimmer, R. A.; Wang, S.

    2003-01-01

    We present an updated design for a proposed source of ultra-fast synchrotron radiation pulses based on a recirculating superconducting linac [1,2], in particular the incorporation of EUV and soft x-ray production. The project has been named LUX--Linac-based Ultrafast X-ray facility. The source produces intense x-ray pulses with duration of 10-100 fs at a 10 kHz repetition rate, with synchronization of 10's fs, optimized for the study of ultra-fast dynamics. The photon range covers the EUV to hard x-ray spectrum by use of seeded harmonic generation in undulators, and a specialized technique for ultra-short pulse photon production in the 1-10 keV range. High brightness rf photocathodes produce electron bunches which are optimized either for coherent emission in free electron lasers, or to provide a large x/y emittance ration and small vertical emittance which allows for manipulation to produce short-pulse hard x-rays. An injector linac accelerates the beam to 120 MeV, and is followed by f our passes through a 600-720 MeV recirculating linac. We outline the major technical components of the proposed facility

  18. The comparative effect of FUV, EUV and X-ray disc photoevaporation on gas giant separations

    Science.gov (United States)

    Jennings, Jeff; Ercolano, Barbara; Rosotti, Giovanni P.

    2018-04-01

    Gas giants' early (≲ 5 Myr) orbital evolution occurs in a disc losing mass in part to photoevaporation driven by high energy irradiance from the host star. This process may ultimately overcome viscous accretion to disperse the disc and halt migrating giants by starving their orbits of gas, imprinting on giant planet separations in evolved systems. Inversion of this distribution could then give insight into whether stellar FUV, EUV or X-ray flux dominates photoevaporation, constraining planet formation and disc evolution models. We use a 1D hydrodynamic code in population syntheses for gas giants undergoing Type II migration in a viscously evolving disc subject to either a primarily FUV, EUV or X-ray flux from a pre-solar T Tauri star. The photoevaporative mass loss profile's unique peak location and width in each energetic regime produces characteristic features in the distribution of giant separations: a severe dearth of ≲ 2 MJ planets interior to 5 AU in the FUV scenario, a sharp concentration of ≲ 3 MJ planets between ≈1.5 - 2 AU in the EUV case, and a relative abundance of ≈2 - 3.5 MJ giants interior to 0.5 AU in the X-ray model. These features do not resemble the observational sample of gas giants with mass constraints, though our results do show some weaker qualitative similarities. We thus assess how the differing photoevaporative profiles interact with migrating giants and address the effects of large model uncertainties as a step to better connect disc models with trends in the exoplanet population.

  19. Using synchrotron light to accelerate EUV resist and mask materials learning

    Science.gov (United States)

    Naulleau, Patrick; Anderson, Christopher N.; Baclea-an, Lorie-Mae; Denham, Paul; George, Simi; Goldberg, Kenneth A.; Jones, Gideon; McClinton, Brittany; Miyakawa, Ryan; Mochi, Iacopo; Montgomery, Warren; Rekawa, Seno; Wallow, Tom

    2011-03-01

    As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT). Utilizing Lawrence Berkeley National Laboratory's Advanced Light Source facility as the light source, these tools benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible with commercial tools. The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained. Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the CAR champion from 2008 remains as the highest performing CAR tested to date. To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm half pitch.

  20. Spectral calibration of filters and detectors of solar EUV telescope for 13.2 nm for the TESIS experiment

    International Nuclear Information System (INIS)

    Kuzin, S.V.; Shestov, S.V.; Pertsov, A.A.; Reva, A.A.; Zuev, S.Yu.; Lopatin, A.Ya.; Luchin, V.I.; Zhou, Kh.; Khuo, T.

    2008-01-01

    The full-sun EUV telescope for 13.2 nm spectral band for the TESIS experiment is designed to produce images of hot coronal plasma (T ∼ 10 MK). Calibration process of optical elements is presented. Spectral transmission of multilayer Zr/Si filters, sensitivity and radiation tolerance of CCD detector have been measured. Peak transmission of EUV filters in working, spectral band reaches 40-50% (filters with 50 and 55 layers are used), spectral dependence of transmission is close to calculated one. Transmission of filters in white light is equal to (1-2)x10 -6 . Sensitivity of CCD ranges from 0.01 to 0.1 ADC units per photon, radiation tolerance is better than 10 9 rad [ru

  1. Resist-based measurement of contrast transfer function in a 0.3-NA microfield optic

    International Nuclear Information System (INIS)

    Cain, Jason P.; Naulleau, Patrick; Spanos, Costas J.

    2005-01-01

    Although extreme ultraviolet (EUV) lithography offers the possibility of very high-resolution patterning, the projection optics must be of extremely high quality in order to meet this potential. One key metric of the projection optic quality is the contrast transfer function (CTF), which is a measure of the aerial image contrast as a function of pitch. A static microfield exposure tool based on the 0.3-NA MET optic and operating at a wavelength of 13.5 nm has been installed at the Advanced Light Source, a synchrotron facility at the Lawrence Berkeley National Laboratory. This tool provides a platform for a wide variety of research into EUV lithography. In this work we present resist-based measurements of the contrast transfer function for the MET optic. These measurements are based upon line/space patterns printed in several different EUV photoresists. The experimental results are compared with the CTF in aerial-image simulations using the aberrations measured in the projection optic using interferometry. In addition, the CTF measurements are conducted for both bright-field and dark-field mask patterns. Finally, the orientation dependence of the CTF is measured in order to evaluate the effect of non-rotationally symmetric lens aberrations. These measurements provide valuable information in interpreting the results of other experiments performed using the MET and similar systems

  2. Characteristics of extreme ultraviolet emission from high-Z plasmas

    International Nuclear Information System (INIS)

    Ohashi, H.; Higashiguchi, T.; Suzuki, Y.; Kawasaki, M.; Suzuki, C.; Tomita, K.; Nishikino, M.; Fujioka, S.; Endo, A.; Li, B.; Otsuka, T.; Dunne, P.; O'Sullivan, G.

    2016-01-01

    We demonstrate the extreme ultraviolet (EUV) and soft x-ray sources in the 2 to 7 nm spectral region related to the beyond EUV (BEUV) question at 6.x nm and the water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays (UTAs), extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on high-Z plasma UTA source, coupled to multilayer mirror optics. (paper)

  3. Characteristics of extreme ultraviolet emission from high-Z plasmas

    Science.gov (United States)

    Ohashi, H.; Higashiguchi, T.; Suzuki, Y.; Kawasaki, M.; Suzuki, C.; Tomita, K.; Nishikino, M.; Fujioka, S.; Endo, A.; Li, B.; Otsuka, T.; Dunne, P.; O'Sullivan, G.

    2016-03-01

    We demonstrate the extreme ultraviolet (EUV) and soft x-ray sources in the 2 to 7 nm spectral region related to the beyond EUV (BEUV) question at 6.x nm and the water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays (UTAs), extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on high-Z plasma UTA source, coupled to multilayer mirror optics.

  4. CORONAL MAGNETIC FIELDS DERIVED FROM SIMULTANEOUS MICROWAVE AND EUV OBSERVATIONS AND COMPARISON WITH THE POTENTIAL FIELD MODEL

    Energy Technology Data Exchange (ETDEWEB)

    Miyawaki, Shun; Nozawa, Satoshi [Department of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Iwai, Kazumasa; Shibasaki, Kiyoto [Nobeyama Solar Radio Observatory, National Astronomical Observatory of Japan, Minamimaki, Nagano 384-1305 (Japan); Shiota, Daikou, E-mail: shunmi089@gmail.com [Solar-Terrestrial Environment Laboratory, Nagoya University, Nagoya, Aichi 464-8601 (Japan)

    2016-02-10

    We estimated the accuracy of coronal magnetic fields derived from radio observations by comparing them to potential field calculations and the differential emission measure measurements using EUV observations. We derived line-of-sight components of the coronal magnetic field from polarization observations of the thermal bremsstrahlung in the NOAA active region 11150, observed around 3:00 UT on 2011 February 3 using the Nobeyama Radioheliograph at 17 GHz. Because the thermal bremsstrahlung intensity at 17 GHz includes both chromospheric and coronal components, we extracted only the coronal component by measuring the coronal emission measure in EUV observations. In addition, we derived only the radio polarization component of the corona by selecting the region of coronal loops and weak magnetic field strength in the chromosphere along the line of sight. The upper limits of the coronal longitudinal magnetic fields were determined as 100–210 G. We also calculated the coronal longitudinal magnetic fields from the potential field extrapolation using the photospheric magnetic field obtained from the Helioseismic and Magnetic Imager. However, the calculated potential fields were certainly smaller than the observed coronal longitudinal magnetic field. This discrepancy between the potential and the observed magnetic field strengths can be explained consistently by two reasons: (1) the underestimation of the coronal emission measure resulting from the limitation of the temperature range of the EUV observations, and (2) the underestimation of the coronal magnetic field resulting from the potential field assumption.

  5. Uncooled Radiation Hard Large Area SiC X-ray and EUV Detectors and 2D Arrays, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — This project seeks to design, fabricate, characterize and commercialize large area, uncooled and radiative hard 4H-SiC EUV ? soft X-ray detectors capable of ultra...

  6. Actinic inspection of EUV reticles with arbitrary pattern design

    Science.gov (United States)

    Mochi, Iacopo; Helfenstein, Patrick; Rajeev, Rajendran; Fernandez, Sara; Kazazis, Dimitrios; Yoshitake, Shusuke; Ekinci, Yasin

    2017-10-01

    The re ective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic mask inspection capabilities for defects and patterns with high resolution and high throughput, for 7 nm node and beyond. Here we, will report on our progress and present the results on programmed defect detection on random, logic-like patterns. The defects we investigated range from 200 nm to 50 nm size on the mask. We demonstrated the ability of RESCAN to detect these defects in die-to-die and die-to-database mode with a high signal to noise ratio. We also describe future plans for the upgrades to increase the resolution, the sensitivity, and the inspection speed of the demo tool.

  7. Gibbsian segregating alloys driven by thermal and concentration gradients: A potential grazing collector optics used in EUV lithography

    Science.gov (United States)

    Qiu, Huatan

    A critical issue for EUV lithography is the minimization of collector degradation from intense plasma erosion and debris deposition. Reflectivity and lifetime of the collector optics will be heavily dependent on surface chemistry interactions between fuels and various mirror materials, in addition to high-energy ion and neutral particle erosion effects. An innovative Gibbsian segregation (GS) concept has been developed for being a self-healing, erosion-resistant collector optics. A Mo-Au GS alloy is developed on silicon using a DC dual-magnetron co-sputtering system in order for enhanced surface roughness properties, erosion resistance, and self-healing characteristics to maintain reflectivity over a longer period of mirror lifetime. A thin Au segregating layer will be maintained through segregation during exposure, even though overall erosion is taking place. The reflective material, Mo, underneath the segregating layer will be protected by this sacrificial layer which is lost due to preferential sputtering. The two dominant driving forces, thermal (temperature) and surface concentration gradient (surface removal flux), are the focus of this work. Both theoretical and experimental efforts have been performed to prove the effectiveness of the GS alloy used as EUV collection optics, and to elucidate the underlying physics behind it. The segregation diffusion, surface balance, erosion, and in-situ reflectivity will be investigated both qualitatively and quantitatively. Results show strong enhancement effect of temperature on GS performance, while only a weak effect of surface removal rate on GS performance. When equilibrium between GS and erosion is reached, the surface smoothness could be self-healed and reflectivity could be maintained at an equilibrium level, instead of continuously dropping down to an unacceptable level as conventional optic mirrors behave. GS process also shows good erosion resistance. The effectiveness of GS alloy as EUV mirror is dependent on

  8. PERSISTENCE MAPPING USING EUV SOLAR IMAGER DATA

    Energy Technology Data Exchange (ETDEWEB)

    Thompson, B. J. [NASA Goddard Space Flight Center, Code 671, Greenbelt, MD 20771 (United States); Young, C. A., E-mail: barbara.j.thompson@nasa.gov [NASA Goddard Space Flight Center, Code 670, Greenbelt, MD 20771 (United States)

    2016-07-01

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call “Persistence Mapping,” to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or “time-lapse” imaging uses the full sample (of size N ), Persistence Mapping rejects ( N − 1)/ N of the data set and identifies the most relevant 1/ N values using the following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.

  9. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik; Ouyang, Christine; Krysak, Marie; Trikeriotis, Markos; Cho, Kyoungyoung; Giannelis, Emmanuel P.; Ober, Christopher K.

    2013-01-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  10. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik

    2013-04-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  11. Extreme-UV electrical discharge source

    Science.gov (United States)

    Fornaciari, Neal R.; Nygren, Richard E.; Ulrickson, Michael A.

    2002-01-01

    An extreme ultraviolet and soft x-ray radiation electric capillary discharge source that includes a boron nitride housing defining a capillary bore that is positioned between two electrodes one of which is connected to a source of electric potential can generate a high EUV and soft x-ray radiation flux from the capillary bore outlet with minimal debris. The electrode that is positioned adjacent the capillary bore outlet is typically grounded. Pyrolytic boron nitride, highly oriented pyrolytic boron nitride, and cubic boron nitride are particularly suited. The boron nitride capillary bore can be configured as an insert that is encased in an exterior housing that is constructed of a thermally conductive material. Positioning the ground electrode sufficiently close to the capillary bore outlet also reduces bore erosion.

  12. Temperature and EUV Intensity in a Coronal Prominence Cavity and Streamer

    Science.gov (United States)

    Kucera, T. A.; Gibson, S.E.; Schmit, D. J.; Landi, E.; Tripathi, D.

    2012-01-01

    We analyze the temperature and EUV line emission of a coronal cavity and surrounding streamer in terms of a morphological forward model. We use a series of iron line ratios observed with the Hinode Extreme-ultraviolet Imaging Spectrograph (EIS) on 2007 Aug. 9 to constrain temperature as a function of altitude in a morphological forward model of the streamer and cavity. We also compare model prediction of the EIS EUV line intensities and polarized brightness (pB) data from the Mauna Loa Solar Observatory (MLSO) MK4. This work builds on earlier analysis using the same model to determine geometry of and density in the same cavity and streamer. The fit to the data with altitude dependent temperature profiles indicates that both the streamer and cavity have temperatures in the range 1.4-1.7 MK. However, the cavity exhibits substantial substructure such that the altitude dependent temperature profile is not sufficient to completely model conditions in the cavity. Coronal prominence cavities are structured by magnetism so clues to this structure are to be found in their plasma properties. These temperature substructures are likely related to structures in the cavity magnetic field. Furthermore, we find that the model overestimates the line intensities by a factor of 4-10, while overestimating pB data by no more than a factor of 1.4. One possible explanation for this is that there may be a significant amount of material at temperatures outside of the range log T(K) approximately equals 5.8 - 6.7 in both the cavity and the streamer.

  13. A novel technique to measure intensity fluctuations in EUV images and to detect coronal sound waves nearby active regions

    Science.gov (United States)

    Stenborg, G.; Marsch, E.; Vourlidas, A.; Howard, R.; Baldwin, K.

    2011-02-01

    Context. In the past years, evidence for the existence of outward-moving (Doppler blue-shifted) plasma and slow-mode magneto-acoustic propagating waves in various magnetic field structures (loops in particular) in the solar corona has been found in ultraviolet images and spectra. Yet their origin and possible connection to and importance for the mass and energy supply to the corona and solar wind is still unclear. There has been increasing interest in this problem thanks to the high-resolution observations available from the extreme ultraviolet (EUV) imagers on the Solar TErrestrial RElationships Observatory (STEREO) and the EUV spectrometer on the Hinode mission. Aims: Flows and waves exist in the corona, and their signatures appear in EUV imaging observations but are extremely difficult to analyse quantitatively because of their weak intensity. Hence, such information is currently available mostly from spectroscopic observations that are restricted in their spatial and temporal coverage. To understand the nature and origin of these fluctuations, imaging observations are essential. Here, we present measurements of the speed of intensity fluctuations observed along apparently open field lines with the Extreme UltraViolet Imagers (EUVI) onboard the STEREO mission. One aim of our paper is to demonstrate that we can make reliable kinematic measurements from these EUV images, thereby complementing and extending the spectroscopic measurements and opening up the full corona for such an analysis. Another aim is to examine the assumptions that lead to flow versus wave interpretation for these fluctuations. Methods: We have developed a novel image-processing method by fusing well established techniques for the kinematic analysis of coronal mass ejections (CME) with standard wavelet analysis. The power of our method lies with its ability to recover weak intensity fluctuations along individual magnetic structures at any orientation , anywhere within the full solar disk , and

  14. Heating mechanisms for intermittent loops in active region cores from AIA/SDO EUV observations

    Energy Technology Data Exchange (ETDEWEB)

    Cadavid, A. C.; Lawrence, J. K.; Christian, D. J. [Department of Physics and Astronomy, California State University Northridge, Northridge, CA 91330 (United States); Jess, D. B. [Astrophysics Research Centre, School of Mathematics and Physics, Queen' s University Belfast, Belfast BT7 1NN (United Kingdom); Nigro, G. [Universita della Calabria, Dipartimento di Fisica and Centro Nazionale Interuniversitario Struttura della Materia, Unita di Cosenza, I-87030 Arcavacata di Rende (Italy)

    2014-11-01

    We investigate intensity variations and energy deposition in five coronal loops in active region cores. These were selected for their strong variability in the AIA/SDO 94 Å intensity channel. We isolate the hot Fe XVIII and Fe XXI components of the 94 Å and 131 Å by modeling and subtracting the 'warm' contributions to the emission. HMI/SDO data allow us to focus on 'inter-moss' regions in the loops. The detailed evolution of the inter-moss intensity time series reveals loops that are impulsively heated in a mode compatible with a nanoflare storm, with a spike in the hot 131 Å signals leading and the other five EUV emission channels following in progressive cooling order. A sharp increase in electron temperature tends to follow closely after the hot 131 Å signal confirming the impulsive nature of the process. A cooler process of growing emission measure follows more slowly. The Fourier power spectra of the hot 131 Å signals, when averaged over the five loops, present three scaling regimes with break frequencies near 0.1 min{sup –1} and 0.7 min{sup –1}. The low frequency regime corresponds to 1/f noise; the intermediate indicates a persistent scaling process and the high frequencies show white noise. Very similar results are found for the energy dissipation in a 2D 'hybrid' shell model of loop magneto-turbulence, based on reduced magnetohydrodynamics, that is compatible with nanoflare statistics. We suggest that such turbulent dissipation is the energy source for our loops.

  15. Extreme ultraviolet narrow band emission from electron cyclotron resonance plasmas

    International Nuclear Information System (INIS)

    Zhao, H. Y.; Zhao, H. W.; Sun, L. T.; Zhang, X. Z.; Wang, H.; Ma, B. H.; Li, X. X.; Zhu, Y. H.; Sheng, L. S.; Zhang, G. B.; Tian, Y. C.

    2008-01-01

    Extreme ultraviolet lithography (EUVL) is considered as the most promising solution at and below dynamic random access memory 32 nm half pitch among the next generation lithography, and EUV light sources with high output power and sufficient lifetime are crucial for the realization of EUVL. However, there is no EUV light source completely meeting the requirements for the commercial application in lithography yet. Therefore, ECR plasma is proposed as a novel concept EUV light source. In order to investigate the feasibility of ECR plasma as a EUV light source, the narrow band EUV power around 13.5 nm emitted by two highly charged ECR ion sources--LECR2M and SECRAL--was measured with a calibrated EUV power measurement tool. Since the emission lines around 13.5 nm can be attributed to the 4d-5p transitions of Xe XI or the 4d-4f unresolved transition array of Sn VIII-XIII, xenon plasma was investigated. The dependence of the EUV throughput and the corresponding conversion efficiency on the parameters of the ion source, such as the rf power and the magnetic confinement configurations, were preliminarily studied

  16. Analysis of a Failed Eclipse Plasma Ejection Using EUV Observations

    Science.gov (United States)

    Tavabi, E.; Koutchmy, S.; Bazin, C.

    2018-03-01

    The photometry of eclipse white-light (W-L) images showing a moving blob is interpreted for the first time together with observations from space with the PRoject for On Board Autonomy (PROBA-2) mission (ESA). An off-limb event seen with great details in W-L was analyzed with the SWAP imager ( Sun Watcher using Active pixel system detector and image Processing) working in the EUV near 174 Å. It is an elongated plasma blob structure of 25 Mm diameter moving above the east limb with coronal loops under. Summed and co-aligned SWAP images are evaluated using a 20-h sequence, in addition to the 11 July, 2010 eclipse W-L images taken from several sites. The Atmospheric Imaging Assembly (AIA) instrument on board the Solar Dynamics Observatory (SDO) recorded the event suggesting a magnetic reconnection near a high neutral point; accordingly, we also call it a magnetic plasmoid. The measured proper motion of the blob shows a velocity up to 12 km s^{-1}. Electron densities of the isolated condensation (cloud or blob or plasmoid) are photometrically evaluated. The typical value is 108 cm^{-3} at r=1.7 R_{⊙}, superposed on a background corona of 107 cm^{-3} density. The mass of the cloud near its maximum brightness is found to be 1.6×10^{13} g, which is typically 0.6×10^{-4} of the overall mass of the corona. From the extrapolated magnetic field the cloud evolves inside a rather broad open region but decelerates, after reaching its maximum brightness. The influence of such small events for supplying material to the ubiquitous slow wind is noticed. A precise evaluation of the EUV photometric data, after accurately removing the stray light, suggests an interpretation of the weak 174 Å radiation of the cloud as due to resonance scattering in the Fe IX/X lines.

  17. Validation of the Earth atmosphere models using the EUV solar occultation data from the CORONAS and PROBA 2 instruments

    Science.gov (United States)

    Slemzin, Vladimir; Kuzin, Sergey; Berghmans, David; Pertsov, Andrey; Dominique, Marie; Ulyanov, Artyom; Gaikovich, Konstantin

    Absorption in the atmosphere below 500 km results in attenuation of the solar EUV flux, variation of its spectra and distortion of solar images acquired by solar EUV instruments operating on LEO satellites even on solar synchronous orbits. Occultation measurements are important for planning of solar observations from these satellites, and can be used for monitoring the upper atmosphere as well as for studying its response to the solar activity. We present the results of the occultation measurements of the solar EUV radiation obtained by the CORONAS-F/SPIRIT telescope at high solar activity (2002), by the CORONAS-Photon/TESIS telescope at low activity (2009), and by the SWAP telescope and LYRA radiometer onboard the PROBA 2 satellite at moderate activity (2010). The measured attenuation profiles and the retrieved linear extinction coefficients at the heights 200-500 km are compared with simulations by the NRLMSIS-00 and DTM2013 atmospheric models. It was shown that the results of simulations by the DTM2013 model are well agreed with the data of measurements at all stages of solar activity and in presence of the geomagnetic storm, whereas the results of the NRLMSISE-00 model significantly diverge from the measurements, in particular, at high and low activity. The research leading to these results has received funding from the European Union’s Seventh Programme for Research, Technological Development and Demonstration under Grant Agreement “eHeroes” (project No.284461, www.eheroes.eu).

  18. X ray and EUV spectroscopic measurements of highly charged tungsten ions relevant to fusion plasmas

    International Nuclear Information System (INIS)

    Radtke, R; Biedermann, C; Mandelbaum, P; Schwob, J L

    2007-01-01

    Using high-resolution x ray and extreme ultraviolet (EUV) spectrometry, the line emission of W 28+ - W 50+ ions was measured at the Berlin Electron Beam Ion Trap (EBIT). Our study encompasses a wide range of wavelengths (5-800 A) and includes the observation of electric and magnetic dipole lines. The results of our measurements are compared with predicted transition wavelengths from ab initioatomic structure calculations

  19. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    International Nuclear Information System (INIS)

    Kumar, Pankaj; Cho, Kyung-Suk; Nakariakov, Valery M.

    2015-01-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s −1 for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s −1 ). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed

  20. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Pankaj; Cho, Kyung-Suk [Korea Astronomy and Space Science Institute (KASI), Daejeon, 305-348 (Korea, Republic of); Nakariakov, Valery M., E-mail: pankaj@kasi.re.kr [Centre for Fusion, Space and Astrophysics, Department of Physics, University of Warwick, CV4 7AL (United Kingdom)

    2015-05-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s{sup −1} for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s{sup −1}). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed.

  1. EUV beam splitter for use in the wavelength region around 6 nm

    International Nuclear Information System (INIS)

    Takenaka, Hisataka; Ichimaru, Satoshi; Gullikson, E.M.

    2005-01-01

    Extreme ultraviolet (EUV) beam splitters for use at a wavelength of around 6 nm were fabricated. The designs were optimized for Cr/C multilayers and incident angles of 45 deg. and 80 deg. . Measurements revealed the reflectivity of a Cr/C beam splitter to be 3.3% and the transmittance to be 5.6% at a wavelength of 6.36 nm and an incident angle of 45 deg. . The reflectivity of a Cr/C beam splitter was 5.8% and the transmittance was 6.6% at a wavelength of 6.15 nm and an incident angle of 80 deg.

  2. Singapore Synchrotron Light Source - Status, first results, program

    CERN Document Server

    Moser, H O; Kempson, V C; Kong, J R; Li, Z W; Nyunt, T; Qian, H J; Rossmanith, R; Tor, P H; Wilhelmi, O; Yang, P; Zheng, H W; Underhay, I J

    2003-01-01

    The Singapore Synchrotron Light Source is a general-purpose synchrotron radiation facility serving research organisations and industry. Beamlines active or coming up within 2002 include lithography for micro/nanofabrication, phase contrast imaging, surface science, and X-ray diffraction and absorption. An infrared spectro/microscopy beamline is expected to become operational in 2003. Further beamlines are under discussion with user groups. The Microtron Undulator Radiation Facility (MURF) is under development to provide brilliant VUV radiation and to prepare for subsequent development of an EUV and X-ray FEL.

  3. Probing the Production of Extreme-ultraviolet Late-phase Solar Flares Using the Model Enthalpy-based Thermal Evolution of Loops

    Science.gov (United States)

    Dai, Yu; Ding, Mingde

    2018-04-01

    Recent observations in extreme-ultraviolet (EUV) wavelengths reveal an EUV late phase in some solar flares that is characterized by a second peak in warm coronal emissions (∼3 MK) several tens of minutes to a few hours after the soft X-ray (SXR) peak. Using the model enthalpy-based thermal evolution of loops (EBTEL), we numerically probe the production of EUV late-phase solar flares. Starting from two main mechanisms of producing the EUV late phase, i.e., long-lasting cooling and secondary heating, we carry out two groups of numerical experiments to study the effects of these two processes on the emission characteristics in late-phase loops. In either of the two processes an EUV late-phase solar flare that conforms to the observational criteria can be numerically synthesized. However, the underlying hydrodynamic and thermodynamic evolutions in late-phase loops are different between the two synthetic flare cases. The late-phase peak due to a long-lasting cooling process always occurs during the radiative cooling phase, while that powered by a secondary heating is more likely to take place in the conductive cooling phase. We then propose a new method for diagnosing the two mechanisms based on the shape of EUV late-phase light curves. Moreover, from the partition of energy input, we discuss why most solar flares are not EUV late flares. Finally, by addressing some other factors that may potentially affect the loop emissions, we also discuss why the EUV late phase is mainly observed in warm coronal emissions.

  4. Characterization of extreme ultraviolet emission from tin-droplets irradiated with Nd:YAG laser plasmas

    International Nuclear Information System (INIS)

    Aota, T; Nakai, Y; Fujioka, S; Shimomura, M; Nishimura, H; Nishihara, N; Miyanaga, N; Izawa, Y; Mima, K; Fujiwara, E

    2008-01-01

    EUV emission from spherical and planer targets were precisely characterized as an experimental database for use in EUV source generation at high repetition rates. In the single-shot base experiments, conversion efficiency as high as those for the plasma geometry has been demonstrated. The integrated experiment was made with 10 Hz plasma generation, obtained conversion efficiency is low mainly due to unstable positioning of the droplets

  5. Grazing incidence EUV study of the Alcator tokamaks

    International Nuclear Information System (INIS)

    Castracane, J.

    1982-01-01

    The use of impurity radiation to examine plasma conditions is a well known technique. To gain access, however, to the hot, central portion of the plasma created in the present confinement machines it is necessary to be able to observe radiation from medium and heavy elements such as molybdenum and iron. These impurities radiate primarily in the extreme ultra violet region of the spectrum and can play a role in the power balance of the tokamak. Radiation from highly ionized molybdenum was examined on the Alcator A and C tokamaks using a photometrically calibrated one meter grazing incidence monochromator. On Alcator A, a pseudo-continuum of Mo emissions in the 60 to 100 A ranges were seen to comprise 17% of the radiative losses from the plasma. This value closely matched measurements by a broad band bolometer array. Following these preliminary measurements, the monochromator was transferred to Alcator C for a more thorough examination of EUV emissions. Deviations from predicted scaling laws for energy confinement time vs density were observed on this machine

  6. RCI Simulation for EUV spectra from Sn ions

    International Nuclear Information System (INIS)

    Kagawa, T; Tanuma, H; Ohashi, H; Nishihara, K

    2007-01-01

    Using the relativistic-configuration-interaction atomic structure code, RCI simulations for EUV spectra from Sn 10+ , Sn 11+ and Sn 12+ ions are carried out, where it is assumed that each ion is embedded in a LTE plasma with the electron temperature of 30 eV. To make clear assignment of the measured spectra, the value of the excitation energy limit, which is introduced to limit the number of excited states in the simulation, is changed to see the excitation-energy-limit dependence of the spectral shape. The simulated spectra are obtained as a superposition of line intensities due to all possible transitions between two states whose excitation energy from the ground state is lower than the excitation energy limit assumed. The RCI simulated spectra are compared to the spectra measured with the chargeexchange- collision experiment in which a rare gas such as Xe or He as a target is bombarded by a charge-selected tin ion. Applicability of the LTE model to a decay model in the charge exchange collision experiment is also discussed

  7. Extreme ultraviolet lithography: A few more pieces of the puzzle

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, Christopher N. [Univ. of California, Berkeley, CA (United States)

    2009-05-20

    The work described in this dissertation has improved three essential components of extreme ultraviolet (EUV) lithography: exposure tools, photoresist, and metrology. Exposure tools. A field-averaging illumination stage is presented that enables nonuniform, high-coherence sources to be used in applications where highly uniform illumination is required. In an EUV implementation, it is shown that the illuminator achieves a 6.5% peak-to-valley intensity variation across the entire design field of view. In addition, a design for a stand-alone EUV printing tool capable of delivering 15 nm half-pitch sinusoidal fringes with available sources, gratings and nano-positioning stages is presented. It is shown that the proposed design delivers a near zero line-edge-rougness (LER) aerial image, something extremely attractive for the application of resist testing. Photoresist. Two new methods of quantifying the deprotection blur of EUV photoresists are described and experimentally demonstrated. The deprotection blur, LER, and sensitivity parameters of several EUV photoresists are quantified simultaneously as base weight percent, photoacid generator (PAG) weight percent, and post-exposure bake (PEB) temperature are varied. Two surprising results are found: (1) changing base weight percent does not significantly affect the deprotection blur of EUV photoresist, and (2) increasing PAG weight percent can simultaneously reduce LER and E-size in EUV photoresist. The latter result motivates the development of an EUV exposure statistics model that includes the effects of photon shot noise, the PAG spatial distribution, and the changing of the PAG distribution during the exposure. In addition, a shot noise + deprotection blur model is used to show that as deprotection blur becomes large relative to the size of the printed feature, LER reduction from improved counting statistics becomes dominated by an increase in LER due to reduced deprotection contrast. Metrology. Finally, this

  8. How to measure a-few-nanometer-small LER occurring in EUV lithography processed feature

    Science.gov (United States)

    Kawada, Hiroki; Kawasaki, Takahiro; Kakuta, Junichi; Ikota, Masami; Kondo, Tsuyoshi

    2018-03-01

    For EUV lithography features we want to decrease the dose and/or energy of CD-SEM's probe beam because LER decreases with severe resist-material's shrink. Under such conditions, however, measured LER increases from true LER, due to LER bias that is fake LER caused by random noise in SEM image. A gap error occurs between the right and the left LERs. In this work we propose new procedures to obtain true LER by excluding the LER bias from the measured LER. To verify it we propose a LER's reference-metrology using TEM.

  9. Impulsive EUV bursts observed in C IV with OSO-8

    International Nuclear Information System (INIS)

    Grant Athay, R.; White, O.R.; Lites, B.W.

    1980-01-01

    Time sequences of profiles of the lambda 1548 line of C IV containing 51 EUV bursts observed in or near active regions are analyzed to determine the brightness. Doppler shift and line broadening characteristics of the bursts. The bursts have mean lifetimes of approximately 150s, and mean increases in brightness at burst maximum of four-fold as observed with a field of view of 2'' x 20''. Mean burst diameters are estimated to be 3'', or smaller. All but three of the bursts show Doppler shift with velocities sometimes exceeding 75 km s -1 ; 31 are dominated by red shifts and 17 are dominated by blue shifts. Approximately half of the latter group have red-shifted precursors. We interpret the bursts as prominence material, such as surges and coronal rain, moving through the field of view of the spectrometer. (orig.)

  10. TIMED solar EUV experiment: preflight calibration results for the XUV photometer system

    Science.gov (United States)

    Woods, Thomas N.; Rodgers, Erica M.; Bailey, Scott M.; Eparvier, Francis G.; Ucker, Gregory J.

    1999-10-01

    The Solar EUV Experiment (SEE) on the NASA Thermosphere, Ionosphere, and Mesosphere Energetics and Dynamics (TIMED) mission will measure the solar vacuum ultraviolet (VUV) spectral irradiance from 0.1 to 200 nm. To cover this wide spectral range two different types of instruments are used: a grating spectrograph for spectra between 25 and 200 nm with a spectral resolution of 0.4 nm and a set of silicon soft x-ray (XUV) photodiodes with thin film filters as broadband photometers between 0.1 and 35 nm with individual bandpasses of about 5 nm. The grating spectrograph is called the EUV Grating Spectrograph (EGS), and it consists of a normal- incidence, concave diffraction grating used in a Rowland spectrograph configuration with a 64 X 1024 array CODACON detector. The primary calibrations for the EGS are done using the National Institute for Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF-III) in Gaithersburg, Maryland. In addition, detector sensitivity and image quality, the grating scattered light, the grating higher order contributions, and the sun sensor field of view are characterized in the LASP calibration laboratory. The XUV photodiodes are called the XUV Photometer System (XPS), and the XPS includes 12 photodiodes with thin film filters deposited directly on the silicon photodiodes' top surface. The sensitivities of the XUV photodiodes are calibrated at both the NIST SURF-III and the Physikalisch-Technische Bundesanstalt (PTB) electron storage ring called BESSY. The other XPS calibrations, namely the electronics linearity and field of view maps, are performed in the LASP calibration laboratory. The XPS and solar sensor pre-flight calibration results are primarily discussed as the EGS calibrations at SURF-III have not yet been performed.

  11. Development of high power pumping system for capillary discharge EUV laser

    International Nuclear Information System (INIS)

    Sakai, Yusuke; Komatsu, Takanori; Watanabe, Masato; Okino, Akitoshi; Hotta, Eiki

    2008-01-01

    Development of high power pumping system for capillary discharge soft X-ray laser is reported. The pulsed power system consists of a 2.2 μF LC generator, a 2:54 step-up transformer and a 3 nF water capacitor. Taking advantage of high efficiency configuration, step-up ratio of water capacitor voltage to LC generator initial voltage is about 40 times. Consequently, obtained water capacitor voltage reaches about 450 kV when LC generator was charged to 12.5 kV. As a consequent, possibility of charging a water capacitor to 1 MV is demonstrated. With this extremely compact system, discharge current could be increased to nearly 100 kA through moderately long capillary, which leads to generation of high-density and high-temperature plasma column in order to realize EUV laser. (author)

  12. Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography

    Science.gov (United States)

    van Setten, Eelco; Bottiglieri, Gerardo; de Winter, Laurens; McNamara, John; Rusu, Paul; Lubkoll, Jan; Rispens, Gijsbert; van Schoot, Jan; Neumann, Jens Timo; Roesch, Matthias; Kneer, Bernhard

    2017-10-01

    To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore's law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non

  13. Analysis of euv limb-brightening observations from ATM. I. Model for the transition layer and the corona

    Energy Technology Data Exchange (ETDEWEB)

    Mariska, J T; Withbroe, G L [Harvard Coll. Observatory, Cambridge, Mass. (USA)

    1975-09-01

    Limb-brightening curves for euv resonance lines of O VI and Mg X have been constructed from spectroheliograms (5 sec resolution) of quiet limb regions observed with the Harvard experiment on Skylab. The observations are interpreted with a simple model for the transition layer and the corona. A comparison of theoretical and observed limb-brightening curves indicates that the lower boundary of the corona, where T/sub e/ = 10/sup 6/K, is at a height of about 8000 km in typical quiet areas. For 1.01 R(sun) approximately = to or < r < 1.25(sun), the corona can be represented by a homogeneous model in hydrostatic equilibrium with a temperature of 10/sup 6/K for 1.01 R(sun) approximately = to or < r < 1.1 R(sun) and 1.1x10/sup 6/K for r > approximately = to 1.1 R(sun). The model for the transition layer is inhomogeneous, with the temperature gradient a factor of 3 shallower in the network than in the intranetwork regions. It appears that spicules should be included in the model in order to account for the penetration into the corona of cool (T/sub e/ < 10/sup 6/K) euv-emitting material to heights up to 20000 km above the limb.

  14. The EUV chromospheric network in the quiet Sun

    International Nuclear Information System (INIS)

    Reeves, E.M.

    1976-01-01

    Investigations on the structure and intensity of the chromospheric network from quiet solar regions have been carried out with EUV data obtained from the Harvard spectroheliometer on the Apollo Telescope Mount of Skylab. The distribution of intensities within supergranulation cell interiors follows a near normal function, where the standard deviation exceeds the value expected from the counting rate, which indicates fine-scale structure below the 5 arc sec resolution of the data. The intensities from the centers of supergranulation cells appear to be the same in both quiet regions and coronal holes, although the network is significantly different in the two types of regions. The average halfwidth of the network elements was measured as 10 arc sec, and was independent of the temperature of formation of the observing line for 3.8< logTsub(e)<5.8. The contrast between the network and the centers of cells is greatest for lines with logTsub(e)approximately5.2, where the network contributes approximately 75% of the intensity of quiet solar regions. The contrast and fractional intensity contributions decrease to higher and lower temperatures characteristic of the corona and chromosphere. (Auth.)

  15. Ultra-broadband ptychography with self-consistent coherence estimation from a high harmonic source

    Science.gov (United States)

    Odstrčil, M.; Baksh, P.; Kim, H.; Boden, S. A.; Brocklesby, W. S.; Frey, J. G.

    2015-09-01

    With the aim of improving imaging using table-top extreme ultraviolet sources, we demonstrate coherent diffraction imaging (CDI) with relative bandwidth of 20%. The coherence properties of the illumination probe are identified using the same imaging setup. The presented methods allows for the use of fewer monochromating optics, obtaining higher flux at the sample and thus reach higher resolution or shorter exposure time. This is important in the case of ptychography when a large number of diffraction patterns need to be collected. Our microscopy setup was tested on a reconstruction of an extended sample to show the quality of the reconstruction. We show that high harmonic generation based EUV tabletop microscope can provide reconstruction of samples with a large field of view and high resolution without additional prior knowledge about the sample or illumination.

  16. Sources of type III solar microwave bursts

    Directory of Open Access Journals (Sweden)

    Zhdanov D.A.

    2016-06-01

    Full Text Available Microwave fine structures allow us to study plasma evolution in an energy release region. The Siberian Solar Radio Telescope (SSRT is a unique instrument designed to examine fine structures at 5.7 GHz. A complex analysis of data from RATAN-600, 4–8 GHz spectropolarimeter, and SSRT, simultaneously with EUV data, made it possible to localize sources of III type microwave bursts in August 10, 2011 event within the entire frequency band of burst occurrence, as well as to determine the most probable region of primary energy release. To localize sources of III type bursts from RATAN-600 data, an original method for data processing has been worked out. At 5.7 GHz, the source of bursts was determined along two coordinates, whereas at 4.5, 4.7, 4.9, 5.1, 5.3, 5.5, and 6.0 GHz, their locations were identified along one coordinate. The size of the burst source at 5.1 GHz was found to be maximum as compared to those at other frequencies.

  17. Brilliant radiation sources by laser-plasma accelerators and optical undulators

    Energy Technology Data Exchange (ETDEWEB)

    Debus, Alexander

    2012-09-06

    This thesis investigates the use of high-power lasers for synchrotron radiation sources with high brilliance, from the EUV to the hard X-ray spectral range. Hereby lasers accelerate electrons by laser-wakefield acceleration (LWFA), act as optical undulators, or both. Experimental evidence shows for the first time that LWFA electron bunches are shorter than the driving laser and have a length scale comparable to the plasma wavelength. Furthermore, a first proof of principle experiment demonstrates that LWFA electrons can be exploited to generate undulator radiation. Building upon these experimental findings, as well as extensive numerical simulations of Thomson scattering, the theoretical foundations of a novel interaction geometry for laser-matter interaction are developed. This new method is very general and when tailored towards relativistically moving targets not being limited by the focusability (Rayleigh length) of the laser, while it does not require a waveguide. In a theoretical investigation of Thomson scattering, the optical analogue of undulator radiation, the limits of Thomson sources in scaling towards higher peak brilliances are highlighted. This leads to a novel method for generating brilliant, highly tunable X-ray sources, which is highly energy efficient by circumventing the laser Rayleigh limit through a novel traveling-wave Thomson scattering (TWTS) geometry. This new method suggests increases in X-ray photon yields of 2-3 orders of magnitudes using existing lasers and a way towards efficient, optical undulators to drive a free-electron laser. The results presented here extend far beyond the scope of this work. The possibility to use lasers as particle accelerators, as well as optical undulators, leads to very compact and energy efficient synchrotron sources. The resulting monoenergetic radiation of high brilliance in a range from extreme ultraviolet (EUV) to hard X-ray radiation is of fundamental importance for basic research, medical

  18. Brilliant radiation sources by laser-plasma accelerators and optical undulators

    International Nuclear Information System (INIS)

    Debus, Alexander

    2012-01-01

    This thesis investigates the use of high-power lasers for synchrotron radiation sources with high brilliance, from the EUV to the hard X-ray spectral range. Hereby lasers accelerate electrons by laser-wakefield acceleration (LWFA), act as optical undulators, or both. Experimental evidence shows for the first time that LWFA electron bunches are shorter than the driving laser and have a length scale comparable to the plasma wavelength. Furthermore, a first proof of principle experiment demonstrates that LWFA electrons can be exploited to generate undulator radiation. Building upon these experimental findings, as well as extensive numerical simulations of Thomson scattering, the theoretical foundations of a novel interaction geometry for laser-matter interaction are developed. This new method is very general and when tailored towards relativistically moving targets not being limited by the focusability (Rayleigh length) of the laser, while it does not require a waveguide. In a theoretical investigation of Thomson scattering, the optical analogue of undulator radiation, the limits of Thomson sources in scaling towards higher peak brilliances are highlighted. This leads to a novel method for generating brilliant, highly tunable X-ray sources, which is highly energy efficient by circumventing the laser Rayleigh limit through a novel traveling-wave Thomson scattering (TWTS) geometry. This new method suggests increases in X-ray photon yields of 2-3 orders of magnitudes using existing lasers and a way towards efficient, optical undulators to drive a free-electron laser. The results presented here extend far beyond the scope of this work. The possibility to use lasers as particle accelerators, as well as optical undulators, leads to very compact and energy efficient synchrotron sources. The resulting monoenergetic radiation of high brilliance in a range from extreme ultraviolet (EUV) to hard X-ray radiation is of fundamental importance for basic research, medical

  19. Accelerator based continuous neutron source.

    CERN Document Server

    Shapiro, S M; Ruggiero, A G

    2003-01-01

    Until the last decade, most neutron experiments have been performed at steady-state, reactor-based sources. Recently, however, pulsed spallation sources have been shown to be very useful in a wide range of neutron studies. A major review of neutron sources in the US was conducted by a committee chaired by Nobel laureate Prof. W. Kohn: ''Neutron Sources for America's Future-BESAC Panel on Neutron Sources 1/93''. This distinguished panel concluded that steady state and pulsed sources are complementary and that the nation has need for both to maintain a balanced neutron research program. The report recommended that both a new reactor and a spallation source be built. This complementarity is recognized worldwide. The conclusion of this report is that a new continuous neutron source is needed for the second decade of the 20 year plan to replace aging US research reactors and close the US neutron gap. it is based on spallation production of neutrons using a high power continuous superconducting linac to generate pr...

  20. The High-Resolution Lightweight Telescope for the EUV (HiLiTE)

    Energy Technology Data Exchange (ETDEWEB)

    Martinez-Galarce, D S; Boerner, P; Soufli, R; De Pontieu, B; Katz, N; Title, A; Gullikson, E M; Robinson, J C; Baker, S L

    2008-06-02

    The High-resolution Lightweight Telescope for the EUV (HiLiTE) is a Cassegrain telescope that will be made entirely of Silicon Carbide (SiC), optical substrates and metering structure alike. Using multilayer coatings, this instrument will be tuned to operate at the 465 {angstrom} Ne VII emission line, formed in solar transition region plasma at {approx}500,000 K. HiLiTE will have an aperture of 30 cm, angular resolution of {approx}0.2 arc seconds and operate at a cadence of {approx}5 seconds or less, having a mass that is about 1/4 that of one of the 20 cm aperture telescopes on the Atmospheric Imaging Assembly (AIA) instrument aboard NASA's Solar Dynamics Observatory (SDO). This new instrument technology thus serves as a path finder to a post-AIA, Explorer-class missions.

  1. Non-Potential Magnetic Fields and Magnetic Reconnection In Low Collisional Plasmas-Discovery of Solar EUV Mini-Sigmoids and Development of Novel In-Space Propulsion Systems

    Science.gov (United States)

    Chesny, David

    Magnetic reconnection is the source of many of the most powerful explosions of astrophysical plasmas in the universe. Blazars, magnetars, stellar atmospheres, and planetary magnetic fields have all been shown to be primary sites of strong reconnection events. For studying the fundamental physics behind this process, the solar atmosphere is our most accessible laboratory setting. Magnetic reconnection resulting from non-potential fields leads to plasma heating and particle acceleration, often in the form of explosive activity, contributing to coronal heating and the solar wind. Large-scale non-potential (sigmoid) fields in the solar atmosphere are poorly understood due to their crowded neighborhoods. For the first time, small-scale, non-potential loop structures have been observed in quiet Sun EUV observations. Fourteen unique mini-sigmoid events and three diffuse non-potential loops have been discovered, suggesting a multi-scaled self-similarity in the sigmoid formation process. These events are on the order of 10 arcseconds in length and do not appear in X-ray emissions, where large-scale sigmoids are well documented. We have discovered the first evidence of sigmoidal structuring in EUV bright point phenomena, which are prolific events in the solar atmosphere. Observations of these mini-sigmoids suggest that they are being formed via tether-cutting reconnection, a process observed to occur at active region scales. Thus, tether-cutting is suggested to be ubiquitous throughout the solar atmosphere. These dynamics are shown to be a function of the free magnetic energy in the quiet Sun network. Recently, the reconnection process has been reproduced in Earth-based laboratory tokamaks. Easily achievable magnetic field configurations can induce reconnection and result in ion acceleration. Here, magnetic reconnection is utilized as the plasma acceleration mechanism for a theoretical propulsion system. The theory of torsional spine reconnection is shown to result in ion

  2. Simultaneous EUV and radio observations of bidirectional plasmoids ejection during magnetic reconnection

    Science.gov (United States)

    Kumar, Pankaj; Cho, Kyung-Suk

    2013-09-01

    We present a multiwavelength study of the X-class flare, which occurred in active region (AR) NOAA 11339 on 3 November 2011. The extreme ultraviolet (EUV) images recorded by SDO/AIA show the activation of a remote filament (located north of the AR) with footpoint brightenings about 50 min prior to the flare's occurrence. The kinked filament rises up slowly, and after reaching a projected height of ~49 Mm, it bends and falls freely near the AR, where the X-class flare was triggered. Dynamic radio spectrum from the Green Bank Solar Radio Burst Spectrometer (GBSRBS) shows simultaneous detection of both positive and negative drifting pulsating structures (DPSs) in the decimetric radio frequencies (500-1200 MHz) during the impulsive phase of the flare. The global negative DPSs in solar flares are generally interpreted as a signature of electron acceleration related to the upward-moving plasmoids in the solar corona. The EUV images from AIA 94 Å reveal the ejection of multiple plasmoids, which move simultaneously upward and downward in the corona during the magnetic reconnection. The estimated speeds of the upward- and downward-moving plasmoids are ~152-362 and ~83-254 km s-1, respectively. These observations strongly support the recent numerical simulations of the formation and interaction of multiple plasmoids due to tearing of the current-sheet structure. On the basis of our analysis, we suggest that the simultaneous detection of both the negative and positive DPSs is most likely generated by the interaction or coalescence of the multiple plasmoids moving upward and downward along the current-sheet structure during the magnetic reconnection process. Moreover, the differential emission measure (DEM) analysis of the active region reveals a hot flux-rope structure (visible in AIA 131 and 94 Å) prior to the flare initiation and ejection of the multitemperature plasmoids during the flare impulsive phase. Movie is available in electronic form at http://www.aanda.org

  3. Plans for the extreme ultraviolet explorer data base

    Science.gov (United States)

    Marshall, Herman L.; Dobson, Carl A.; Malina, Roger F.; Bowyer, Stuart

    1988-01-01

    The paper presents an approach for storage and fast access to data that will be obtained by the Extreme Ultraviolet Explorer (EUVE), a satellite payload scheduled for launch in 1991. The EUVE telescopes will be operated remotely from the EUVE Science Operation Center (SOC) located at the University of California, Berkeley. The EUVE science payload consists of three scanning telescope carrying out an all-sky survey in the 80-800 A spectral region and a Deep Survey/Spectrometer telescope performing a deep survey in the 80-250 A spectral region. Guest Observers will remotely access the EUVE spectrometer database at the SOC. The EUVE database will consist of about 2 X 10 to the 10th bytes of information in a very compact form, very similar to the raw telemetry data. A history file will be built concurrently giving telescope parameters, command history, attitude summaries, engineering summaries, anomalous events, and ephemeris summaries.

  4. Spectroscopy for identification of plasma sources for lithography and water window imaging

    International Nuclear Information System (INIS)

    O'Sullivan, Gerry; Dunne, Padraig; Liu, Luning; Lokasani, Ragava; Long, Elaine; O'Reilly, Fergal; Sheridan, Paul; Sokell, Emma; Wu, Tao; Higashiguchi, Takeshi; Li, Bowen; Ohashi, Hayato; Suzuki, Chihiro

    2015-01-01

    The identification of sources for applications that include nanolithography, surface patterning and high resolution imaging is the focus of a considerable activity in the extreme ultraviolet (EUV) or soft x-ray (SXR) spectral regions. We report on the result of a study of the spectra from laser produced plasmas of a number of medium and high Z metals undertaken in order to identify potential sources for use with available multilayer mirrors. The main focus was the study of unresolved transition arrays emitted from ions with 3d, 4d and 4f valence subshells that emit strongly in the water window (2.34-4.38 nm).and that could be used for biological imaging or cell tomography. (paper)

  5. EUV patterning using CAR or MOX photoresist at low dose exposure for sub 36nm pitch

    Science.gov (United States)

    Thibaut, Sophie; Raley, Angélique; Lazarrino, Frederic; Mao, Ming; De Simone, Danilo; Piumi, Daniele; Barla, Kathy; Ko, Akiteru; Metz, Andrew; Kumar, Kaushik; Biolsi, Peter

    2018-04-01

    The semiconductor industry has been pushing the limits of scalability by combining 193nm immersion lithography with multi-patterning techniques for several years. Those integrations have been declined in a wide variety of options to lower their cost but retain their inherent variability and process complexity. EUV lithography offers a much desired path that allows for direct print of line and space at 36nm pitch and below and effectively addresses issues like cycle time, intra-level overlay and mask count costs associated with multi-patterning. However it also brings its own sets of challenges. One of the major barrier to high volume manufacturing implementation has been hitting the 250W power exposure required for adequate throughput [1]. Enabling patterning using a lower dose resist could help move us closer to the HVM throughput targets assuming required performance for roughness and pattern transfer can be met. As plasma etching is known to reduce line edge roughness on 193nm lithography printed features [2], we investigate in this paper the level of roughness that can be achieved on EUV photoresist exposed at a lower dose through etch process optimization into a typical back end of line film stack. We will study 16nm lines printed at 32 and 34nm pitch. MOX and CAR photoresist performance will be compared. We will review step by step etch chemistry development to reach adequate selectivity and roughness reduction to successfully pattern the target layer.

  6. High efficiency multilayer blazed gratings for EUV and soft X-rays: Recent developments

    International Nuclear Information System (INIS)

    Voronov, Dmitriy; Ahn, Minseung; Anderson, Erik; Cambie, Rossana; Chang, Chih-Hao; Goray, Leonid; Gullikson, Eric; Heilmann, Ralf; Salmassi, Farhad; Schattenburg, Mark; Warwick, Tony; Yashchuk, Valeriy; Padmore, Howard

    2011-01-01

    Multilayer coated blazed gratings with high groove density are the best candidates for use in high resolution EUV and soft x-ray spectroscopy. Theoretical analysis shows that such a grating can be potentially optimized for high dispersion and spectral resolution in a desired high diffraction order without significant loss of diffraction efficiency. In order to realize this potential, the grating fabrication process should provide a perfect triangular groove profile and an extremely smooth surface of the blazed facets. Here we report on recent progress achieved at the Advanced Light Source (ALS) in fabrication of high quality multilayer coated blazed gratings. The blazed gratings were fabricated using scanning beam interference lithography followed by wet anisotropic etching of silicon. A 200 nm period grating coated with a Mo/Si multilayer composed with 30 bi-layers demonstrated an absolute efficiency of 37.6percent in the 3rd diffraction order at 13.6 nm wavelength. The groove profile of the grating was thoroughly characterized with atomic force microscopy before and after the multilayer deposition. The obtained metrology data were used for simulation of the grating efficiency with the vector electromagnetic PCGrate-6.1 code. The simulations showed that smoothing of the grating profile during the multilayer deposition is the main reason for efficiency losses compared to the theoretical maximum. Investigation of the grating with cross-sectional transmission electron microscopy revealed a complex evolution of the groove profile in the course of the multilayer deposition. Impact of the shadowing and smoothing processes on growth of the multilayer on the surface of the sawtooth substrate is discussed.

  7. Breakout Reconnection Observed by the TESIS EUV Telescope

    Science.gov (United States)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V.

    2016-01-01

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R⊙ from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R⊙ above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s-1. At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5-4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario.

  8. A proposed new method for the determination of the solar irradiance at EUV wavelength range

    Science.gov (United States)

    Feldman, Uri; Doschek, G. A.; Seely, J. F.; Landi, E.; Dammasch, I.

    The solar irradiance in the far ultraviolet (FUV) and extreme ultraviolet (EUV) and its time variability are important inputs to geospace models. It provides the primary mechanism for heating the earth's upper atmosphere and creating the ionosphere. Understanding various space weather phenomena requires reliable detailed knowledge of the solar EUV irradiance. Ideally one would like to have a single well-calibrated, high-resolution spectrometer that can continuously monitor the solar irradiance over the relevant wavelengths range. Since this is much too difficult to accomplish, a number of monitoring instruments were constructed in the past, each covering a fraction of the required wavelength range. Assembling solar irradiance from measurements by a number of instruments is extremely difficult and is usually plagued by large uncertainties. To overcome some of the difficulties resulting from such procedures, empirical models have been developed that rely in large part on solar activity levels as proxies. In recent years a different approach has been established for the determination of the solar irradiance, an approach independent of irradiance observations. The new approach is based on the line intensities calculated from emission measure (EM) distributions across the solar surface. The EM distributions are derived from spatially and spectrally resolved measurements of line intensities and describe the temperature and density structure of the basic large scale features of the solar atmosphere, specifically coronal holes, quiet Sun, and active regions. Recently, as a result of detailed analysis of solar upper atmosphere (SUA) spectra recorded by SUMER/SoHO it was discovered that, in contrast to earlier beliefs, the solar EM in 3x105 -4x106 K plasmas does not appear to vary continuously with temperature as previously assumed. Instead it appears to be composed of isothermal structures where each can attain but one of the following four main temperatures: 5x105 , 9x105

  9. Analyses of the Sn IX-Sn XII spectra in the EUV region

    International Nuclear Information System (INIS)

    Churilov, S S; Ryabtsev, A N

    2006-01-01

    The Sn IX-Sn XII spectra excited in a vacuum spark have been analysed in the 130-160 A wavelength region. The analysis was based on the energy parameter extrapolation in the isonuclear Sn VI-VIII and Sn XIII-XIV sequence. 266 spectral lines belonging to the 4d m -(4d m-1 4f+4p 5 4d m+1 ) (m=6-3) transition arrays were classified in the Sn IX-Sn XII spectra for the first time. All 18 level energies of the 4d 3 configuration and 39 level energies of the strongly interacting 4d 2 4f and 4p 5 4d 4 configurations were established in the Sn XII spectrum. The energy differences between the majority of the 4d m levels and about 40 levels of the 4d m-1 4f+4p 5 4d m+1 configurations were determined in each of the Sn IX, Sn X and Sn XI spectra (m=6-4). As a result, all intense lines were classified in the 130-140 A region relevant to the extreme ultraviolet (EUV) lithography. It was shown that the most of the intense lines in the 2% bandwidth at 135 A belong to the transitions in the Sn XI-Sn XIII spectra

  10. EUV emission from Kr and Xe capillary discharge plasmas

    International Nuclear Information System (INIS)

    Juschkin, L.; Ellwi, S.; Kunze, H-J.; Chuvatin, A.; Zakharov, S.V.

    2002-01-01

    Kr and Xe plasmas are very intensive emitters in the spectral range of 100-150 A, which is relevant for a number of applications (for example microlithography). We present investigations of the extreme utraviolet (EUV) emission from a slow capillary discharge with Kr and Xe fillings. The emission of Kr ions (Kr VIII to Kr XI) within the range of 70-150 A consists of three bands of lines of about 10 A width with maxima at 116, 103 and 86 A. Xe emission bands of about 15 A width have their maxima at 136 and 115 A (Xe IX to Xe XII). The radiation duration in this spectral range is ∼150 ns for both elements. At the optimum conditions, the Kr emission at 103 A is 2-3 times more intense than the Xe emission at 136 A. The measured spectral energy of Kr radiation is about 0.1 J sr -1 A -1 . Experimental results are compared with numerical modellings of the dynamics and emission of the capillary discharge plasma, which enables the determination of plasma parameters and the future use of the codes as additional instruments for plasma diagnostics. (author)

  11. Kr photoionized plasma induced by intense extreme ultraviolet pulses

    Science.gov (United States)

    Bartnik, A.; Wachulak, P.; Fiedorowicz, H.; Skrzeczanowski, W.

    2016-04-01

    Irradiation of any gas with an intense EUV (extreme ultraviolet) radiation beam can result in creation of photoionized plasmas. The parameters of such plasmas can be significantly different when compared with those of the laser produced plasmas (LPP) or discharge plasmas. In this work, the photoionized plasmas were created in a krypton gas irradiated using an LPP EUV source operating at a 10 Hz repetition rate. The Kr gas was injected into the vacuum chamber synchronously with the EUV radiation pulses. The EUV beam was focused onto a Kr gas stream using an axisymmetrical ellipsoidal collector. The resulting low temperature Kr plasmas emitted electromagnetic radiation in the wide spectral range. The emission spectra were measured either in the EUV or an optical range. The EUV spectrum was dominated by emission lines originating from Kr III and Kr IV ions, and the UV/VIS spectra were composed from Kr II and Kr I lines. The spectral lines recorded in EUV, UV, and VIS ranges were used for the construction of Boltzmann plots to be used for the estimation of the electron temperature. It was shown that for the lowest Kr III and Kr IV levels, the local thermodynamic equilibrium (LTE) conditions were not fulfilled. The electron temperature was thus estimated based on Kr II and Kr I species where the partial LTE conditions could be expected.

  12. Fluid jet electric discharge source

    Science.gov (United States)

    Bender, Howard A [Ripon, CA

    2006-04-25

    A fluid jet or filament source and a pair of coaxial high voltage electrodes, in combination, comprise an electrical discharge system to produce radiation and, in particular, EUV radiation. The fluid jet source is composed of at least two serially connected reservoirs, a first reservoir into which a fluid, that can be either a liquid or a gas, can be fed at some pressure higher than atmospheric and a second reservoir maintained at a lower pressure than the first. The fluid is allowed to expand through an aperture into a high vacuum region between a pair of coaxial electrodes. This second expansion produces a narrow well-directed fluid jet whose size is dependent on the size and configuration of the apertures and the pressure used in the reservoir. At some time during the flow of the fluid filament, a high voltage pulse is applied to the electrodes to excite the fluid to form a plasma which provides the desired radiation; the wavelength of the radiation being determined by the composition of the fluid.

  13. Preliminary result on quantitative analysis using Zn-like tungsten EUV spectrum in Large Helical Device

    International Nuclear Information System (INIS)

    Morita, Shigeru; Dong, Chunfeng; Wang, Erhui

    2013-01-01

    Tungsten study through visible, vacuum ultraviolet (VUV) and extreme ultraviolet (EUV) spectroscopy has been recently started in Large Helical Device (LHD) for developing the diagnostic method in International Thermonuclear Experimental Reactor (ITER) and understanding the tungsten transport in helical system. In order to study the tungsten spectra from core plasmas of LHD, several tungsten spectra are observed in EUV range by injecting a carbon pellet with tungsten. Zn-like tungsten spectrum with 4p-4s transition is clearly identified at 60.9Å in high-temperature phase (T_e ≥ 2.3 keV) of NBI discharges in addition to several unresolved transition arrays with 6g-4f, 5g-4f, 5f-4d, 5g-4f, 4f-4d and 4d-4p transitions in range of 10-70Å. Radial profile of the Zn-like tungsten is also successfully observed with enough intensity in order of 10"1"6 photons.cm"-"2.s"-"1. The radial emissivity profile reconstructed from the chord-integrated intensity profile is analyzed with combination of HULLAC code for emission coefficient calculation of the Zn-like transition and impurity transport code included ADPAK code for calculation of ionization and recombination rate coefficients. Thus, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center is reasonably obtained in discharge with central electron density of 4x10"1"3 cm"-"3 as the first experimental trial. The present result demonstrates that the Zn-like 4p-4s transition is applicable to the tungsten diagnostics in high-temperature plasmas. (author)

  14. System integration and performance of the EUV engineering test stand

    International Nuclear Information System (INIS)

    Tichenor, Daniel A.; Ray-Chaudhuri, Avijit K.; Replogle, William C.; Stulen, Richard H.; Kubiak, Glenn D.; Rockett, Paul D.; Klebanoff, Leonard E.; Jefferson, Karen L.; Leung, Alvin H.; Wronosky, John B.; Hale, Layton C.; Chapman, Henry N.; Taylor, John S.; Folta, James A.; Montcalm, Claude; Soufli, Regina; Spiller, Eberhard; Blaedel, Kenneth; Sommargren, Gary E.; Sweeney, Donald W.; Naulleau, Patrick; Goldberg, Kenneth A.; Gullikson, Eric M.; Bokor, Jeffrey; Batson, Phillip J.; Attwood, David T.; Jackson, Keith H.; Hector, Scott D.; Gwyn, Charles W.; Yan, Pei-Yang; Yan, P.

    2001-01-01

    The Engineering Test Stand (ETS) is a developmental lithography tool designed to demonstrate full-field EUV imaging and provide data for commercial-tool development. In the first phase of integration, currently in progress, the ETS is configured using a developmental projection system, while fabrication of an improved projection system proceeds in parallel. The optics in the second projection system have been fabricated to tighter specifications for improved resolution and reduced flare. The projection system is a 4-mirror, 4x-reduction, ring-field design having a numeral aperture of 0.1, which supports 70 nm resolution at a k 1 of 0.52. The illuminator produces 13.4 nm radiation from a laser-produced plasma, directs the radiation onto an arc-shaped field of view, and provides an effective fill factor at the pupil plane of 0.7. The ETS is designed for full-field images in step-and-scan mode using vacuum-compatible, magnetically levitated, scanning stages. This paper describes system performance observed during the first phase of integration, including static resist images of 100 nm isolated and dense features

  15. Extreme Ultraviolet Stokesmeter for Pulsed Magneto-Optics

    Directory of Open Access Journals (Sweden)

    Mabel Ruiz-Lopez

    2015-02-01

    Full Text Available Several applications in material science and magnetic holography using extreme ultraviolet (EUV radiation require the measurement of the degree and state of polarization. In this work, an instrument to measure simultaneously both parameters from EUV pulses is presented. The instrument determines the Stokes parameters after a reflection on an array of multilayer mirrors at the Brewster angle. The Stokesmeter was tested at Swiss Light Source at different EUV wavelengths. The experimental Stokes patterns of the source were compared with the simulated pattern.

  16. Integrating Philips' extreme UV source in the alpha-tools

    Science.gov (United States)

    Pankert, Joseph; Apetz, Rolf; Bergmann, Klaus; Derra, Guenther; Janssen, Maurice; Jonkers, Jeroen; Klein, Jurgen; Kruecken, Thomas; List, Andreas; Loeken, Michael; Metzmacher, Christof; Neff, Willi; Probst, Sven; Prummer, Ralph; Rosier, Oliver; Seiwert, Stefan; Siemons, Guido; Vaudrevange, Dominik; Wagemann, Dirk; Weber, Achim; Zink, Peter; Zitzen, Oliver

    2005-05-01

    The paper describes recent progress in the development of the Philips's EUV source. Progress has been realized at many frontiers: Integration studies of the source into a scanner have primarily been studied on the Xe source because it has a high degree of maturity. We report on integration with a collector, associated collector lifetime and optical characteristics. Collector lifetime in excess of 1 bln shots could be demonstrated. Next, an active dose control system was developed and tested on the Xe lamp. Resulting dose stability data are less than 0.2% for an exposure window of 100 pulses. The second part of the paper reports on progress in the development of the Philips' Sn source. First, the details of the concept are described. It is based on a Laser triggered vacuum arc, which is an extension with respect to previous designs. The source is furbished with rotating electrodes that are covered with a Sn film that is constantly regenerated. Hence by the very design of the source, it is scalable to very high power levels, and moreover has fundamentally solved the notorious problem of electrode erosion. Power values of 260 W in 2p sr are reported, along with a stable, long life operation of the lamp. The paper also addresses the problem of debris generation and mitigation of the Sn-source. The problem is attacked by a combined strategy of protection of the collector by traditional means (e.g. fields, foiltraps... ), and by designing the gas atmosphere according to the principles of the well known halogen cycles in incandescent lamps. These principles have been studied in the Lighting industry for decades and rely on the excessively high vapor pressures of metal halides. Transferred to the Sn source, it allows pumping away tin residues that would otherwise irreversibly deposit on the collector.

  17. Validation of Earth atmosphere models using solar EUV observations from the CORONAS and PROBA2 satellites in occultation mode

    Science.gov (United States)

    Slemzin, Vladimir; Ulyanov, Artyom; Gaikovich, Konstantin; Kuzin, Sergey; Pertsov, Andrey; Berghmans, David; Dominique, Marie

    2016-02-01

    Aims: Knowledge of properties of the Earth's upper atmosphere is important for predicting the lifetime of low-orbit spacecraft as well as for planning operation of space instruments whose data may be distorted by atmospheric effects. The accuracy of the models commonly used for simulating the structure of the atmosphere is limited by the scarcity of the observations they are based on, so improvement of these models requires validation under different atmospheric conditions. Measurements of the absorption of the solar extreme ultraviolet (EUV) radiation in the upper atmosphere below 500 km by instruments operating on low-Earth orbits (LEO) satellites provide efficient means for such validation as well as for continuous monitoring of the upper atmosphere and for studying its response to the solar and geomagnetic activity. Method: This paper presents results of measurements of the solar EUV radiation in the 17 nm wavelength band made with the SPIRIT and TESIS telescopes on board the CORONAS satellites and the SWAP telescope on board the PROBA2 satellite in the occulted parts of the satellite orbits. The transmittance profiles of the atmosphere at altitudes between 150 and 500 km were derived from different phases of solar activity during solar cycles 23 and 24 in the quiet state of the magnetosphere and during the development of a geomagnetic storm. We developed a mathematical procedure based on the Tikhonov regularization method for solution of ill-posed problems in order to retrieve extinction coefficients from the transmittance profiles. The transmittance profiles derived from the data and the retrieved extinction coefficients are compared with simulations carried out with the NRLMSISE-00 atmosphere model maintained by Naval Research Laboratory (USA) and the DTM-2013 model developed at CNES in the framework of the FP7 project ATMOP. Results: Under quiet and slightly disturbed magnetospheric conditions during high and low solar activity the extinction coefficients

  18. SEMATECH EUVL mask program status

    Science.gov (United States)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  19. BREAKOUT RECONNECTION OBSERVED BY THE TESIS EUV TELESCOPE

    Energy Technology Data Exchange (ETDEWEB)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V., E-mail: reva.antoine@gmail.com [Lebedev Physical Institute, Russian Academy of Sciences (Russian Federation)

    2016-01-10

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R{sub ⊙} from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R{sub ⊙} above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s{sup −1}. At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5–4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario.

  20. BREAKOUT RECONNECTION OBSERVED BY THE TESIS EUV TELESCOPE

    International Nuclear Information System (INIS)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V.

    2016-01-01

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R ⊙ from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R ⊙ above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s −1 . At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5–4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario

  1. ERP correlates of source memory: unitized source information increases familiarity-based retrieval.

    Science.gov (United States)

    Diana, Rachel A; Van den Boom, Wijnand; Yonelinas, Andrew P; Ranganath, Charan

    2011-01-07

    Source memory tests typically require subjects to make decisions about the context in which an item was encoded and are thought to depend on recollection of details from the study episode. Although it is generally believed that familiarity does not contribute to source memory, recent behavioral studies have suggested that familiarity may also support source recognition when item and source information are integrated, or "unitized," during study (Diana, Yonelinas, and Ranganath, 2008). However, an alternative explanation of these behavioral findings is that unitization affects the manner in which recollection contributes to performance, rather than increasing familiarity-based source memory. To discriminate between these possibilities, we conducted an event-related potential (ERP) study testing the hypothesis that unitization increases the contribution of familiarity to source recognition. Participants studied associations between words and background colors using tasks that either encouraged or discouraged unitization. ERPs were recorded during a source memory test for background color. The results revealed two distinct neural correlates of source recognition: a frontally distributed positivity that was associated with familiarity-based source memory in the high-unitization condition only and a parietally distributed positivity that was associated with recollection-based source memory in both the high- and low-unitization conditions. The ERP and behavioral findings provide converging evidence for the idea that familiarity can contribute to source recognition, particularly when source information is encoded as an item detail. Copyright © 2010 Elsevier B.V. All rights reserved.

  2. A simulation package for soft X-ray and EUV spectroscopy of astrophysical and laboratory plasmas in different environments

    International Nuclear Information System (INIS)

    Liang, G Y; Li, F; Wang, F L; Zhong, J Y; Zhao, G; Wu, Y

    2014-01-01

    Spectroscopic researches in astronomy are significantly dependent on theoretical modelling methods, such as Chianti, Xstar, Cloudy etc. Recently, a different research community - Laboratory Astrophysics tries to benchmark these theoretical models or simulate the astrophysical phenomenon directly in conditions accessed in ground laboratory. Those unavoidable differences between the astrophysical objects and laboratory provide a need for a self-consistent model to make a bridge for the two cases. So we setup a visualized simulation package for soft X-ray and EUV spectroscopy in astrophysical and laboratory plasmas.

  3. On-line spectral diagnostic system for Dalian Coherent Light Source

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chaoyang; Wei, Shen; Du, Xuewei [Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Du, Liangliang [National Synchrotron Radiation Laboratory, University of Science & Technology of China, Hefei, Anhui 230029 (China); Wang, Qiuping, E-mail: qiuping@ustc.edu.cn [Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Zhang, Weiqing; Wu, Guorong; Dai, Dongxu [Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Yang, Xueming, E-mail: xmyang@dicp.ac.cn [Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China)

    2015-05-21

    The Dalian Coherent Light Source (DCLS) is a Free electron laser (FEL) user facility currently under construction in the northeast of China. It is designed to work on high gain high harmonic principle with the capability of wavelength continuously tunable in the EUV regime of 50–150 nm. The light source has unique features such as the turntable radiation frequency, wide spectral range, high brightness and peak power, very short pulse time structure, etc. A key diagnostic task in DCLS is the on-line source spectral characteristic recording during the source development, and for the definition of the experimental conditions. For this purpose, an online grazing incidence spectrometer with a toroidal mirror and a variable-line-spacing plane grating is designed and presented in this paper to monitor each single FEL pulse. A circular stage is chosen to fit the focal curve and to realize the wavelength scanning. This scanning mechanics is simpler and stable. Resolving power (λ/Δλ) of this spectrometer is better than 12,000 in the whole wavelength range.

  4. On-line spectral diagnostic system for Dalian Coherent Light Source

    International Nuclear Information System (INIS)

    Li, Chaoyang; Wei, Shen; Du, Xuewei; Du, Liangliang; Wang, Qiuping; Zhang, Weiqing; Wu, Guorong; Dai, Dongxu; Yang, Xueming

    2015-01-01

    The Dalian Coherent Light Source (DCLS) is a Free electron laser (FEL) user facility currently under construction in the northeast of China. It is designed to work on high gain high harmonic principle with the capability of wavelength continuously tunable in the EUV regime of 50–150 nm. The light source has unique features such as the turntable radiation frequency, wide spectral range, high brightness and peak power, very short pulse time structure, etc. A key diagnostic task in DCLS is the on-line source spectral characteristic recording during the source development, and for the definition of the experimental conditions. For this purpose, an online grazing incidence spectrometer with a toroidal mirror and a variable-line-spacing plane grating is designed and presented in this paper to monitor each single FEL pulse. A circular stage is chosen to fit the focal curve and to realize the wavelength scanning. This scanning mechanics is simpler and stable. Resolving power (λ/Δλ) of this spectrometer is better than 12,000 in the whole wavelength range

  5. Simulated solar wind plasma interaction with the Martian exosphere: influence of the solar EUV flux on the bow shock and the magnetic pile-up boundary

    Directory of Open Access Journals (Sweden)

    R. Modolo

    2006-12-01

    Full Text Available The solar wind plasma interaction with the Martian exosphere is investigated by means of 3-D multi-species hybrid simulations. The influence of the solar EUV flux on the bow shock and the magnetic pile-up boundary is examined by comparing two simulations describing the two extreme states of the solar cycle. The hybrid formalism allows a kinetic description of each ions species and a fluid description of electrons. The ionization processes (photoionization, electron impact and charge exchange are included self-consistently in the model where the production rate is computed locally, separately for each ionization act and for each neutral species. The results of simulations are in a reasonable agreement with the observations made by Phobos 2 and Mars Global Surveyor spacecraft. The position of the bow shock and the magnetic pile-up boundary is weakly dependent of the solar EUV flux. The motional electric field creates strong asymmetries for the two plasma boundaries.

  6. Charge coupled devices vs. microchannel plates in the extreme and far ultraviolet - A comparison based on the latest laboratory measurements

    Science.gov (United States)

    Vallerga, J.; Lampton, M.

    1988-01-01

    While microchannel plates (MCPs) have been established as imaging photon counters in the EUV and FUV for some years, CCDs are associated with low light level sensing at visible and near-IR wavelengths. Attention is presently given to recent proposals for CCDs' use as EUV and FUV detectors with quantum efficiencies sometimes exceeding those of MCPs; quantum resolution, format size, dynamic range, and long-term stability are also used as bases of comparison, for the cases of both space-based astronomical and spectroscopic applications.

  7. Impulsive EUV bursts observed in C IV with OSO-8. [UV solar spectra

    Science.gov (United States)

    Athay, R. G.; White, O. R.; Lites, B. W.; Bruner, E. C., Jr.

    1980-01-01

    Time sequences of profiles of the 1548 A line of C IV containing 51 EUV bursts observed in or near active regions are analyzed to determine the brightness, Doppler shift and line broadening characteristics of the bursts. The bursts have mean lifetimes of approximately 150 s, and mean increases in brightness at burst maximum of four-fold as observed with a field of view of 2 x 20 arc sec. Mean burst diameters are estimated to be 3 arc sec, or smaller. All but three of the bursts show Doppler shifts with velocities sometimes exceeding 75 km/s; 31 are dominated by red shifts and 17 are dominated by blue shifts. Approximately half of the latter group have red-shifted precursors. The bursts are interpreted as prominence material, such as surges and coronal rain, moving through the field of view of the spectrometer.

  8. Nanomaterial-based x-ray sources

    Science.gov (United States)

    Cole, Matthew T.; Parmee, R. J.; Milne, William I.

    2016-02-01

    Following the recent global excitement and investment in the emerging, and rapidly growing, classes of one and two-dimensional nanomaterials, we here present a perspective on one of the viable applications of such materials: field electron emission based x-ray sources. These devices, which have a notable history in medicine, security, industry and research, to date have almost exclusively incorporated thermionic electron sources. Since the middle of the last century, field emission based cathodes were demonstrated, but it is only recently that they have become practicable. We outline some of the technological achievements of the past two decades, and describe a number of the seminal contributions. We explore the foremost market hurdles hindering their roll-out and broader industrial adoption and summarise the recent progress in miniaturised, pulsed and multi-source devices.

  9. Interface characterization in B-based multilayer mirrors for next generation lithography

    International Nuclear Information System (INIS)

    Naujok, Philipp; Yulin, Sergiy; Müller, Robert; Kaiser, Norbert; Tünnermann, Andreas

    2016-01-01

    The interfaces in La/B_4C and LaN/B_4C multilayer mirrors designed for near normal incidence reflection of 6.x nm EUV light were investigated by grazing incidence X-ray reflectometry, high-resolution transmission electron microscopy and EUV reflectometry. The thickness and roughness asymmetries of the different interfaces in both studied systems have been identified. A development of interface roughness with an increasing number of bilayers was found by different investigation methods. For near normal incidence, R = 51.1% @ λ = 6.65 nm could be reached with our La/B_4C multilayer mirrors, whereas R = 58.1% was achieved with LaN/B_4C multilayers at the same wavelength. - Highlights: • Interface structure in B-based multilayer mirrors investigated. • Combining X-ray reflection, EUV reflection and transmission electron microscopy • Interface thickness and roughness asymmetry identified • Interface roughness increases with higher number of bilayers.

  10. Plasmas in compact traps: From ion sources to multidisciplinary research

    Science.gov (United States)

    Mascali, D.; Musumarra, A.; Leone, F.; Galatà, A.; Romano, F. P.; Gammino, S.

    2017-09-01

    In linear (minimum-B) magneto-static traps dense and hot plasmas are heated by electromagnetic radiation in the GHz domain via the Electron Cyclotron Resonance (ECR). The values of plasma density, temperature and confinement times ( n_eτ_i>10^{13} cm ^{-3} s; T_e>10 keV) are similar to the ones of thermonuclear plasmas. The research in this field -devoted to heating and confinement optimization- has been supported by numerical modeling and advanced diagnostics, for probing the plasma especially in a non-invasive way. ECR-based systems are nowadays able to produce extremely intense (tens or hundreds of mA) beams of light ions (p, d, He), and relevant currents of heavier elements (C, O, N) up to heavy ions like Xe, Pb, U. Such beams can be extracted from the trap by a proper electrostatic system. The above-mentioned properties make these plasmas very attractive for interdisciplinary researches also, such as i) nuclear decays rates measurements in stellar-like conditions, ii) energy conversion studies, being exceptional sources of short-wavelength electromagnetic radiation (EUV, X-rays, hard X-rays and gammas, useful in material science and archaeometry), iii) environments allowing precise spectroscopical measurements as benchmarks for magnetized astrophysical plasmas. The talk will give an overview about the state-of-the-art in the field of intense ion sources, and some new perspectives for interdisciplinary research, with a special attention to the developments based at INFN-LNS.

  11. A preliminary estimate of the EUVE cumulative distribution of exposure time on the unit sphere. [Extreme Ultra-Violet Explorer

    Science.gov (United States)

    Tang, C. C. H.

    1984-01-01

    A preliminary study of an all-sky coverage of the EUVE mission is given. Algorithms are provided to compute the exposure of the celestial sphere under the spinning telescopes, taking into account that during part of the exposure time the telescopes are blocked by the earth. The algorithms are used to give an estimate of exposure time at different ecliptic latitudes as a function of the angle of field of view of the telescope. Sample coverage patterns are also given for a 6-month mission.

  12. GLOBAL ENERGETICS OF SOLAR FLARES. IV. CORONAL MASS EJECTION ENERGETICS

    International Nuclear Information System (INIS)

    Aschwanden, Markus J.

    2016-01-01

    This study entails the fourth part of a global flare energetics project, in which the mass m cme , kinetic energy E kin , and the gravitational potential energy E grav of coronal mass ejections (CMEs) is measured in 399 M and X-class flare events observed during the first 3.5 years of the Solar Dynamics Observatory (SDO) mission, using a new method based on the EUV dimming effect. EUV dimming is modeled in terms of a radial adiabatic expansion process, which is fitted to the observed evolution of the total emission measure of the CME source region. The model derives the evolution of the mean electron density, the emission measure, the bulk plasma expansion velocity, the mass, and the energy in the CME source region. The EUV dimming method is truly complementary to the Thomson scattering method in white light, which probes the CME evolution in the heliosphere at r ≳ 2 R ⊙ , while the EUV dimming method tracks the CME launch in the corona. We compare the CME parameters obtained in white light with the LASCO/C2 coronagraph with those obtained from EUV dimming with the Atmospheric Imaging Assembly onboard the SDO for all identical events in both data sets. We investigate correlations between CME parameters, the relative timing with flare parameters, frequency occurrence distributions, and the energy partition between magnetic, thermal, nonthermal, and CME energies. CME energies are found to be systematically lower than the dissipated magnetic energies, which is consistent with a magnetic origin of CMEs.

  13. Accuracy of Dual-Energy Virtual Monochromatic CT Numbers: Comparison between the Single-Source Projection-Based and Dual-Source Image-Based Methods.

    Science.gov (United States)

    Ueguchi, Takashi; Ogihara, Ryota; Yamada, Sachiko

    2018-03-21

    To investigate the accuracy of dual-energy virtual monochromatic computed tomography (CT) numbers obtained by two typical hardware and software implementations: the single-source projection-based method and the dual-source image-based method. A phantom with different tissue equivalent inserts was scanned with both single-source and dual-source scanners. A fast kVp-switching feature was used on the single-source scanner, whereas a tin filter was used on the dual-source scanner. Virtual monochromatic CT images of the phantom at energy levels of 60, 100, and 140 keV were obtained by both projection-based (on the single-source scanner) and image-based (on the dual-source scanner) methods. The accuracy of virtual monochromatic CT numbers for all inserts was assessed by comparing measured values to their corresponding true values. Linear regression analysis was performed to evaluate the dependency of measured CT numbers on tissue attenuation, method, and their interaction. Root mean square values of systematic error over all inserts at 60, 100, and 140 keV were approximately 53, 21, and 29 Hounsfield unit (HU) with the single-source projection-based method, and 46, 7, and 6 HU with the dual-source image-based method, respectively. Linear regression analysis revealed that the interaction between the attenuation and the method had a statistically significant effect on the measured CT numbers at 100 and 140 keV. There were attenuation-, method-, and energy level-dependent systematic errors in the measured virtual monochromatic CT numbers. CT number reproducibility was comparable between the two scanners, and CT numbers had better accuracy with the dual-source image-based method at 100 and 140 keV. Copyright © 2018 The Association of University Radiologists. Published by Elsevier Inc. All rights reserved.

  14. Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

    Science.gov (United States)

    De Simone, Danilo; Mao, Ming; Kocsis, Michael; De Schepper, Peter; Lazzarino, Frederic; Vandenberghe, Geert; Stowers, Jason; Meyers, Stephen; Clark, Benjamin L.; Grenville, Andrew; Luong, Vinh; Yamashita, Fumiko; Parnell, Doni

    2016-03-01

    Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.

  15. CONTRIBUTION OF VELOCITY VORTICES AND FAST SHOCK REFLECTION AND REFRACTION TO THE FORMATION OF EUV WAVES IN SOLAR ERUPTIONS

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hongjuan; Liu, Siqing; Gong, Jiancun [Center for Space Science and Applied Research, Chinese Academy of Sciences, Beijing 100190 (China); Wu, Ning [School of Tourism and Geography, Yunnan Normal University, Kunming, Yunnan 650031 (China); Lin, Jun [Yunnan Observatories, Chinese Academy of Sciences, Kunming, Yunnan 650011 (China)

    2015-06-01

    We numerically study the detailed evolutionary features of the wave-like disturbance and its propagation in the eruption. This work is a follow-up to Wang et al., using significantly upgraded new simulations. We focus on the contribution of the velocity vortices and the fast shock reflection and refraction in the solar corona to the formation of the EUV waves. Following the loss of equilibrium in the coronal magnetic structure, the flux rope exhibits rapid motions and invokes the fast-mode shock at the front of the rope, which then produces a type II radio burst. The expansion of the fast shock, which is associated with outward motion, takes place in various directions, and the downward expansion shows the reflection and the refraction as a result of the non-uniform background plasma. The reflected component of the fast shock propagates upward and the refracted component propagates downward. As the refracted component reaches the boundary surface, a weak echo is excited. The Moreton wave is invoked as the fast shock touches the bottom boundary, so the Moreton wave lags the type II burst. A secondary echo occurs in the area where reflection of the fast shock encounters the slow-mode shock, and the nearby magnetic field lines are further distorted because of the interaction between the secondary echo and the velocity vortices. Our results indicate that the EUV wave may arise from various processes that are revealed in the new simulations.

  16. Accelerator-based pulsed cold neutron source

    International Nuclear Information System (INIS)

    Inoue, Kazuhiko; Iwasa, Hirokatsu; Kiyanagi, Yoshiaki

    1979-01-01

    An accelerator-based pulsed cold neutron source was constructed. The accelerator is a 35 MeV electron linear accelerator with 1 kW average beam power. The cold neutron beam intensity at a specimen is equivalent to that of a research reactor of 10 14 n/cm 2 .s thermal flux in the case of the quasi-elastic neutron scattering measurements. In spite of some limitations to the universal uses, it has been demonstrated by this facility that the modest capacity accelerator-based pulsed cold neutron source is a highly efficient cold neutron source with low capital investment. Design philosophy, construction details, performance and some operational experiences are described. (author)

  17. An Open-Source Based ITS Platform

    DEFF Research Database (Denmark)

    Andersen, Ove; Krogh, Benjamin Bjerre; Torp, Kristian

    2013-01-01

    In this paper, a complete platform used to compute travel times from GPS data is described. Two approaches to computing travel time are proposed one based on points and one based on trips. Overall both approaches give reasonable results compared to existing manual estimated travel times. However......, the trip-based approach requires more GPS data and of a higher quality than the point-based approach. The platform has been completely implemented using open-source software. The main conclusion is that large quantity of GPS data can be managed, with a limited budget and that GPS data is a good source...... for estimating travel times, if enough data is available....

  18. Anti­-parallel Filament Flows and Bright Dots Observed in the EUV with Hi-­C

    Science.gov (United States)

    Alexander, Caroline E.; Regnier, Stephane; Walsh, Robert; Winebarger, Amy

    2013-01-01

    Hi-C obtained the highest spatial and temporal resolution observations ever taken in the solar EUV corona. Hi-C reveals dynamics and structure at the limit of its temporal and spatial resolution. Hi-C observed various fine-scale features that SDO/AIA could not pick out. For the first time in the corona, Hi-C revealed magnetic braiding and component reconnection consistent with coronal heating. Hi-C shows evidence of reconnection and heating in several different regions and magnetic configurations with plasma being heated to 0.3 - 8 x 10(exp 6) K temperatures. Surprisingly, many of the first results highlight plasma at temperatures that are not at the peak of the response functions.

  19. Experimental study of EUV mirror radiation damage resistance under long-term free-electron laser exposures below the single-shot damage threshold

    Czech Academy of Sciences Publication Activity Database

    Makhotkin, I.A.; Sobierajski, R.; Chalupský, J.; Tiedtke, K.; de Vries, G.; Stoermer, M.; Scholze, F.; Siewert, F.; van de Kruijs, R.W.E.; Louis, E.; Jacyna, I.; Jurek, M.; Klinger, D.; Nittler, L.; Syryanyy, Y.; Juha, Libor; Hájková, V.; Vozda, V.; Burian, Tomáš; Saksl, K.; Faatz, B.; Keitel, B.; Ploenjes, E.; Schreiber, S.; Toleikis, S.; Loch, R.; Hermann, M.; Strobel, S.; Nienhuys, H.-K.; Gwalt, G.; Mey, T.; Enkisch, H.

    2018-01-01

    Roč. 25, č. 1 (2018), s. 77-84 ISSN 0909-0495. [Workshop on FEL Photon Diagnostics, Instrumentation and Beamline Design (PhotonDiag2017). Stanford, 01.05.2017-03.05.2017] R&D Projects: GA ČR(CZ) GA14-29772S; GA MŠk LG15013 Institutional support: RVO:61389021 Keywords : free-electron laser induced damage * EUV optics * thin films * FELs Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics)

  20. Spectral filter for splitting a beam with electromagnetic radiation having wavelengths in the extreme ultraviolet (EUV) or soft X-Ray (Soft X) and the infrared (IR) wavelength range

    NARCIS (Netherlands)

    van Goor, F.A.; Bijkerk, Frederik; van den Boogaard, Toine; van den Boogaard, A.J.R.; van der Meer, R.

    2012-01-01

    Spectral filter for splitting the primary radiation from a generated beam with primary electromagnetic radiation having a wavelength in the extreme ultraviolet (EUV radiation) or soft X-ray (soft X) wavelength range and parasitic radiation having a wavelength in the infrared wavelength range (IR

  1. Fiber-based broadband black-light source

    OpenAIRE

    Sylvestre , Thibaut; Lee , Min Won; Ragueh , A. R.; Stiller , Birgit; Fanjoux , Gil; Barviau , B.; Mussot , A.; Kudlinski , A.

    2012-01-01

    International audience; Black-Light or Wood's lamp refers to sources that emit long-wavelength ultraviolet radiation (UV-A) from 315 nm and little visible light till 410 nm (blue). In this paper, we present a new fibre-based source of "black light", a source that emits broadband ultraviolet radiation but only small amounts of visible light and no infrared light. We made this source by pumping a specially designed silica photonic crystal fibre (PCF) with 355 nm light pulses from a Q-switched f...

  2. Time series study of EUV spicules observed by SUMER/SoHO

    Science.gov (United States)

    Xia, L. D.; Popescu, M. D.; Doyle, J. G.; Giannikakis, J.

    2005-08-01

    Here we study the dynamic properties of EUV spicules seen at the solar limb. The selected data were obtained as time series in polar coronal holes by SUMER/SoHO. The short exposure time and the almost fixed position of the spectrometer's slit allow the analysis of spicule properties such as occurrence, lifetime and Doppler velocity. Our data reveal that spicules occur repeatedly at the same location with a birth rate of around 0.16/min as estimated at 10´´ above the limb and a lifetime ranging from 15 down to ≈3 min. We are able to see some spicules showing a process of “falling after rising” indicated by the sudden change of the Doppler velocity sign. A periodicity of ≈5 min is sometimes discernible in their occurrence. Most spicules have a height between 10´´ and 20´´ above the limb. Some can stretch up to 40´´; these “long macro-spicules” seem to be comprised of a group of high spicules. Some of them have an obvious periodicity in the radiance of ≈5 min.

  3. Beam-foil study of neon in the EUV with foils of carbon, silver and gold

    International Nuclear Information System (INIS)

    Demarest, J.A.; Watson, R.L.; Texas A and M Univ., College Station

    1988-01-01

    A beam-foil study of 40 MeV neon was conducted in the EUV with a 1-meter grazing incidence spectrometer configured with a position sensitive microchannel plate detector. A number of new lines of Ne IX, mainly from transitions to n = 3 levels, were detected in the wavelength region covering 50-350 A. Comparison of the spectra obtained using the different foils revealed that the average charge state of the neon projectiles was nearly one unit higher with carbon than with either of the two metals. Measurements of line intensities versus distance from the foils showed that cascade contributions were greatly reduced for the metals. It was also found that n = 3 states of low l were overpopulated relative to a statistical distribution, irrespective of the foil material. (orig.)

  4. Pump-probe study of atoms and small molecules with laser driven high order harmonics

    Science.gov (United States)

    Cao, Wei

    A commercially available modern laser can emit over 1015 photons within a time window of a few tens of femtoseconds (10-15second), which can be focused into a spot size of about 10 mum, resulting in a peak intensity above 1014W/cm2. This paves the way for table-top strong field physics studies such as above threshold ionization (ATI), non-sequential double ionization (NSDI), high order harmonic generation (HHG), etc.. Among these strong laser-matter interactions, high order harmonic generation, which combines many photons of the fundamental laser field into a single photon, offers a unique way to generate light sources in the vacuum ultraviolet (VUV) or extreme ultraviolet (EUV) region. High order harmonic photons are emitted within a short time window from a few tens of femtoseconds down to a few hundreds of attoseconds (10 -18second). This highly coherent nature of HHG allows it to be synchronized with an infrared (IR) laser pulse, and the pump-probe technique can be adopted to study ultrafast dynamic processes in a quantum system. The major work of this thesis is to develop a table-top VUV(EUV) light source based on HHG, and use it to study dynamic processes in atoms and small molecules with the VUV(EUV)-pump IR-probe method. A Cold Target Recoil Ion Momentum Spectroscopy (COLTRIMS) apparatus is used for momentum imaging of the interaction products. Two types of high harmonic pump pulses are generated and applied for pump-probe studies. The first one consists of several harmonics forming a short attosecond pulse train (APT) in the EUV regime (around 40 eV). We demonstrate that, (1) the auto-ionization process triggered by the EUV in cation carbon-monoxide and oxygen molecules can be modified by scanning the EUV-IR delay, (2) the phase information of quantum trajectories in bifurcated high harmonics can be extracted by performing an EUV-IR cross-correlation experiment, thus disclosing the macroscopic quantum control in HHG. The second type of high harmonic source

  5. Methods and apparatus for cleaning objects in a chamber of an optical instrument by generating reactive ions using photon radiation

    Science.gov (United States)

    Klebanoff, Leonard E.; Delgado, Gildardo R.; Hollenshead, Jeromy T.; Umstadter, Karl R.; Starodub, Elena; Zhuang, Guorong V.

    2015-10-13

    An optical instrument, including a chamber, an object exposed to an interior of the chamber, a source of low-pressure gas, the gas comprising at least one of low-pressure molecular hydrogen gas, low-pressure molecular oxygen and a low-pressure noble gas, the source of low pressure gas being fluidly coupled to the chamber, a low voltage source electrically coupled between the object and a remaining portion of the instrument that is exposed to the interior of the chamber so as to maintain the object at a low voltage relative to the remaining portion, and an EUV/VUV light source adapted to direct EUV/VUV light through the low pressure gas in the chamber onto the object. In such a system, when the EUV/VUV light source is activated ions of the low-pressure gas are formed and directed to the object. The ions may be ions of Hydrogen, Oxygen or a noble gas.

  6. A study on EUV reticle surface molecular contamination under different storage conditions in a HVM foundry fab

    Science.gov (United States)

    Singh, SherJang; Yatzor, Brett; Taylor, Ron; Wood, Obert; Mangat, Pawitter

    2017-03-01

    The prospect of EUVL (Extreme Ultraviolet Lithography) insertion into HVM (High Volume Manufacturing) has never been this promising. As technology is prepared for "lab to fab" transition, it becomes important to comprehend challenges associated with integrating EUVL infrastructure within existing high volume chip fabrication processes in a foundry fab. The existing 193nm optical lithography process flow for reticle handling and storage in a fab atmosphere is well established and in-fab reticle contamination concerns are mitigated with the reticle pellicle. However EUVL reticle pellicle is still under development and if available, may only provide protection against particles but not molecular contamination. HVM fab atmosphere is known to be contaminated with trace amounts of AMC's (Atmospheric Molecular Contamination). If such contaminants are organic in nature and get absorbed on the reticle surface, EUV photon cause photo-dissociation resulting into carbon generation which is known to reduce multilayer reflectivity and also degrades exposure uniformity. Chemical diffusion and aggregation of other ions is also reported under the e-beam exposure of a EUV reticle which is known to cause haze issues in optical lithography. Therefore it becomes paramount to mitigate absorbed molecular contaminant concerns on EUVL reticle surface. In this paper, we have studied types of molecular contaminants that are absorbed on an EUVL reticle surface under HVM fab storage and handling conditions. Effect of storage conditions (gas purged vs atmospheric) in different storage pods (Dual pods, Reticle Clamshells) is evaluated. Absorption analysis is done both on ruthenium capping layer as well as TaBN absorber. Ru surface chemistry change as a result of storage is also studied. The efficacy of different reticle cleaning processes to remove absorbed contaminant is evaluated as well.

  7. Equivalent charge source model based iterative maximum neighbor weight for sparse EEG source localization.

    Science.gov (United States)

    Xu, Peng; Tian, Yin; Lei, Xu; Hu, Xiao; Yao, Dezhong

    2008-12-01

    How to localize the neural electric activities within brain effectively and precisely from the scalp electroencephalogram (EEG) recordings is a critical issue for current study in clinical neurology and cognitive neuroscience. In this paper, based on the charge source model and the iterative re-weighted strategy, proposed is a new maximum neighbor weight based iterative sparse source imaging method, termed as CMOSS (Charge source model based Maximum neighbOr weight Sparse Solution). Different from the weight used in focal underdetermined system solver (FOCUSS) where the weight for each point in the discrete solution space is independently updated in iterations, the new designed weight for each point in each iteration is determined by the source solution of the last iteration at both the point and its neighbors. Using such a new weight, the next iteration may have a bigger chance to rectify the local source location bias existed in the previous iteration solution. The simulation studies with comparison to FOCUSS and LORETA for various source configurations were conducted on a realistic 3-shell head model, and the results confirmed the validation of CMOSS for sparse EEG source localization. Finally, CMOSS was applied to localize sources elicited in a visual stimuli experiment, and the result was consistent with those source areas involved in visual processing reported in previous studies.

  8. An accelerator based steady state neutron source

    International Nuclear Information System (INIS)

    Burke, R.J.; Johnson, D.L.

    1985-01-01

    Using high current, c.w. linear accelerator technology, a spallation neutron source can achieve much higher average intensities than existing or proposed pulsed spallation sources. With about 100 mA of 300 MeV protons or deuterons, the Accelerator Based Neutron Research Facility (ABNR) would initially achieve the 10 16 n/cm 2 .s thermal flux goal of the advanced steady state neutron source, and upgrading could provide higher steady state fluxes. The relatively low ion energy compared to other spallation sources has an important impact on R and D requirements as well as capital cost, for which a range of $300-450M is estimated by comparison to other accelerator-based neutron source facilities. The source is similar to a reactor source in most respects. It has some higher energy neutrons but fewer gamma rays, and the moderator region is free of many of the design constraints of a reactor, which helps to implement sources for various neutron energy spectra, many beam tubes, etc. With the development of multi-beam concept and the basis for currents greater than 100 mA that is assumed in the R and D plan, the ABNR would serve many additional uses, such as fusion materials development, production of proton-rich isotopes, and other energy and defense program needs

  9. Open Source Cloud-Based Technologies for Bim

    Science.gov (United States)

    Logothetis, S.; Karachaliou, E.; Valari, E.; Stylianidis, E.

    2018-05-01

    This paper presents a Cloud-based open source system for storing and processing data from a 3D survey approach. More specifically, we provide an online service for viewing, storing and analysing BIM. Cloud technologies were used to develop a web interface as a BIM data centre, which can handle large BIM data using a server. The server can be accessed by many users through various electronic devices anytime and anywhere so they can view online 3D models using browsers. Nowadays, the Cloud computing is engaged progressively in facilitating BIM-based collaboration between the multiple stakeholders and disciplinary groups for complicated Architectural, Engineering and Construction (AEC) projects. Besides, the development of Open Source Software (OSS) has been rapidly growing and their use tends to be united. Although BIM and Cloud technologies are extensively known and used, there is a lack of integrated open source Cloud-based platforms able to support all stages of BIM processes. The present research aims to create an open source Cloud-based BIM system that is able to handle geospatial data. In this effort, only open source tools will be used; from the starting point of creating the 3D model with FreeCAD to its online presentation through BIMserver. Python plug-ins will be developed to link the two software which will be distributed and freely available to a large community of professional for their use. The research work will be completed by benchmarking four Cloud-based BIM systems: Autodesk BIM 360, BIMserver, Graphisoft BIMcloud and Onuma System, which present remarkable results.

  10. OPEN SOURCE CLOUD-BASED TECHNOLOGIES FOR BIM

    Directory of Open Access Journals (Sweden)

    S. Logothetis

    2018-05-01

    Full Text Available This paper presents a Cloud-based open source system for storing and processing data from a 3D survey approach. More specifically, we provide an online service for viewing, storing and analysing BIM. Cloud technologies were used to develop a web interface as a BIM data centre, which can handle large BIM data using a server. The server can be accessed by many users through various electronic devices anytime and anywhere so they can view online 3D models using browsers. Nowadays, the Cloud computing is engaged progressively in facilitating BIM-based collaboration between the multiple stakeholders and disciplinary groups for complicated Architectural, Engineering and Construction (AEC projects. Besides, the development of Open Source Software (OSS has been rapidly growing and their use tends to be united. Although BIM and Cloud technologies are extensively known and used, there is a lack of integrated open source Cloud-based platforms able to support all stages of BIM processes. The present research aims to create an open source Cloud-based BIM system that is able to handle geospatial data. In this effort, only open source tools will be used; from the starting point of creating the 3D model with FreeCAD to its online presentation through BIMserver. Python plug-ins will be developed to link the two software which will be distributed and freely available to a large community of professional for their use. The research work will be completed by benchmarking four Cloud-based BIM systems: Autodesk BIM 360, BIMserver, Graphisoft BIMcloud and Onuma System, which present remarkable results.

  11. Energy-Based Acoustic Source Localization Methods: A Survey

    Directory of Open Access Journals (Sweden)

    Wei Meng

    2017-02-01

    Full Text Available Energy-based source localization is an important problem in wireless sensor networks (WSNs, which has been studied actively in the literature. Numerous localization algorithms, e.g., maximum likelihood estimation (MLE and nonlinear-least-squares (NLS methods, have been reported. In the literature, there are relevant review papers for localization in WSNs, e.g., for distance-based localization. However, not much work related to energy-based source localization is covered in the existing review papers. Energy-based methods are proposed and specially designed for a WSN due to its limited sensor capabilities. This paper aims to give a comprehensive review of these different algorithms for energy-based single and multiple source localization problems, their merits and demerits and to point out possible future research directions.

  12. Broadband transmission masks, gratings and filters for extreme ultraviolet and soft X-ray lithography

    International Nuclear Information System (INIS)

    Brose, S.; Danylyuk, S.; Juschkin, L.; Dittberner, C.; Bergmann, K.; Moers, J.; Panaitov, G.; Trellenkamp, St.; Loosen, P.; Grützmacher, D.

    2012-01-01

    Lithography and patterning on a nanometre scale with extreme ultraviolet (EUV) and soft X-ray radiation allow creation of high resolution, high density patterns independent of a substrate type. To realize the full potential of this method, especially for EUV proximity printing and interference lithography, a reliable technology for manufacturing of the transmission masks and gratings should be available. In this paper we present a development of broadband amplitude transmission masks and gratings for extreme ultraviolet and soft X-ray lithography based on free-standing niobium membranes. In comparison with a standard silicon nitride based technology the transmission masks demonstrate high contrast not only for in-band EUV (13.5 nm) radiation but also for wavelengths below Si L-absorption edge (12.4 nm). The masks and filters with free standing areas up to 1000 × 1000 μm 2 and 100 nm to 300 nm membrane thicknesses are shown. Electron beam structuring of an absorber layer with dense line and dot patterns with sub-50 nm structures is demonstrated. Diffractive and filtering properties of obtained structures are examined with EUV radiation from a gas discharge plasma source. - Highlights: ► Broadband transmission masks for EUV proximity and interference lithography. ► Technology for free standing niobium membranes with areas up to 1 mm 2 . ► High density patterns with periods of 100 nm and structure sizes below 40 nm. ► Measured diffraction efficiency at 11 nm is in agreement with the theory. ► Produced masks can be effectively used with wavelengths between 6 nm and 17 nm.

  13. Extreme ultraviolet spectroscopy of low pressure helium microwave driven discharges

    Science.gov (United States)

    Espinho, Susana; Felizardo, Edgar; Tatarova, Elena; Alves, Luis Lemos

    2016-09-01

    Surface wave driven discharges are reliable plasma sources that can produce high levels of vacuum and extreme ultraviolet radiation (VUV and EUV). The richness of the emission spectrum makes this type of discharge a possible alternative source in EUV/VUV radiation assisted applications. However, due to challenging experimental requirements, publications concerning EUV radiation emitted by microwave plasmas are scarce and a deeper understanding of the main mechanisms governing the emission of radiation in this spectral range is required. To this end, the EUV radiation emitted by helium microwave driven plasmas operating at 2.45 GHz has been studied for low pressure conditions. Spectral lines from excited helium atoms and ions were detected via emission spectroscopy in the EUV/VUV regions. Novel data concerning the spectral lines observed in the 23 - 33 nm wavelength range and their intensity behaviour with variation of the discharge operational conditions are presented. The intensity of all the spectral emissions strongly increases with the microwave power delivered to the plasma up to 400 W. Furthermore, the intensity of all the ion spectral emissions in the EUV range decreases by nearly one order of magnitude as the pressure was raised from 0.2 to 0.5 mbar. Work funded by FCT - Fundacao para a Ciencia e a Tecnologia, under Project UID/FIS/50010/2013 and grant SFRH/BD/52412/2013 (PD-F APPLAuSE).

  14. EUV Spectra of High Z Impurities from Large Helical Device and Atomic Data

    International Nuclear Information System (INIS)

    Kato, T.; Suzuki, C.; Funaba, H.; Sato, K.; Murakami, I.; Kato, D.; Sakaue, H.; O’Sullivan, G.; Harte, C.; White, J.; D’Arcy, R.; Tanuma, H.; Nakamura, N.

    2017-01-01

    The results of experiments on high Z impurity injection in the Large Helical Device at the National Institute for Fusion Science are described. Spectra from Xe, Sn and W ions were recorded in the extreme ultraviolet spectral region. Two different situations were observed in the case of Xe and Sn, depending on whether or not the plasma underwent radiative collapse. If the plasma was stable, the spectrum consisted of a number of strong lines and in both cases the strongest contribution was from 4p - 4d transitions of Cu-like ions. If the plasma underwent radiative collapse in each case it was dominated by an intense unresolved transition array with some strong lines overlapping it resulting from 4p 6 4d m - 4p 5 4d m+1 + 4p 6 4d m-1 4f transitions. For tungsten, radiative collapse was not observed though the spectrum here was dominated by the same array which lies between 4.5 and 7 nm with some additional contribution at the same wavelength from 4d 10 4f m - 4d 9 4f m+1 and 4d 10 4f m - 4d 10 4f m-1 5d transitions in lower stages also. From observation and comparison with other sources, it is shown that the spectra are dominated by resonance transitions to the ground state of the emitting ions, in marked contrast to results from charge exchange spectra that had been recorded to assist with ion stage separation. In the case of tungsten, no sharp lines are seen though the profile of the unresolved array structure changes with plasma temperature and the origin of these changes can be traced to differences in the populations of contributing ions. New assignments for lines of Xe XVIII, Sn XIX and Sn XVII of 4p - 4d transitions are listed in Tables. Strong lines of W, Xe and Sn ions in EUV range are also tabulated. (author)

  15. Wearable energy sources based on 2D materials.

    Science.gov (United States)

    Yi, Fang; Ren, Huaying; Shan, Jingyuan; Sun, Xiao; Wei, Di; Liu, Zhongfan

    2018-05-08

    Wearable energy sources are in urgent demand due to the rapid development of wearable electronics. Besides flexibility and ultrathin thickness, emerging 2D materials present certain extraordinary properties that surpass the properties of conventional materials, which make them advantageous for high-performance wearable energy sources. Here, we provide a comprehensive review of recent advances in 2D material based wearable energy sources including wearable batteries, supercapacitors, and different types of energy harvesters. The crucial roles of 2D materials in the wearable energy sources are highlighted. Based on the current progress, the existing challenges and future prospects are outlined and discussed.

  16. QCL seeded, ns-pulse, multi-line, CO2 laser oscillator for laser-produced-plasma extreme-UV source

    Science.gov (United States)

    Nowak, Krzysztof Michał; Suganuma, Takashi; Kurosawa, Yoshiaki; Ohta, Takeshi; Kawasuji, Yasufumi; Nakarai, Hiroaki; Saitou, Takashi; Fujimoto, Junichi; Mizoguchi, Hakaru; Sumitani, Akira; Endo, Akira

    2017-01-01

    Successful merger of state-of-the-art, semiconductor quantum-cascade lasers (QCL), with the mature CO2 laser technology, resulted in a delivery of highly-desired qualities of CO2 laser output that were not available previously without much effort. These qualities, such as multi-line operation, excellent spectro-temporal stability and pulse waveform control, became available from a single device of moderate complexity. This paper describes the operation principle and the unique properties of the solid{state seeded CO2 laser, invented for an application in laser-produced-plasma (LPP), extreme-UV (EUV) light source.

  17. Compact 13.5-nm free-electron laser for extreme ultraviolet lithography

    Directory of Open Access Journals (Sweden)

    Y. Socol

    2011-04-01

    Full Text Available Optical lithography has been actively used over the past decades to produce more and more dense integrated circuits. To keep with the pace of the miniaturization, light of shorter and shorter wavelength was used with time. The capabilities of the present 193-nm UV photolithography were expanded time after time, but it is now believed that further progress will require deployment of extreme ultraviolet (EUV lithography based on the use of 13.5-nm radiation. However, presently no light source exists with sufficient average power to enable high-volume manufacturing. We report here the results of a study that shows the feasibility of a free-electron laser EUV source driven by a multiturn superconducting energy-recovery linac (ERL. The proposed 40×20  m^{2} facility, using MW-scale consumption from the power grid, is estimated to provide about 5 kW of average EUV power. We elaborate the self-amplified spontaneous emission (SASE option, which is presently technically feasible. A regenerative-amplifier option is also discussed. The proposed design is based on a short-period (2–3 cm undulator. The corresponding electron beam energy is about 0.5–1.0 GeV. The proposed accelerator consists of a photoinjector, a booster, and a multiturn ERL.

  18. Experimental study of EUV mirror radiation damage resistance under long-term free-electron laser exposures below the single-shot damage threshold

    Czech Academy of Sciences Publication Activity Database

    Makhotkin, I.; Sobierajski, R.; Chalupský, Jaromír; Tiedtke, K.; de Vries, G.; Stoermer, M.; Scholze, F.; Siewert, F.; van de Kruijs, R.W.E.; Milov, I.; Louis, E.; Jacyna, I.; Jurek, M.; Klinger, D.; Nittler, L.; Syryanyy, Y.; Juha, Libor; Hájková, Věra; Vozda, Vojtěch; Burian, Tomáš; Saksl, Karel; Faatz, B.; Keitel, B.; Ploenjes, E.; Schreiber, S.; Toleikis, S.; Loch, R.A.; Hermann, M.; Strobel, S.; Nienhuys, H.-K.; Gwalt, G.; Mey, T.; Enkisch, H.

    2018-01-01

    Roč. 25, č. 1 (2018), s. 77-84 ISSN 1600-5775. [Workshop on FEL Photon Diagnostics, Instrumentation and Beamline Design (PhotonDiag2017). Stanford, 01.05.2017-03.05.2017] R&D Projects: GA MŠk LG15013; GA ČR(CZ) GA17-05167s; GA ČR(CZ) GA14-29772S Institutional support: RVO:68378271 Keywords : free-electron laser induced damage * EUV optics * thin films * FELs Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 3.011, year: 2016

  19. Sourcing Team Behavior in Project-Based MNE's

    DEFF Research Database (Denmark)

    Hansen, Anders Peder Lysholm

    2014-01-01

    across the three cases was characterized by conflict between departments represented in the category teams. This resulted in unfortunate sourcing team behaviour and unaligned performance management, which in turn had a number of adverse effects. Further research on how to create a holistic and balanced......This paper presents and discusses a multiple case study of three cross-functional category teams responsible for sourcing critical components within multi-national, project-based enterprises. The study focused on behaviour and management of the sourcing teams and found that the sourcing process...... team perspective in the sourcing teams is suggested....

  20. Cyclotron-based neutron source for BNCT

    Energy Technology Data Exchange (ETDEWEB)

    Mitsumoto, T.; Yajima, S.; Tsutsui, H.; Ogasawara, T.; Fujita, K. [Sumitomo Heavy Industries, Ltd (Japan); Tanaka, H.; Sakurai, Y.; Maruhashi, A. [Kyoto University Research Reactor Institute (Japan)

    2013-04-19

    Kyoto University Research Reactor Institute (KURRI) and Sumitomo Heavy Industries, Ltd. (SHI) have developed a cyclotron-based neutron source for Boron Neutron Capture Therapy (BNCT). It was installed at KURRI in Osaka prefecture. The neutron source consists of a proton cyclotron named HM-30, a beam transport system and an irradiation and treatment system. In the cyclotron, H- ions are accelerated and extracted as 30 MeV proton beams of 1 mA. The proton beams is transported to the neutron production target made by a beryllium plate. Emitted neutrons are moderated by lead, iron, aluminum and calcium fluoride. The aperture diameter of neutron collimator is in the range from 100 mm to 250 mm. The peak neutron flux in the water phantom is 1.8 Multiplication-Sign 109 neutrons/cm{sup 2}/sec at 20 mm from the surface at 1 mA proton beam. The neutron source have been stably operated for 3 years with 30 kW proton beam. Various pre-clinical tests including animal tests have been done by using the cyclotron-based neutron source with {sup 10}B-p-Borono-phenylalanine. Clinical trials of malignant brain tumors will be started in this year.

  1. Uses of microchannel plate intensified detectors for imaging applications in the X-ray, EUV and visible wavelength regions

    International Nuclear Information System (INIS)

    Read, P.D.; Carter, M.K.; Pike, C.D.; Harrison, R.A.; Kent, B.J.; Swinyard, B.M.; Patchett, B.E.; Redfern, R.M.; Shearer, A.; Colhoun, M.

    1997-01-01

    The Rutherford Appleton laboratory photon counting detector (RALPCD) has been refined to meet project requirements for a flexible imaging arrangement with applications at X-ray, EUV and visible wavelengths. The basic detector design comprises commercially available high gain microchannel plate intensifiers fibre optically coupled to CID or CCD cameras, to form a modular detector arrangement with the appropriate RAL detection and centroiding software. Frames of data from the cameras are detected and centroided in a transputer or C40 parallel processor array where correction algorithms use look up tables to produce pattern free images at high resolution. Data from completed applications are used to illustrate the performance and future advances are discussed. (orig.)

  2. Coherence techniques at extreme ultraviolet wavelengths

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chang [Univ. of California, Berkeley, CA (United States)

    2002-01-01

    The renaissance of Extreme Ultraviolet (EUV) and soft x-ray (SXR) optics in recent years is mainly driven by the desire of printing and observing ever smaller features, as in lithography and microscopy. This attribute is complemented by the unique opportunity for element specific identification presented by the large number of atomic resonances, essentially for all materials in this range of photon energies. Together, these have driven the need for new short-wavelength radiation sources (e.g. third generation synchrotron radiation facilities), and novel optical components, that in turn permit new research in areas that have not yet been fully explored. This dissertation is directed towards advancing this new field by contributing to the characterization of spatial coherence properties of undulator radiation and, for the first time, introducing Fourier optical elements to this short-wavelength spectral region. The first experiment in this dissertation uses the Thompson-Wolf two-pinhole method to characterize the spatial coherence properties of the undulator radiation at Beamline 12 of the Advanced Light Source. High spatial coherence EUV radiation is demonstrated with appropriate spatial filtering. The effects of small vertical source size and beamline apertures are observed. The difference in the measured horizontal and vertical coherence profile evokes further theoretical studies on coherence propagation of an EUV undulator beamline. A numerical simulation based on the Huygens-Fresnel principle is performed.

  3. Status of EUVL mask development in Europe (Invited Paper)

    Science.gov (United States)

    Peters, Jan H.

    2005-06-01

    EUV lithography is the prime candidate for the next generation lithography technology after 193 nm immersion lithography. The commercial onset for this technology is expected for the 45 nm half-pitch technology or below. Several European and national projects and quite a large number of companies and research institutions in Europe work on various aspects of the technological challenges to make EUV a commercially viable technology in the not so far future. Here the development of EUV sources, the development of an EUV exposure tools, metrology tools dedicated for characterization of mask, the production of EUV mask blanks and the mask structuring itself are the key areas in which major activities can be found. In this talk we will primarily focus on those activities, which are related to establish an EUV mask supply chain with all its ingredients from substrate production, polishing, deposition of EUV layers, blank characterization, mask patterning process and the consecutive metrology and defect inspection as well as shipping and handling from blank supply to usage in the wafer fab. The EUV mask related projects on the national level are primarily supported by the French Ministry of Economics and Finance (MinEFi) and the German Ministry of Education and Research (BMBF).

  4. Phosphorus-based compounds for EUV multilayer optics materials

    NARCIS (Netherlands)

    Medvedev, Viacheslav; Yakshin, Andrey; van de Kruijs, Robbert Wilhelmus Elisabeth; Bijkerk, Frederik

    2015-01-01

    We have evaluated the prospects of phosphorus-based compounds in extreme ultraviolet multilayer optics. Boron phosphide (BP) is suggested to be used as a spacer material in reflective multilayer optics operating just above the L-photoabsorption edge of P (λ ≈9.2 nm). Mo, Ag, Ru, Rh, and Pd were

  5. Estimate of the global-scale joule heating rates in the thermosphere due to time mean currents

    International Nuclear Information System (INIS)

    Roble, R.G.; Matsushita, S.

    1975-01-01

    An estimate of the global-scale joule heating rates in the thermosphere is made based on derived global equivalent overhead electric current systems in the dynamo region during geomagnetically quiet and disturbed periods. The equivalent total electric field distribution is calculated from Ohm's law. The global-scale joule heating rates are calculated for various monthly average periods in 1965. The calculated joule heating rates maximize at high latitudes in the early evening and postmidnight sectors. During geomagnetically quiet times the daytime joule heating rates are considerably lower than heating by solar EUV radiation. However, during geomagnetically disturbed periods the estimated joule heating rates increase by an order of magnitude and can locally exceed the solar EUV heating rates. The results show that joule heating is an important and at times the dominant energy source at high latitudes. However, the global mean joule heating rates calculated near solar minimum are generally small compared to the global mean solar EUV heating rates. (auth)

  6. High-brightness electron guns for linac-based light sources

    International Nuclear Information System (INIS)

    Lewellen, J.W.

    2004-01-01

    Most proposed linac-based light sources, such as single-pass free-electron lasers and energy-recovery-linacs, require very high-brightness electron beams in order to achieve their design performance. These beam requirements must be achieved not on an occasional basis, but rather must be met by every bunch produced by the source over extended periods of time. It is widely assumed that the beam source will be a photocathode electron gun; the selection of accelerator technique (e.g., dc or rf) for the gun is more dependent on the application.The current state of the art of electron beam production is adequate but not ideal for the first generation of linac-based light sources, such as the Linac Coherent Light Source (LCLS) x-ray free-electron laser (X-FEL). For the next generation of linac-based light sources, an order of magnitude reduction in the transverse electron beam emittance is required to significantly reduce the cost of the facility. This is beyond the present state of the art, given the other beam properties that must be maintained. The requirements for current and future linac-based light source beam sources are presented here, along with a review of the present state of the art. A discussion of potential paths towards meeting future needs is presented at the conclusion.

  7. LED-based UV source for monitoring spectroradiometer properties

    Science.gov (United States)

    Sildoja, Meelis-Mait; Nevas, Saulius; Kouremeti, Natalia; Gröbner, Julian; Pape, Sven; Pendsa, Stefan; Sperfeld, Peter; Kemus, Fabian

    2018-06-01

    A compact and stable UV monitoring source based on state-of-the-art commercially available ultraviolet light emitting diodes (UV-LEDs) has been developed. It is designed to trace the radiometric stability—both responsivity and wavelength scale—of array spectroradiometers measuring direct solar irradiance in the wavelength range between 300 nm and 400 nm. The spectral irradiance stability of the UV-LED-based light source observed in the laboratory after seasoning (burning-in) the individual LEDs was better than 0.3% over a 12 h period of continuous operation. The integral irradiance measurements of the source over a period of several months, where the UV-LED source was not operated continuously between the measurements, showed stability within 0.3%. In-field measurements of the source with an array spectroradiometer indicated the stability of the source to be within the standard uncertainty of the spectroradiometer calibration, which was within 1% to 2%.

  8. Calculations of accelerator-based neutron sources characteristics

    International Nuclear Information System (INIS)

    Tertytchnyi, R.G.; Shorin, V.S.

    2000-01-01

    Accelerator-based quasi-monoenergetic neutron sources (T(p,n), D(d;n), T(d;n) and Li (p,n)-reactions) are widely used in experiments on measuring the interaction cross-sections of fast neutrons with nuclei. The present work represents the code for calculation of the yields and spectra of neutrons generated in (p, n)- and ( d; n)-reactions on some targets of light nuclei (D, T; 7 Li). The peculiarities of the stopping processes of charged particles (with incident energy up to 15 MeV) in multilayer and multicomponent targets are taken into account. The code version is made in terms of the 'SOURCE,' a subroutine for the well-known MCNP code. Some calculation results for the most popular accelerator- based neutron sources are given. (authors)

  9. Investigating the Origins of Two Extreme Solar Particle Events: Proton Source Profile and Associated Electromagnetic Emissions

    Energy Technology Data Exchange (ETDEWEB)

    Kocharov, Leon; Usoskin, Ilya [Sodankylä Geophysical Observatory/Oulu Unit, University of Oulu, P.O.B. 3000, Oulu FI-90014 (Finland); Pohjolainen, Silja [Tuorla Observatory, University of Turku, Piikkiö FI-21500 (Finland); Mishev, Alexander [Space Climate Research Unit, University of Oulu, Oulu FI-90014 (Finland); Reiner, Mike J. [The Catholic University of America, Washington, DC, and NASA/Goddard Space Flight Center, Greenbelt, MD (United States); Lee, Jeongwoo [Department of Physics and Astronomy, Seoul National University, Seoul 151-747 (Korea, Republic of); Laitinen, Timo [Jeremiah Horrocks Institute, University of Central Lancashire, Preston PR1 2HE (United Kingdom); Didkovsky, Leonid V. [University of Southern California Space Sciences Center, 835 Bloom Walk, Los Angeles CA 90089 (United States); Pizzo, Victor J. [NOAA Space Weather Prediction Center, Boulder, CO 80305 (United States); Kim, Roksoon; Cho, Kyung-Suk [Korea Astronomy and Space Science Institute, Daejeon 305-348 (Korea, Republic of); Klassen, Andreas [Institut für Experimentelle und Angewandte Physik, Christian-Albrechts-Universität, Kiel D-24118 (Germany); Karlicky, Marian [Astronomical Institute of the Czech Academy of Sciences, Fričova 258, Ondřejov 251 65 (Czech Republic); Gary, Dale E. [Center for Solar-Terrestrial Research, New Jersey Institute of Technology, Newark NJ 07102-1982 (United States); Valtonen, Eino; Vainio, Rami [Space Research Laboratory, University of Turku, Turku FI-20014 (Finland)

    2017-04-20

    We analyze the high-energy particle emission from the Sun in two extreme solar particle events in which protons are accelerated to relativistic energies and can cause a significant signal even in the ground-based particle detectors. Analysis of a relativistic proton event is based on modeling of the particle transport and interaction, from a near-Sun source through the solar wind and the Earth’s magnetosphere and atmosphere to a detector on the ground. This allows us to deduce the time profile of the proton source at the Sun and compare it with observed electromagnetic emissions. The 1998 May 2 event is associated with a flare and a coronal mass ejection (CME), which were well observed by the Nançay Radioheliograph, thus the images of the radio sources are available. For the 2003 November 2 event, the low corona images of the CME liftoff obtained at the Mauna Loa Solar Observatory are available. Those complementary data sets are analyzed jointly with the broadband dynamic radio spectra, EUV images, and other data available for both events. We find a common scenario for both eruptions, including the flare’s dual impulsive phase, the CME-launch-associated decimetric-continuum burst, and the late, low-frequency type III radio bursts at the time of the relativistic proton injection into the interplanetary medium. The analysis supports the idea that the two considered events start with emission of relativistic protons previously accelerated during the flare and CME launch, then trapped in large-scale magnetic loops and later released by the expanding CME.

  10. Small Coronal Holes Near Active Regions as Sources of Slow Solar Wind

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Y.-M., E-mail: yi.wang@nrl.navy.mil [Space Science Division, Naval Research Laboratory, Washington, DC 20375 (United States)

    2017-06-01

    We discuss the nature of the small areas of rapidly diverging, open magnetic flux that form in the strong unipolar fields at the peripheries of active regions (ARs), according to coronal extrapolations of photospheric field measurements. Because such regions usually have dark counterparts in extreme-ultraviolet (EUV) images, we refer to them as coronal holes, even when they appear as narrow lanes or contain sunspots. Revisiting previously identified “AR sources” of slow solar wind from 1998 and 1999, we find that they are all associated with EUV coronal holes; the absence of well-defined He i 1083.0 nm counterparts to some of these holes is attributed to the large flux of photoionizing radiation from neighboring AR loops. Examining a number of AR-associated EUV holes during the 2014 activity maximum, we confirm that they are characterized by wind speeds of ∼300–450 km s{sup −1}, O{sup 7+}/O{sup 6+} ratios of ∼0.05–0.4, and footpoint field strengths typically of order 30 G. The close spacing between ARs at sunspot maximum limits the widths of unipolar regions and their embedded holes, while the continual emergence of new flux leads to rapid changes in the hole boundaries. Because of the highly nonradial nature of AR fields, the smaller EUV holes are often masked by the overlying canopy of loops, and may be more visible toward one solar limb than at central meridian. As sunspot activity declines, the AR remnants merge to form much larger, weaker, and longer-lived unipolar regions, which harbor the “classical” coronal holes that produce recurrent high-speed streams.

  11. Spatially and temporally resolved EUV emissions from SATURN z-pinches

    International Nuclear Information System (INIS)

    Nash, T.J.; Breeze, S.; Mock, R.; Jobe, D.

    1995-01-01

    EUV emissions can be used to measure several z-pinch parameters. The authors have measured implosion velocity from Doppler splitting of lines and estimated electron temperature during run-in from the mean ionization state of line emissions. In an argon pinch they measure an electron temperature of 100 eV before stagnation. To date Doppler split lines have measured implosion velocities less than 40 cm/microsecond. They are presently attempting to measure magnetic field or load current from Zeeman splitting and it may be possible to measure electron density from a Stark-broadened line. Opacity and ion thermal broadening may also contribute to line width information. The spectrometer utilizes a variable line space grating to give a flat focal field. Spectral resolution with a 60 micron detector resolution is up to 3,000 and generally increases with wavelength. This is sufficient to detect several plasma line broadening mechanisms. The spectrometer may detect lines above 100 angstrom and below 1,400 angstrom. Spectral range across a microchannel plate stripline detector decreases with increasing wavelength setting. The authors may gate two striplines with 1 to 12 nsec gates at any time during the pinch discharge. Each stripline spatially images the pinch diameter perpendicular to the direction of dispersion. Spatial resolution in the pinch diameter is 1 mm. Spatial acquisition along the z axis is also 1 mm. Data are presented from argon, krypton, and aluminum z-pinch discharges on the SATURN accelerator

  12. Neon-like Iron Ion Lines Measured in NIFS/Large Helical Device (LHD) and Hinode/EUV Imaging Spectrometer (EIS)

    Science.gov (United States)

    Watanabe, Tetsuya; Hara, Hirohisa; Murakami, Izumi; Kato, Daiji; Sakaue, Hiroyuki A.; Morita, Shigeru; Suzuki, Chihiro; Tamura, Naoki; Yamamoto, Norimasa; Nakamura, Nobuyuki

    2017-06-01

    Line intensities emerging from the Ne-sequence iron ion (Fe XVII) are measured in the laboratory, by the Large Helical Device at the National Institute for Fusion Science, and in the solar corona by the EUV Imaging Spectrometer (EIS) on board the Hinode mission. The intensity ratios of Fe XVII λ 204.6/λ 254.8 are derived in the laboratory by unblending the contributions of the Fe XIII and XII line intensities. They are consistent with theoretical predictions and solar observations, the latter of which endorses the in-flight radiometric calibrations of the EIS instrument. The still remaining temperature-dependent behavior of the line ratio suggests the contamination of lower-temperature iron lines that are blended with the λ 204.6 line.

  13. Neon-like Iron Ion Lines Measured in NIFS/Large Helical Device (LHD) and Hinode /EUV Imaging Spectrometer (EIS)

    Energy Technology Data Exchange (ETDEWEB)

    Watanabe, Tetsuya; Hara, Hirohisa [National Astronomical Observatory, National Institutes of Natural Sciences 2-21-1 Osawa Mitaka Tokyo, 181-8588 (Japan); Murakami, Izumi; Kato, Daiji; Morita, Shigeru [SOKENDAI (Graduate University for Advanced Studies) Hayama, Miura-gun, Kanagawa, 240-0193 (Japan); Sakaue, Hiroyuki A.; Suzuki, Chihiro; Tamura, Naoki [National Institute for Fusion Science, National Institutes of Natural Sciences 322-6 Oroshi-cho, Toki Gifu, 509-5292 (Japan); Yamamoto, Norimasa [Chubu University 1200 Matsumoto-cho, Kasugai Aichi, 487-0027 (Japan); Nakamura, Nobuyuki, E-mail: watanabe@uvlab.mtk.nao.ac.jp [The University of Electro-Communications 1-5-1 Chofugaoka, Chofu Tokyo, 182-8585 (Japan)

    2017-06-10

    Line intensities emerging from the Ne-sequence iron ion (Fe xvii) are measured in the laboratory, by the Large Helical Device at the National Institute for Fusion Science, and in the solar corona by the EUV Imaging Spectrometer (EIS) on board the Hinode mission. The intensity ratios of Fe xvii λ 204.6/ λ 254.8 are derived in the laboratory by unblending the contributions of the Fe xiii and xii line intensities. They are consistent with theoretical predictions and solar observations, the latter of which endorses the in-flight radiometric calibrations of the EIS instrument. The still remaining temperature-dependent behavior of the line ratio suggests the contamination of lower-temperature iron lines that are blended with the λ 204.6 line.

  14. Source-based neurofeedback methods using EEG recordings: training altered brain activity in a functional brain source derived from blind source separation

    Science.gov (United States)

    White, David J.; Congedo, Marco; Ciorciari, Joseph

    2014-01-01

    A developing literature explores the use of neurofeedback in the treatment of a range of clinical conditions, particularly ADHD and epilepsy, whilst neurofeedback also provides an experimental tool for studying the functional significance of endogenous brain activity. A critical component of any neurofeedback method is the underlying physiological signal which forms the basis for the feedback. While the past decade has seen the emergence of fMRI-based protocols training spatially confined BOLD activity, traditional neurofeedback has utilized a small number of electrode sites on the scalp. As scalp EEG at a given electrode site reflects a linear mixture of activity from multiple brain sources and artifacts, efforts to successfully acquire some level of control over the signal may be confounded by these extraneous sources. Further, in the event of successful training, these traditional neurofeedback methods are likely influencing multiple brain regions and processes. The present work describes the use of source-based signal processing methods in EEG neurofeedback. The feasibility and potential utility of such methods were explored in an experiment training increased theta oscillatory activity in a source derived from Blind Source Separation (BSS) of EEG data obtained during completion of a complex cognitive task (spatial navigation). Learned increases in theta activity were observed in two of the four participants to complete 20 sessions of neurofeedback targeting this individually defined functional brain source. Source-based EEG neurofeedback methods using BSS may offer important advantages over traditional neurofeedback, by targeting the desired physiological signal in a more functionally and spatially specific manner. Having provided preliminary evidence of the feasibility of these methods, future work may study a range of clinically and experimentally relevant brain processes where individual brain sources may be targeted by source-based EEG neurofeedback. PMID

  15. Hiding the Source Based on Limited Flooding for Sensor Networks.

    Science.gov (United States)

    Chen, Juan; Lin, Zhengkui; Hu, Ying; Wang, Bailing

    2015-11-17

    Wireless sensor networks are widely used to monitor valuable objects such as rare animals or armies. Once an object is detected, the source, i.e., the sensor nearest to the object, generates and periodically sends a packet about the object to the base station. Since attackers can capture the object by localizing the source, many protocols have been proposed to protect source location. Instead of transmitting the packet to the base station directly, typical source location protection protocols first transmit packets randomly for a few hops to a phantom location, and then forward the packets to the base station. The problem with these protocols is that the generated phantom locations are usually not only near the true source but also close to each other. As a result, attackers can easily trace a route back to the source from the phantom locations. To address the above problem, we propose a new protocol for source location protection based on limited flooding, named SLP. Compared with existing protocols, SLP can generate phantom locations that are not only far away from the source, but also widely distributed. It improves source location security significantly with low communication cost. We further propose a protocol, namely SLP-E, to protect source location against more powerful attackers with wider fields of vision. The performance of our SLP and SLP-E are validated by both theoretical analysis and simulation results.

  16. Enhancement of conversion efficiency of extreme ultraviolet radiation from a liquid aqueous solution microjet target by use of dual laser pulses

    Science.gov (United States)

    Higashiguchi, Takeshi; Dojyo, Naoto; Hamada, Masaya; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi

    2006-03-01

    We demonstrated a debris-free, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO II) nano-particles. By using a low SnO II concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris.

  17. Hiding the Source Based on Limited Flooding for Sensor Networks

    Directory of Open Access Journals (Sweden)

    Juan Chen

    2015-11-01

    Full Text Available Wireless sensor networks are widely used to monitor valuable objects such as rare animals or armies. Once an object is detected, the source, i.e., the sensor nearest to the object, generates and periodically sends a packet about the object to the base station. Since attackers can capture the object by localizing the source, many protocols have been proposed to protect source location. Instead of transmitting the packet to the base station directly, typical source location protection protocols first transmit packets randomly for a few hops to a phantom location, and then forward the packets to the base station. The problem with these protocols is that the generated phantom locations are usually not only near the true source but also close to each other. As a result, attackers can easily trace a route back to the source from the phantom locations. To address the above problem, we propose a new protocol for source location protection based on limited flooding, named SLP. Compared with existing protocols, SLP can generate phantom locations that are not only far away from the source, but also widely distributed. It improves source location security significantly with low communication cost. We further propose a protocol, namely SLP-E, to protect source location against more powerful attackers with wider fields of vision. The performance of our SLP and SLP-E are validated by both theoretical analysis and simulation results.

  18. X-ray Imaging and preliminary studies of the X-ray self-emission from an innovative plasma-trap based on the Bernstein waves heating mechanism

    Science.gov (United States)

    Caliri, C.; Romano, F. P.; Mascali, D.; Gammino, S.; Musumarra, A.; Castro, G.; Celona, L.; Neri, L.; Altana, C.

    2013-10-01

    Electron Cyclotron Resonance Ion Sources (ECRIS) are based on ECR heated plasmas emitting high fluxes of X-rays. Here we illustrate a pilot study of the X-ray emission from a compact plasma-trap in which an off-resonance microwave-plasma interaction has been attempted, highlighting a possible Bernstein-Waves based heating mechanism. EBWs-heating is obtained via the inner plasma EM-to-ES wave conversion and enables to reach densities much larger than the cut-off ones. At LNS-INFN, an innovative diagnostic technique based on the design of a Pinhole Camera (PHC) coupled to a CCD device for X-ray Imaging of the plasma (XRI) has been developed, in order to integrate X-ray traditional diagnostics (XRS). The complementary use of electrostatic probes measurements and X-ray diagnostics enabled us to gain knowledge about the high energy electrons density and temperature and about the spatial structure of the source. The combination of the experimental data with appropriate modeling of the plasma-source allowed to estimate the X-ray emission intensity in different energy domains (ranging from EUV up to Hard X-rays). The use of ECRIS as X-ray source for multidisciplinary applications, is now a concrete perspective due to the intense fluxes produced by the new plasma heating mechanism.

  19. Set of instruments for solar EUV and soft X-ray monitoring onboard satellite Coronas-Photon

    Science.gov (United States)

    Kotov, Yury; Kochemasov, Alexey; Kuzin, Sergey; Kuznetsov, Vladimir; Sylwester, Janusz; Yurov, Vitaly

    Coronas-Photon mission is the third satellite of the Russian Coronas program on solar activity observation. The main goal of the "Coronas-Photon" is the study of solar hard electromagnetic radiation in the wide energy range from UV up to high energy gamma-radiation (2000MeV). Scientific payload for solar radiation observation consists of three types of instruments: Monitors (Natalya-2M, Konus-RF, RT-2, Penguin-M, BRM, PHOKA, Sphin-X, SOKOL spectral and timing measurements of full solar disk radiation have timing in flare/burst mode up to one msec. Instruments Natalya-2M, Konus-RF, RT-2 will cover the wide energy range of hard X-rays and soft gamma-rays (15keV to 2000MeV) and will together constitute the largest area detectors ever used for solar observations. Detectors of gamma-ray monitors are based on structured inorganic scintillators. For X-ray and EUV monitors the scintillation phoswich detectors, gas proportional counter, CdZnTe assembly and filter-covered Si-diodes are used. Telescope-spectrometer TESIS for imaging solar spectroscopy in X-rays has angular resolution up to 1arcsec in three spectral lines. Satellite platform and scientific payload is under construction to be launched in autumn 2008. Satellite orbit is circular with initial height 550km and inclination 82.5degrees. Accuracy of the spacecraft orientation to the Sun is better 3arcmin. In the report the capability of PHOKA, SphinX, SOKOL and TESIS as well as the observation program are described and discussed.

  20. A Source Anonymity-Based Lightweight Secure AODV Protocol for Fog-Based MANET.

    Science.gov (United States)

    Fang, Weidong; Zhang, Wuxiong; Xiao, Jinchao; Yang, Yang; Chen, Wei

    2017-06-17

    Fog-based MANET (Mobile Ad hoc networks) is a novel paradigm of a mobile ad hoc network with the advantages of both mobility and fog computing. Meanwhile, as traditional routing protocol, ad hoc on-demand distance vector (AODV) routing protocol has been applied widely in fog-based MANET. Currently, how to improve the transmission performance and enhance security are the two major aspects in AODV's research field. However, the researches on joint energy efficiency and security seem to be seldom considered. In this paper, we propose a source anonymity-based lightweight secure AODV (SAL-SAODV) routing protocol to meet the above requirements. In SAL-SAODV protocol, source anonymous and secure transmitting schemes are proposed and applied. The scheme involves the following three parts: the source anonymity algorithm is employed to achieve the source node, without being tracked and located; the improved secure scheme based on the polynomial of CRC-4 is applied to substitute the RSA digital signature of SAODV and guarantee the data integrity, in addition to reducing the computation and energy consumption; the random delayed transmitting scheme (RDTM) is implemented to separate the check code and transmitted data, and achieve tamper-proof results. The simulation results show that the comprehensive performance of the proposed SAL-SAODV is a trade-off of the transmission performance, energy efficiency, and security, and better than AODV and SAODV.

  1. Absolute calibration of a SPRED [Spectrometer Recording Extended Domain] EUV [extreme ultraviolet] spectrograph for use on the DIII-D tokamak

    International Nuclear Information System (INIS)

    Wood, R.D.; Allen, S.L.

    1988-01-01

    We have performed an absolute intensity calibration of a SPRED multichannel EUV spectrograph using synchrotron radiation from the NBS SURF-II electron storage ring. The calibration procedure and results for both a survey grating (450 g/mm) and a high-resolution (2100 g/mm) grating are presented. The spectrograph is currently in use on the DIII-D tokamak with a tangential line-of-sight at the plasma midplane. Data is first acquired and processed by a microcomputer; the absolute line intensities are then sent to the DIII-D database for comparison with data from other diagnostics. Representative data from DIII-D plasma operations will be presented. 6 refs., 3 figs., 1 tab

  2. Berkeley extreme-ultraviolet airglow rocket spectrometer - BEARS

    Science.gov (United States)

    Cotton, D. M.; Chakrabarti, S.

    1992-01-01

    The Berkeley EUV airglow rocket spectrometer (BEARS) instrument is described. The instrument was designed in particular to measure the dominant lines of atomic oxygen in the FUV and EUV dayglow at 1356, 1304, 1027, and 989 A, which is the ultimate source of airglow emissions. The optical and mechanical design of the instrument, the detector, electronics, calibration, flight operations, and results are examined.

  3. ASSOCIATION OF {sup 3}He-RICH SOLAR ENERGETIC PARTICLES WITH LARGE-SCALE CORONAL WAVES

    Energy Technology Data Exchange (ETDEWEB)

    Bučík, Radoslav [Institut für Astrophysik, Georg-August-Universität Göttingen, D-37077, Göttingen (Germany); Innes, Davina E. [Max-Planck-Institut für Sonnensystemforschung, D-37077, Göttingen (Germany); Mason, Glenn M. [Applied Physics Laboratory, Johns Hopkins University, Laurel, MD 20723 (United States); Wiedenbeck, Mark E., E-mail: bucik@mps.mpg.de [Jet Propulsion Laboratory, California Institute of Technology, Pasadena, CA 91109 (United States)

    2016-12-10

    Small, {sup 3}He-rich solar energetic particle (SEP) events have been commonly associated with extreme-ultraviolet (EUV) jets and narrow coronal mass ejections (CMEs) that are believed to be the signatures of magnetic reconnection, involving field lines open to interplanetary space. The elemental and isotopic fractionation in these events are thought to be caused by processes confined to the flare sites. In this study, we identify 32 {sup 3}He-rich SEP events observed by the Advanced Composition Explorer , near the Earth, during the solar minimum period 2007–2010, and we examine their solar sources with the high resolution Solar Terrestrial Relations Observatory ( STEREO ) EUV images. Leading the Earth, STEREO -A has provided, for the first time, a direct view on {sup 3}He-rich flares, which are generally located on the Sun’s western hemisphere. Surprisingly, we find that about half of the {sup 3}He-rich SEP events in this survey are associated with large-scale EUV coronal waves. An examination of the wave front propagation, the source-flare distribution, and the coronal magnetic field connections suggests that the EUV waves may affect the injection of {sup 3}He-rich SEPs into interplanetary space.

  4. Laser technologies for ultrasensitive groundwater dating using long-lived isotopes

    Energy Technology Data Exchange (ETDEWEB)

    Backus, Sterling [KMLabs Inc., Boulder, CO (United States)

    2017-01-31

    In this phase I work, we propose to construct and demonstrate a 103 nm laser based on resonantly enhanced and phase matched fifth harmonic generation in hollow waveguides driven by a high power, low cost and compact ultrafast fiber laser. (Figure 4) This VUV laser source can potentially produce >100 milliwatts of VUV light at 103 nm with pulse repetition-rates of 100 kHz to 100 MHz, ideal for the above-mentioned applications. This technology is state-of-the-art and potentially compact, fieldable, low-cost, and of broad interest for a variety of science and technology applications. Laser-based VUV sources in the past have exhibited low repetition rate, low efficiency, low beam quality, and are based on expensive laser sources. Our approch is to combine ultrafast fiber laser drive technology, ultrafast pulses, and our proven waveguide technology, to create a high repetition rate, high average power VUV source for producing high yield metastable Krypton. At KMLabs we have been offering EUV light sources employing the high harmonic generation (HHG) process driven by high-power femtosecond lasers for >5 years now. Recently, we have developed much smaller scale (briefcase size), but still high average power femtosecond fiber laser sources to supply other markets, and create new ones. By combining these new laser sources with our patented waveguide frequency upconversion technology, we expect to be able to obtain >20mW average power initially, with potentially much higher powers depending on wavelength, in an affordable VUV product. For comparison, our current EUV light sources based on ti:sapphire generate an average power of ~5 µW (albeit at shorter 29 nm wavelength), and we are aware of one other supplier that has developed a VUV (112 nm) light source with ~10-20 µW power.

  5. Structure and sources of solar wind in the growing phase of 24th solar cycle

    Science.gov (United States)

    Slemzin, Vladimir; Goryaev, Farid; Shugay, Julia; Rodkin, Denis; Veselovsky, Igor

    2015-04-01

    We present analysis of the solar wind (SW) structure and its association with coronal sources during the minimum and rising phase of 24th solar cycle (2009-2011). The coronal sources prominent in this period - coronal holes, small areas of open magnetic fields near active regions and transient sources associated with small-scale solar activity have been investigated using EUV solar images and soft X-ray fluxes obtained by the CORONAS-Photon/TESIS/Sphinx, PROBA2/SWAP, Hinode/EIS and AIA/SDO instruments as well as the magnetograms obtained by HMI/SDO. It was found that at solar minimum (2009) velocity and magnetic field strength of high speed wind (HSW) and transient SW from small-scale flares did not differ significantly from those of the background slow speed wind (SSW). The major difference between parameters of different SW components was seen in the ion composition represented by the C6/C5, O7/O6, Fe/O ratios and the mean charge of Fe ions. With growing solar activity, the speed of HSW increased due to transformation of its sources - small-size low-latitude coronal holes into equatorial extensions of large polar holes. At that period, the ion composition of transient SW changed from low-temperature to high-temperature values, which was caused by variation of the source conditions and change of the recombination/ionization rates during passage of the plasma flow through the low corona. However, we conclude that criteria of separation of the SW components based on the ion ratios established earlier by Zhao&Fisk (2009) for higher solar activity are not applicable to the extremely weak beginning of 24th cycle. The research leading to these results has received funding from the European Commission's Seventh Framework Programme (FP7/2007-2013) under the grant agreement eHeroes (project n° 284461, www.eheroes.eu).

  6. Accelerator based neutron source for neutron capture therapy

    International Nuclear Information System (INIS)

    Salimov, R.; Bayanov, B.; Belchenko, Yu.; Belov, V.; Davydenko, V.; Donin, A.; Dranichnikov, A.; Ivanov, A.; Kandaurov, I; Kraynov, G.; Krivenko, A.; Kudryavtsev, A.; Kursanov, N.; Savkin, V.; Shirokov, V.; Sorokin, I.; Taskaev, S.; Tiunov, M.

    2004-01-01

    Full text: The Budker Institute of Nuclear Physics (Novosibirsk) and the Institute of Physics and Power Engineering (Obninsk) have proposed an accelerator based neutron source for neutron capture and fast neutron therapy for hospital. Innovative approach is based upon vacuum insulation tandem accelerator (VITA) and near threshold 7 Li(p,n) 7 Be neutron generation. Pilot accelerator based neutron source for neutron capture therapy is under construction now at the Budker Institute of Nuclear Physics, Novosibirsk, Russia. In the present report, the pilot facility design is presented and discussed. Design features of facility components are discussed. Results of experiments and simulations are presented. Complete experimental tests are planned by the end of the year 2005

  7. MR-based source localization for MR-guided HDR brachytherapy

    Science.gov (United States)

    Beld, E.; Moerland, M. A.; Zijlstra, F.; Viergever, M. A.; Lagendijk, J. J. W.; Seevinck, P. R.

    2018-04-01

    For the purpose of MR-guided high-dose-rate (HDR) brachytherapy, a method for real-time localization of an HDR brachytherapy source was developed, which requires high spatial and temporal resolutions. MR-based localization of an HDR source serves two main aims. First, it enables real-time treatment verification by determination of the HDR source positions during treatment. Second, when using a dummy source, MR-based source localization provides an automatic detection of the source dwell positions after catheter insertion, allowing elimination of the catheter reconstruction procedure. Localization of the HDR source was conducted by simulation of the MR artifacts, followed by a phase correlation localization algorithm applied to the MR images and the simulated images, to determine the position of the HDR source in the MR images. To increase the temporal resolution of the MR acquisition, the spatial resolution was decreased, and a subpixel localization operation was introduced. Furthermore, parallel imaging (sensitivity encoding) was applied to further decrease the MR scan time. The localization method was validated by a comparison with CT, and the accuracy and precision were investigated. The results demonstrated that the described method could be used to determine the HDR source position with a high accuracy (0.4–0.6 mm) and a high precision (⩽0.1 mm), at high temporal resolutions (0.15–1.2 s per slice). This would enable real-time treatment verification as well as an automatic detection of the source dwell positions.

  8. Six transformer based asymmetrical embedded Z-source inverters

    DEFF Research Database (Denmark)

    Wei, Mo; Poh Chiang, Loh; Chi, Jin

    2013-01-01

    Embedded/Asymmetrical embedded Z-source inverters were proposed to maintain smooth input current/voltage across the dc source and within the impedance network, remain the shoot-through feature used to boost up the dc-link voltage without adding bulky filter at input side. This paper introduces a ...... a class of transformer based asymmetrical embedded Z-source inverters which keep the smooth input current and voltage while achieving enhanced voltage boost capability. The presented inverters are verified by laboratory prototypes experimentally....

  9. Preliminary design of GDT-based 14 MeV neutron source

    International Nuclear Information System (INIS)

    Du Hongfei; Chen Dehong; Wang Hui; Wang Fuqiong; Jiang Jieqiong; Wu Yican; Chen Yiping

    2012-01-01

    To meet the need of D-T fusion neutron source for fusion material testing, design goals were presented in this paper according to the international requirements of neutron source for fusion material testing. A preliminary design scheme of GDT-based 14 MeV neutron source was proposed, and a physics model of the neutron source was built based on progress of GDT experiments. Two preliminary design schemes (i. e. FDS-GDT1, FDS-GDT2) were designed; among which FDS-GDT2 can be used for fusion material testing with neutron first wall loading of 2 MW/m 2 . (authors)

  10. Atomic structure calculations and identification of EUV and SXR spectral lines in Sr XXX

    Science.gov (United States)

    Goyal, Arun; Khatri, Indu; Aggarwal, Sunny; Singh, A. K.; Mohan, Man

    2015-08-01

    We report an extensive theoretical study of atomic data for Sr XXX in a wide range with L-shell electron excitations to the M-shell. We have calculated energy levels, wave-function compositions and lifetimes for lowest 113 fine structure levels and wavelengths of an extreme Ultraviolet (EUV) and soft X-ray (SXR) transitions. We have employed multi-configuration Dirac Fock method (MCDF) approach within the framework of Dirac-Coulomb Hamiltonian including quantum electrodynamics (QED) and Breit corrections. We have also presented the radiative data for electric and magnetic dipole (E1, M1) and quadrupole (E2, M2) transitions from the ground state. We have made comparisons with available energy levels compiled by NIST and achieve good agreement. But due to inadequate data in the literature, analogous relativistic distorted wave calculations have also been performed using flexible atomic code (FAC) to assess the reliability and accuracy of our results. Additionally, we have provided new atomic data for Sr XXX which is not published elsewhere in the literature and we believe that our results may be beneficial in fusion plasma research and astrophysical investigations and applications.

  11. EUV lines observed with EIS/Hinode in a solar prominence

    Science.gov (United States)

    Labrosse, N.; Schmieder, B.; Heinzel, P.; Watanabe, T.

    2011-07-01

    Context. During a multi-wavelength observation campaign with Hinode and ground-based instruments, a solar prominence was observed for three consecutive days as it crossed the western limb of the Sun in April 2007. Aims: We report on observations obtained on 26 April 2007 using EIS (Extreme ultraviolet Imaging Spectrometer) on Hinode. They are analysed to provide a qualitative diagnostic of the plasma in different parts of the prominence. Methods: After correcting for instrumental effects, the rasters at different wavelengths are presented. Several regions within the same prominence are identified for further analysis. Selected profiles for lines with formation temperatures between log (T) = 4.7 and log (T) = 6.3, as well as their integrated intensities, are given. The profiles of coronal, transition region, and He ii lines are discussed. We pay special attention to the He ii line, which is blended with coronal lines. Results: Some quantitative results are obtained by analysing the line profiles. They confirm that depression in EUV lines can be interpreted in terms of two mechanisms: absorption of coronal radiation by the hydrogen and neutral helium resonance continua, and emissivity blocking. We present estimates of the He ii line integrated intensity in different parts of the prominence according to different scenarios for the relative contribution of absorption and emissivity blocking to the coronal lines blended with the He ii line. We estimate the contribution of the He ii 256.32 Å line to the He ii raster image to vary between ~44% and 70% of the raster's total intensity in the prominence according to the different models used to take into account the blending coronal lines. The inferred integrated intensities of the He ii 256 Å line are consistent with the theoretical intensities obtained with previous 1D non-LTE radiative transfer calculations, yielding a preliminary estimate of the central temperature of 8700 K, a central pressure of 0.33 dyn cm-2, and a

  12. Agent-based power sharing scheme for active hybrid power sources

    Science.gov (United States)

    Jiang, Zhenhua

    The active hybridization technique provides an effective approach to combining the best properties of a heterogeneous set of power sources to achieve higher energy density, power density and fuel efficiency. Active hybrid power sources can be used to power hybrid electric vehicles with selected combinations of internal combustion engines, fuel cells, batteries, and/or supercapacitors. They can be deployed in all-electric ships to build a distributed electric power system. They can also be used in a bulk power system to construct an autonomous distributed energy system. An important aspect in designing an active hybrid power source is to find a suitable control strategy that can manage the active power sharing and take advantage of the inherent scalability and robustness benefits of the hybrid system. This paper presents an agent-based power sharing scheme for active hybrid power sources. To demonstrate the effectiveness of the proposed agent-based power sharing scheme, simulation studies are performed for a hybrid power source that can be used in a solar car as the main propulsion power module. Simulation results clearly indicate that the agent-based control framework is effective to coordinate the various energy sources and manage the power/voltage profiles.

  13. Radiation damage resistance of AlGaN detectors for applications in the extreme-ultraviolet spectral range

    Energy Technology Data Exchange (ETDEWEB)

    Barkusky, Frank; Peth, Christian; Bayer, Armin; Mann, Klaus [Laser-Laboratorium-Goettingen e.V., Hans-Adolf-Krebs-Weg 1, D-37077 Goettingen (Germany); John, Joachim; Malinowski, Pawel E. [Interuniversity MicroElectronic Center (IMEC), Kapeldreef 75, B-3001 Leuven (Belgium)

    2009-09-15

    We report on the fabrication of aluminum gallium nitride (AlGaN) Schottky-photodiode-based detectors. AlGaN layers were grown using metal-organic chemical vapor deposition (MOCVD) on Si(111) wafers. The diodes were characterized at a wavelength of 13.5 nm using a table-top extreme-ultraviolet (EUV) radiation source, consisting of a laser-produced xenon plasma and a Schwarzschild objective. The responsivity of the diodes was tested between EUV energies ranging from 320 nJ down to several picojoules. For low fluences, a linear responsivity of 7.14 mAs/J could be determined. Saturation starts at approximately 1 nJ, merging into a linear response of 0.113 mAs/J, which could be attributed to the photoeffect on the Au electrodes on top of the diode. Furthermore, degradation tests were performed up to an absolute dose of 3.3x10{sup 19} photons/cm{sup 2}. AlGaN photodiodes were compared to commercially available silicon-based photodetectors. For AlGaN diodes, responsivity does not change even for the highest EUV dose, whereas the response of the Si diode decreases linearly to {approx}93% after 2x10{sup 19} photons/cm{sup 2}.

  14. Integrating source-language context into phrase-based statistical machine translation

    NARCIS (Netherlands)

    Haque, R.; Kumar Naskar, S.; Bosch, A.P.J. van den; Way, A.

    2011-01-01

    The translation features typically used in Phrase-Based Statistical Machine Translation (PB-SMT) model dependencies between the source and target phrases, but not among the phrases in the source language themselves. A swathe of research has demonstrated that integrating source context modelling

  15. A GIS-based time-dependent seismic source modeling of Northern Iran

    Science.gov (United States)

    Hashemi, Mahdi; Alesheikh, Ali Asghar; Zolfaghari, Mohammad Reza

    2017-01-01

    The first step in any seismic hazard study is the definition of seismogenic sources and the estimation of magnitude-frequency relationships for each source. There is as yet no standard methodology for source modeling and many researchers have worked on this topic. This study is an effort to define linear and area seismic sources for Northern Iran. The linear or fault sources are developed based on tectonic features and characteristic earthquakes while the area sources are developed based on spatial distribution of small to moderate earthquakes. Time-dependent recurrence relationships are developed for fault sources using renewal approach while time-independent frequency-magnitude relationships are proposed for area sources based on Poisson process. GIS functionalities are used in this study to introduce and incorporate spatial-temporal and geostatistical indices in delineating area seismic sources. The proposed methodology is used to model seismic sources for an area of about 500 by 400 square kilometers around Tehran. Previous researches and reports are studied to compile an earthquake/fault catalog that is as complete as possible. All events are transformed to uniform magnitude scale; duplicate events and dependent shocks are removed. Completeness and time distribution of the compiled catalog is taken into account. The proposed area and linear seismic sources in conjunction with defined recurrence relationships can be used to develop time-dependent probabilistic seismic hazard analysis of Northern Iran.

  16. Open Source GIS based integrated watershed management

    Science.gov (United States)

    Byrne, J. M.; Lindsay, J.; Berg, A. A.

    2013-12-01

    Optimal land and water management to address future and current resource stresses and allocation challenges requires the development of state-of-the-art geomatics and hydrological modelling tools. Future hydrological modelling tools should be of high resolution, process based with real-time capability to assess changing resource issues critical to short, medium and long-term enviromental management. The objective here is to merge two renowned, well published resource modeling programs to create an source toolbox for integrated land and water management applications. This work will facilitate a much increased efficiency in land and water resource security, management and planning. Following an 'open-source' philosophy, the tools will be computer platform independent with source code freely available, maximizing knowledge transfer and the global value of the proposed research. The envisioned set of water resource management tools will be housed within 'Whitebox Geospatial Analysis Tools'. Whitebox, is an open-source geographical information system (GIS) developed by Dr. John Lindsay at the University of Guelph. The emphasis of the Whitebox project has been to develop a user-friendly interface for advanced spatial analysis in environmental applications. The plugin architecture of the software is ideal for the tight-integration of spatially distributed models and spatial analysis algorithms such as those contained within the GENESYS suite. Open-source development extends knowledge and technology transfer to a broad range of end-users and builds Canadian capability to address complex resource management problems with better tools and expertise for managers in Canada and around the world. GENESYS (Generate Earth Systems Science input) is an innovative, efficient, high-resolution hydro- and agro-meteorological model for complex terrain watersheds developed under the direction of Dr. James Byrne. GENESYS is an outstanding research and applications tool to address

  17. Meals based on vegetable protein sources (beans and peas) are more satiating than meals based on animal protein sources (veal and pork) - a randomized cross-over meal test study

    DEFF Research Database (Denmark)

    Kristensen, Marlene Dahlwad; Bendsen, Nathalie Tommerup; Christensen, Sheena M

    2016-01-01

    BACKGROUND: Recent nutrition recommendations advocate a reduction in protein from animal sources (pork, beef) because of environmental concerns. Instead, protein from vegetable sources (beans, peas) should be increased. However, little is known about the effect of these vegetable protein sources...... on appetite regulation. OBJECTIVE: To examine whether meals based on vegetable protein sources (beans/peas) are comparable to meals based on animal protein sources (veal/pork) regarding meal-induced appetite sensations. DESIGN: In total, 43 healthy, normal-weight, young men completed this randomized, double......-Legume compared to HP-Meat or LP-Legume (pVegetable-based meals (beans/peas) influenced appetite sensations favorably compared to animal-based meals (pork/veal) with similar energy and protein content, but lower fiber content. Interestingly, a vegetable-based meal with low protein content...

  18. Measuring Modularity in Open Source Code Bases

    Directory of Open Access Journals (Sweden)

    Roberto Milev

    2009-03-01

    Full Text Available Modularity of an open source software code base has been associated with growth of the software development community, the incentives for voluntary code contribution, and a reduction in the number of users who take code without contributing back to the community. As a theoretical construct, modularity links OSS to other domains of research, including organization theory, the economics of industry structure, and new product development. However, measuring the modularity of an OSS design has proven difficult, especially for large and complex systems. In this article, we describe some preliminary results of recent research at Carleton University that examines the evolving modularity of large-scale software systems. We describe a measurement method and a new modularity metric for comparing code bases of different size, introduce an open source toolkit that implements this method and metric, and provide an analysis of the evolution of the Apache Tomcat application server as an illustrative example of the insights gained from this approach. Although these results are preliminary, they open the door to further cross-discipline research that quantitatively links the concerns of business managers, entrepreneurs, policy-makers, and open source software developers.

  19. Synchrotron based spallation neutron source concepts

    International Nuclear Information System (INIS)

    Cho, Y.

    1998-01-01

    During the past 20 years, rapid-cycling synchrotrons (RCS) have been used very productively to generate short-pulse thermal neutron beams for neutron scattering research by materials science communities in Japan (KENS), the UK (ISIS) and the US (IPNS). The most powerful source in existence, ISIS in the UK, delivers a 160-kW proton beam to a neutron-generating target. Several recently proposed facilities require proton beams in the MW range to produce intense short-pulse neutron beams. In some proposals, a linear accelerator provides the beam power and an accumulator ring compresses the pulse length to the required ∼ 1 micros. In others, RCS technology provides the bulk of the beam power and compresses the pulse length. Some synchrotron-based proposals achieve the desired beam power by combining two or more synchrotrons of the same energy, and others propose a combination of lower and higher energy synchrotrons. This paper presents the rationale for using RCS technology, and a discussion of the advantages and disadvantages of synchrotron-based spallation sources

  20. Comprehension and Writing Strategy Training Improves Performance on Content-Specific Source-Based Writing Tasks

    Science.gov (United States)

    Weston-Sementelli, Jennifer L.; Allen, Laura K.; McNamara, Danielle S.

    2018-01-01

    Source-based essays are evaluated both on the quality of the writing and the content appropriate interpretation and use of source material. Hence, composing a high-quality source-based essay (an essay written based on source material) relies on skills related to both reading (the sources) and writing (the essay) skills. As such, source-based…

  1. Standard Practice for Conducting Irradiations at Accelerator-Based Neutron Sources

    CERN Document Server

    American Society for Testing and Materials. Philadelphia

    1996-01-01

    1.1 This practice covers procedures for irradiations at accelerator-based neutron sources. The discussion focuses on two types of sources, namely nearly monoenergetic 14-MeV neutrons from the deuterium-tritium T(d,n) interaction, and broad spectrum neutrons from stopping deuterium beams in thick beryllium or lithium targets. However, most of the recommendations also apply to other types of accelerator-based sources, including spallation neutron sources (1). Interest in spallation sources has increased recently due to their proposed use for transmutation of fission reactor waste (2). 1.2 Many of the experiments conducted using such neutron sources are intended to simulate irradiation in another neutron spectrum, for example, that from a DT fusion reaction. The word simulation is used here in a broad sense to imply an approximation of the relevant neutron irradiation environment. The degree of conformity can range from poor to nearly exact. In general, the intent of these simulations is to establish the fundam...

  2. A New Spin on Teaching Vocabulary: A Source-Based Approach.

    Science.gov (United States)

    Nilsen, Alleen Pace; Nilsen, Don L. F.

    2003-01-01

    Suggests that teachers should try to use a source-based approach to teaching vocabulary. Explains that a source-based approach starts with basic concepts of human languages and then works with lexical and metaphorical extensions of these basic words. Notes that the purpose of this approach is to find groups of words that can be taught as webs and…

  3. Low-k films modification under EUV and VUV radiation

    International Nuclear Information System (INIS)

    Rakhimova, T V; Rakhimov, A T; Mankelevich, Yu A; Lopaev, D V; Kovalev, A S; Vasil'eva, A N; Zyryanov, S M; Kurchikov, K; Proshina, O V; Voloshin, D G; Novikova, N N; Krishtab, M B; Baklanov, M R

    2014-01-01

    Modification of ultra-low-k films by extreme ultraviolet (EUV) and vacuum ultraviolet (VUV) emission with 13.5, 58.4, 106, 147 and 193 nm wavelengths and fluences up to 6 × 10 18  photons cm −2 is studied experimentally and theoretically to reveal the damage mechanism and the most ‘damaging’ spectral region. Organosilicate glass (OSG) and organic low-k films with k-values of 1.8–2.5 and porosity of 24–51% are used in these experiments. The Si–CH 3 bonds depletion is used as a criterion of VUV damage of OSG low-k films. It is shown that the low-k damage is described by two fundamental parameters: photoabsorption (PA) cross-section σ PA and effective quantum yield φ of Si–CH 3 photodissociation. The obtained σ PA and φ values demonstrate that the effect of wavelength is defined by light absorption spectra, which in OSG materials is similar to fused silica. This is the reason why VUV light in the range of ∼58–106 nm having the highest PA cross-sections causes strong Si–CH 3 depletion only in the top part of the films (∼50–100 nm). The deepest damage is observed after exposure to 147 nm VUV light since this emission is located at the edge of Si–O absorption, has the smallest PA cross-section and provides extensive Si–CH 3 depletion over the whole film thickness. The effective quantum yield slowly increases with the increasing porosity but starts to grow quickly when the porosity exceeds the critical threshold located close to a porosity of ∼50%. The high degree of pore interconnectivity of these films allows easy movement of the detached methyl radicals. The obtained results have a fundamental character and can be used for prediction of ULK material damage under VUV light with different wavelengths. (paper)

  4. Center-to-Limb Variability of Hot Coronal EUV Emissions During Solar Flares

    Science.gov (United States)

    Thiemann, E. M. B.; Chamberlin, P. C.; Eparvier, F. G.; Epp, L.

    2018-02-01

    It is generally accepted that densities of quiet-Sun and active region plasma are sufficiently low to justify the optically thin approximation, and this is commonly used in the analysis of line emissions from plasma in the solar corona. However, the densities of solar flare loops are substantially higher, compromising the optically thin approximation. This study begins with a radiative transfer model that uses typical solar flare densities and geometries to show that hot coronal emission lines are not generally optically thin. Furthermore, the model demonstrates that the observed line intensity should exhibit center-to-limb variability (CTLV), with flares observed near the limb being dimmer than those occurring near disk center. The model predictions are validated with an analysis of over 200 flares observed by the EUV Variability Experiment (EVE) on the Solar Dynamics Observatory (SDO), which uses six lines, with peak formation temperatures between 8.9 and 15.8 MK, to show that limb flares are systematically dimmer than disk-center flares. The data are then used to show that the electron column density along the line of sight typically increases by 1.76 × 10^{19} cm^{-2} for limb flares over the disk-center flare value. It is shown that the CTLV of hot coronal emissions reduces the amount of ionizing radiation propagating into the solar system, and it changes the relative intensities of lines and bands commonly used for spectral analysis.

  5. Metamagnetism, sign reversal and low temperature magnetocaloric effect in single-crystalline EuV2Al20

    Science.gov (United States)

    Ramesh Kumar, K.; Nair, Harikrishnan S.; Bhattacharyya, A.; Thamizhavel, A.; Strydom, André M.

    2018-04-01

    The Frank-Kasper cage compound EuV2Al20 crystallizes in the cubic structure with Fd 3 ‾ m space group and exhibits unusual magnetic and transport properties. The system undergoes an antiferromagnetic transition below 5.6 K wherein the Eu2+ moments are aligned anti-parallel along 〈1 1 1〉 direction and the system exhibits a weak metamagetic transition at the field of 1 T. Arrott plots (M2 vs H / M) show a "S" shaped variation in the low fields below TN and the plausible reason for the occurrence of negative slope is discussed. Isothermal magnetic entropy change is estimated from both magnetization and heat capacity measurements invoking the Maxwell's thermodynamic relations. Temperature variation of ΔSm showed a weak negative minimum and a sign reversal at the field value of 1 T due to field induced metamagnetic transition. Universal master curve is constructed by rescaling the ΔSm vs T curves in the context of analysing the nature of the magnetic transition.

  6. Accelerator-based neutron source and its future

    International Nuclear Information System (INIS)

    Kiyanagi, Yoshiaki

    2008-01-01

    Neutrons are useful tool for the material science and also for the industrial applications. Now, high intensity neutron sources based on MW class big accelerators are under commissioning in Japan, Japan Spallation Neutron Source (JSNS) at J-PARC and in the US, SNS. Such high power neutron sources required the moderators that can be used under high radiation field and also give high neutronic performance. We have been performing experimental and Monte Carlo simulation studies to develop the cold neutron moderator systems for the high power sources since it is becoming important for materials and life science. Hydrogen is the unique candidate at the present stage due to its high resistibility to the radiation. It was indicated the para hydrogen moderator gave a good neutronic performance by experimental results. On the other hand, in the future, low power neutron sources are recognized to be useful to perform sprouting experiments and to promote the neutron science. The moderator systems need a concept different from the high power source. Therefore, we studied neutronic performances of the mesitylene and the methane moderators to get high intensity in a definite area on the moderator surface. Single groove moderators were studied and optimal geometry and the intensity gain were obtained. The mesitylene moderator gave a rather good performance compared to the methane moderator. (author)

  7. Spatiotemporal Analysis of Coronal Loops Using Seismology of Damped Kink Oscillations and Forward Modeling of EUV Intensity Profiles

    Science.gov (United States)

    Pascoe, D. J.; Anfinogentov, S. A.; Goddard, C. R.; Nakariakov, V. M.

    2018-06-01

    The shape of the damping profile of kink oscillations in coronal loops has recently allowed the transverse density profile of the loop to be estimated. This requires accurate measurement of the damping profile that can distinguish the Gaussian and exponential damping regimes, otherwise there are more unknowns than observables. Forward modeling of the transverse intensity profile may also be used to estimate the width of the inhomogeneous layer of a loop, providing an independent estimate of one of these unknowns. We analyze an oscillating loop for which the seismological determination of the transverse structure is inconclusive except when supplemented by additional spatial information from the transverse intensity profile. Our temporal analysis describes the motion of a coronal loop as a kink oscillation damped by resonant absorption, and our spatial analysis is based on forward modeling the transverse EUV intensity profile of the loop under the isothermal and optically thin approximations. We use Bayesian analysis and Markov chain Monte Carlo sampling to apply our spatial and temporal models both individually and simultaneously to our data and compare the results with numerical simulations. Combining the two methods allows both the inhomogeneous layer width and density contrast to be calculated, which is not possible for the same data when each method is applied individually. We demonstrate that the assumption of an exponential damping profile leads to a significantly larger error in the inferred density contrast ratio compared with a Gaussian damping profile.

  8. An image-based search for pulsars among Fermi unassociated LAT sources

    Science.gov (United States)

    Frail, D. A.; Ray, P. S.; Mooley, K. P.; Hancock, P.; Burnett, T. H.; Jagannathan, P.; Ferrara, E. C.; Intema, H. T.; de Gasperin, F.; Demorest, P. B.; Stovall, K.; McKinnon, M. M.

    2018-03-01

    We describe an image-based method that uses two radio criteria, compactness, and spectral index, to identify promising pulsar candidates among Fermi Large Area Telescope (LAT) unassociated sources. These criteria are applied to those radio sources from the Giant Metrewave Radio Telescope all-sky survey at 150 MHz (TGSS ADR1) found within the error ellipses of unassociated sources from the 3FGL catalogue and a preliminary source list based on 7 yr of LAT data. After follow-up interferometric observations to identify extended or variable sources, a list of 16 compact, steep-spectrum candidates is generated. An ongoing search for pulsations in these candidates, in gamma rays and radio, has found 6 ms pulsars and one normal pulsar. A comparison of this method with existing selection criteria based on gamma-ray spectral and variability properties suggests that the pulsar discovery space using Fermi may be larger than previously thought. Radio imaging is a hitherto underutilized source selection method that can be used, as with other multiwavelength techniques, in the search for Fermi pulsars.

  9. Configuration interaction calculations and excitation rates of X-ray and EUV transitions in sulfurlike manganese

    Energy Technology Data Exchange (ETDEWEB)

    El-Maaref, A.A., E-mail: ahmed.maaref@azhar.edu.eg; Saddeek, Y.B.; Abou halaka, M.M.

    2017-02-15

    Highlights: • Fine-structure calculations of sulfurlike Mn have been performed using configuration interaction technique, CI. • The relativistic effects, Breit-Pauli Hameltonian, have been correlated to the CI calculations. • Excitation rates by electron impact of the Mn X ion have been evaluated up to ionization potential. - Abstract: Fine-structure calculations of energies and transition parameters have been performed using the configuration interaction technique (CI) as implemented in CIV3 code for sulfurlike manganese, Mn X. The calculations are executed in an intermediate coupling scheme using the Breit-Pauli Hamiltonian. As well as, energy levels and oscillator strengths are calculated using LANL code, where the calculations by LANL have been used to estimate the accuracy of the present CI calculations. The calculated energy levels, oscillator strengths, and lifetimes are in reasonable agreement with the published experimental and theoretical values. Electron impact excitation rates of the transitions emit soft X-ray and extreme ultraviolet (EUV) wavelengths have been evaluated. The level population densities are calculated using the collisional radiative model (CRM), as well. The collisional excitation rates and collision strengths have been calculated in the electron temperature range ≤ the ionization potential, ∼1–250 eV.

  10. High power pulsed sources based on fiber amplifiers

    Science.gov (United States)

    Canat, Guillaume; Jaouën, Yves; Mollier, Jean-Claude; Bouzinac, Jean-Pierre; Cariou, Jean-Pierre

    2017-11-01

    Cladding-pumped rare-earth-doped fiber laser technologies are currently among the best sources for high power applications. Theses extremely compact and robust sources appoint them as good candidate for aeronautical and space applications. The double-clad (DC) fiber converts the poor beamquality of high-power large-area pump diodes from the 1st cladding to laser light at another wavelength guided in an active single-mode core. High-power coherent MOPA (Master Oscillator Power Amplifier) sources (several 10W CW or several 100W in pulsed regime) will soon be achieved. Unfortunately it also brings nonlinear effects which quickly impairs output signal distortions. Stimulated Brillouin scattering (SBS) and optical parametric amplification (OPA) have been shown to be strong limitations. Based on amplifier modeling and experiments we discuss the performances of these sources.

  11. Delineation of seismic source zones based on seismicity parameters ...

    Indian Academy of Sciences (India)

    In the present study, an attempt has been made to delineate seismic source zones in the study area (south India) based on the seismicity parameters. Seismicity parameters and the maximum probable earthquake for these source zones were evaluated and were used in the hazard evaluation. The probabilistic evaluation of ...

  12. Prospects of Source-Separation-Based Sanitation Concepts: A Model-Based Study

    Directory of Open Access Journals (Sweden)

    Cees Buisman

    2013-07-01

    Full Text Available Separation of different domestic wastewater streams and targeted on-site treatment for resource recovery has been recognized as one of the most promising sanitation concepts to re-establish the balance in carbon, nutrient and water cycles. In this study a model was developed based on literature data to compare energy and water balance, nutrient recovery, chemical use, effluent quality and land area requirement in four different sanitation concepts: (1 centralized; (2 centralized with source-separation of urine; (3 source-separation of black water, kitchen refuse and grey water; and (4 source-separation of urine, feces, kitchen refuse and grey water. The highest primary energy consumption of 914 MJ/capita(cap/year was attained within the centralized sanitation concept, and the lowest primary energy consumption of 437 MJ/cap/year was attained within source-separation of urine, feces, kitchen refuse and grey water. Grey water bio-flocculation and subsequent grey water sludge co-digestion decreased the primary energy consumption, but was not energetically favorable to couple with grey water effluent reuse. Source-separation of urine improved the energy balance, nutrient recovery and effluent quality, but required larger land area and higher chemical use in the centralized concept.

  13. Pixel-based parametric source depth map for Cerenkov luminescence imaging

    International Nuclear Information System (INIS)

    Altabella, L.; Spinelli, A.E.; Boschi, F.

    2016-01-01

    Optical tomography represents a challenging problem in optical imaging because of the intrinsically ill-posed inverse problem due to photon diffusion. Cerenkov luminescence tomography (CLT) for optical photons produced in tissues by several radionuclides (i.e.: 32P, 18F, 90Y), has been investigated using both 3D multispectral approach and multiviews methods. Difficult in convergence of 3D algorithms can discourage to use this technique to have information of depth and intensity of source. For these reasons, we developed a faster 2D corrected approach based on multispectral acquisitions, to obtain source depth and its intensity using a pixel-based fitting of source intensity. Monte Carlo simulations and experimental data were used to develop and validate the method to obtain the parametric map of source depth. With this approach we obtain parametric source depth maps with a precision between 3% and 7% for MC simulation and 5–6% for experimental data. Using this method we are able to obtain reliable information about the source depth of Cerenkov luminescence with a simple and flexible procedure

  14. Source-Based Tasks in Writing Independent and Integrated Essays

    Directory of Open Access Journals (Sweden)

    Javad Gholami

    2017-07-01

    Full Text Available Integrated writing tasks have gained considerable attention in ESL and EFL writing assessment and are frequently needed and used in academic settings and daily life. However, they are very rarely practiced and promoted in writing classes. This paper explored the effects of source-based writing practice on EFL learners’ composing abilities and investigated the probable differences between those tasks and independent writing ones in improving Iranian EFL learners’ essay writing abilities. To this end, a quasi-experimental design was implemented to gauge EFL learners’ writing improvements using a pretest-posttest layout. Twenty female learners taking a TOEFL iBT preparation course were randomly divided into an only-writing group with just independent writing instruction and essay practice, and a hybrid-writing-approach group receiving instruction and practice on independent writing plus source-based essay writing for ten sessions. Based on the findings, the participants with hybrid writing practice outperformed their counterparts in integrated essay tests. Their superior performance was not observed in the case of traditional independent writing tasks. The present study calls for incorporating more source-based writing tasks in writing courses.

  15. Very high flux steady state reactor and accelerator based sources

    International Nuclear Information System (INIS)

    Ludewig, H.; Todosow, M.; Simos, N.; Shapiro, S.; Hastings, J.

    2004-01-01

    With the number of steady state neutron sources in the US declining (including the demise of the Bnl HFBR) the remaining intense sources are now in Europe (i.e. reactors - ILL and FMR, accelerator - PSI). The intensity of the undisturbed thermal flux for sources currently in operation ranges from 10 14 n/cm 2 *s to 10 15 n/cm 2 *s. The proposed Advanced Neutron Source (ANS) was to be a high power reactor (about 350 MW) with a projected undisturbed thermal flux of 7*10 15 n/cm 2 *s but never materialized. The objective of the current study is to explore the requirements and implications of two source concepts with an undisturbed flux of 10 16 n/cm 2 *s. The first is a reactor based concept operating at high power density (10 MW/l - 15 MW/l) and a total power of 100 MW - 250 MW, depending on fissile enrichment. The second is an accelerator based concept relying on a 1 GeV - 1.5 GeV proton Linac with a total beam power of 40 MW and a liquid lead-bismuth eutectic target. In the reactor source study, the effects of fissile material enrichment, coolant temperature and pressure drop, and estimates of pressure vessel stress levels will be investigated. The fuel form for the reactor will be different from all other operating source reactors in that it is proposed to use an infiltrated graphitic structure, which has been developed for nuclear thermal propulsion reactor applications. In the accelerator based source the generation of spallation products and their activation levels, and the material damage sustained by the beam window will be investigated. (authors)

  16. Ultra-high accuracy optical testing: creating diffraction-limited short-wavelength optical systems

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick P.; Rekawa, Senajith B.; Denham, Paul E.; Liddle, J. Alexander; Gullikson, Eric M.; Jackson, KeithH.; Anderson, Erik H.; Taylor, John S.; Sommargren, Gary E.; Chapman, Henry N.; Phillion, Donald W.; Johnson, Michael; Barty, Anton; Soufli, Regina; Spiller, Eberhard A.; Walton, Christopher C.; Bajt, Sasa

    2005-01-01

    Since 1993, research in the fabrication of extreme ultraviolet (EUV) optical imaging systems, conducted at Lawrence Berkeley National Laboratory (LBNL) and Lawrence Livermore National Laboratory (LLNL), has produced the highest resolution optical systems ever made. We have pioneered the development of ultra-high-accuracy optical testing and alignment methods, working at extreme ultraviolet wavelengths, and pushing wavefront-measuring interferometry into the 2-20-nm wavelength range (60-600 eV). These coherent measurement techniques, including lateral shearing interferometry and phase-shifting point-diffraction interferometry (PS/PDI) have achieved RMS wavefront measurement accuracies of 0.5-1-(angstrom) and better for primary aberration terms, enabling the creation of diffraction-limited EUV optics. The measurement accuracy is established using careful null-testing procedures, and has been verified repeatedly through high-resolution imaging. We believe these methods are broadly applicable to the advancement of short-wavelength optical systems including space telescopes, microscope objectives, projection lenses, synchrotron beamline optics, diffractive and holographic optics, and more. Measurements have been performed on a tunable undulator beamline at LBNL's Advanced Light Source (ALS), optimized for high coherent flux; although many of these techniques should be adaptable to alternative ultraviolet, EUV, and soft x-ray light sources. To date, we have measured nine prototype all-reflective EUV optical systems with NA values between 0.08 and 0.30 (f/6.25 to f/1.67). These projection-imaging lenses were created for the semiconductor industry's advanced research in EUV photolithography, a technology slated for introduction in 2009-13. This paper reviews the methods used and our program's accomplishments to date

  17. Physics-Based Hazard Assessment for Critical Structures Near Large Earthquake Sources

    Science.gov (United States)

    Hutchings, L.; Mert, A.; Fahjan, Y.; Novikova, T.; Golara, A.; Miah, M.; Fergany, E.; Foxall, W.

    2017-09-01

    We argue that for critical structures near large earthquake sources: (1) the ergodic assumption, recent history, and simplified descriptions of the hazard are not appropriate to rely on for earthquake ground motion prediction and can lead to a mis-estimation of the hazard and risk to structures; (2) a physics-based approach can address these issues; (3) a physics-based source model must be provided to generate realistic phasing effects from finite rupture and model near-source ground motion correctly; (4) wave propagations and site response should be site specific; (5) a much wider search of possible sources of ground motion can be achieved computationally with a physics-based approach; (6) unless one utilizes a physics-based approach, the hazard and risk to structures has unknown uncertainties; (7) uncertainties can be reduced with a physics-based approach, but not with an ergodic approach; (8) computational power and computer codes have advanced to the point that risk to structures can be calculated directly from source and site-specific ground motions. Spanning the variability of potential ground motion in a predictive situation is especially difficult for near-source areas, but that is the distance at which the hazard is the greatest. The basis of a "physical-based" approach is ground-motion syntheses derived from physics and an understanding of the earthquake process. This is an overview paper and results from previous studies are used to make the case for these conclusions. Our premise is that 50 years of strong motion records is insufficient to capture all possible ranges of site and propagation path conditions, rupture processes, and spatial geometric relationships between source and site. Predicting future earthquake scenarios is necessary; models that have little or no physical basis but have been tested and adjusted to fit available observations can only "predict" what happened in the past, which should be considered description as opposed to prediction

  18. Reassessment of the technical bases for estimating source terms. Final report

    International Nuclear Information System (INIS)

    Silberberg, M.; Mitchell, J.A.; Meyer, R.O.; Ryder, C.P.

    1986-07-01

    This document describes a major advance in the technology for calculating source terms from postulated accidents at US light-water reactors. The improved technology consists of (1) an extensive data base from severe accident research programs initiated following the TMI accident, (2) a set of coupled and integrated computer codes (the Source Term Code Package), which models key aspects of fission product behavior under severe accident conditions, and (3) a number of detailed mechanistic codes that bridge the gap between the data base and the Source Term Code Package. The improved understanding of severe accident phenonmena has also allowed an identification of significant sources of uncertainty, which should be considered in estimating source terms. These sources of uncertainty are also described in this document. The current technology provides a significant improvement in evaluating source terms over that available at the time of the Reactor Safety Study (WASH-1400) and, because of this significance, the Nuclear Regulatory Commission staff is recommending its use

  19. MOSES: A Matlab-based open-source stochastic epidemic simulator.

    Science.gov (United States)

    Varol, Huseyin Atakan

    2016-08-01

    This paper presents an open-source stochastic epidemic simulator. Discrete Time Markov Chain based simulator is implemented in Matlab. The simulator capable of simulating SEQIJR (susceptible, exposed, quarantined, infected, isolated and recovered) model can be reduced to simpler models by setting some of the parameters (transition probabilities) to zero. Similarly, it can be extended to more complicated models by editing the source code. It is designed to be used for testing different control algorithms to contain epidemics. The simulator is also designed to be compatible with a network based epidemic simulator and can be used in the network based scheme for the simulation of a node. Simulations show the capability of reproducing different epidemic model behaviors successfully in a computationally efficient manner.

  20. Separation of non-stationary multi-source sound field based on the interpolated time-domain equivalent source method

    Science.gov (United States)

    Bi, Chuan-Xing; Geng, Lin; Zhang, Xiao-Zheng

    2016-05-01

    In the sound field with multiple non-stationary sources, the measured pressure is the sum of the pressures generated by all sources, and thus cannot be used directly for studying the vibration and sound radiation characteristics of every source alone. This paper proposes a separation model based on the interpolated time-domain equivalent source method (ITDESM) to separate the pressure field belonging to every source from the non-stationary multi-source sound field. In the proposed method, ITDESM is first extended to establish the relationship between the mixed time-dependent pressure and all the equivalent sources distributed on every source with known location and geometry information, and all the equivalent source strengths at each time step are solved by an iterative solving process; then, the corresponding equivalent source strengths of one interested source are used to calculate the pressure field generated by that source alone. Numerical simulation of two baffled circular pistons demonstrates that the proposed method can be effective in separating the non-stationary pressure generated by every source alone in both time and space domains. An experiment with two speakers in a semi-anechoic chamber further evidences the effectiveness of the proposed method.

  1. Efficient extreme ultraviolet plasma source generated by a CO2 laser and a liquid xenon microjet target

    Science.gov (United States)

    Ueno, Yoshifumi; Ariga, Tatsuya; Soumagne, George; Higashiguchi, Takeshi; Kubodera, Shoichi; Pogorelsky, Igor; Pavlishin, Igor; Stolyarov, Daniil; Babzien, Marcus; Kusche, Karl; Yakimenko, Vitaly

    2007-05-01

    We demonstrated efficacy of a CO2-laser-produced xenon plasma in the extreme ultraviolet (EUV) spectral region at 13.5nm at variable laser pulse widths between 200ps and 25ns. The plasma target was a 30μm liquid xenon microjet. To ensure the optimum coupling of CO2 laser energy with the plasma, they applied a prepulse yttrium aluminum garnet laser. The authors measured the conversion efficiency (CE) of the 13.5nm EUV emission for different pulse widths of the CO2 laser. A maximum CE of 0.6% was obtained for a CO2 laser pulse width of 25ns at an intensity of 5×1010W/cm2.

  2. Efficient extreme ultraviolet plasma source generated by a CO2 laser and a liquid xenon microjet target

    International Nuclear Information System (INIS)

    Ueno, Yoshifumi; Ariga, Tatsuya; Soumagne, George; Higashiguchi, Takeshi; Kubodera, Shoichi; Pogorelsky, Igor; Pavlishin, Igor; Stolyarov, Daniil; Babzien, Marcus; Kusche, Karl; Yakimenko, Vitaly

    2007-01-01

    We demonstrated efficacy of a CO 2 -laser-produced xenon plasma in the extreme ultraviolet (EUV) spectral region at 13.5 nm at variable laser pulse widths between 200 ps and 25 ns. The plasma target was a 30 μm liquid xenon microjet. To ensure the optimum coupling of CO 2 laser energy with the plasma, they applied a prepulse yttrium aluminum garnet laser. The authors measured the conversion efficiency (CE) of the 13.5 nm EUV emission for different pulse widths of the CO 2 laser. A maximum CE of 0.6% was obtained for a CO 2 laser pulse width of 25 ns at an intensity of 5x10 10 W/cm 2

  3. DOA Estimation of Multiple LFM Sources Using a STFT-based and FBSS-based MUSIC Algorithm

    Directory of Open Access Journals (Sweden)

    K. B. Cui

    2017-12-01

    Full Text Available Direction of arrival (DOA estimation is an important problem in array signal processing. An effective multiple signal classification (MUSIC method based on the short-time Fourier transform (STFT and forward/ backward spatial smoothing (FBSS techniques for the DOA estimation problem of multiple time-frequency (t-f joint LFM sources is addressed. Previous work in the area e. g. STFT-MUSIC algorithm cannot resolve the t-f completely or largely joint sources because they can only select the single-source t-f points. The proposed method con¬structs the spatial t-f distributions (STFDs by selecting the multiple-source t-f points and uses the FBSS techniques to solve the problem of rank loss. In this way, the STFT-FBSS-MUSIC algorithm can resolve the t-f largely joint or completely joint LFM sources. In addition, the proposed algorithm also owns pretty low computational complexity when resolving multiple LFM sources because it can reduce the times of the feature decomposition and spectrum search. The performance of the proposed method is compared with that of the existing t-f based MUSIC algorithms through computer simulations and the results show its good performance.

  4. Modeling 13.3nm Fe XXIII Flare Emissions Using the GOES-R EXIS Instrument

    Science.gov (United States)

    Rook, H.; Thiemann, E.

    2017-12-01

    The solar EUV spectrum is dominated by atomic transitions in ionized atoms in the solar atmosphere. As solar flares evolve, plasma temperatures and densities change, influencing abundances of various ions, changing intensities of different EUV wavelengths observed from the sun. Quantifying solar flare spectral irradiance is important for constraining models of Earth's atmosphere, improving communications quality, and controlling satellite navigation. However, high time cadence measurements of flare irradiance across the entire EUV spectrum were not available prior to the launch of SDO. The EVE MEGS-A instrument aboard SDO collected 0.1nm EUV spectrum data from 2010 until 2014, when the instrument failed. No current or future instrument is capable of similar high resolution and time cadence EUV observation. This necessitates a full EUV spectrum model to study EUV phenomena at Earth. It has been recently demonstrated that one hot flare EUV line, such as the 13.3nm Fe XXIII line, can be used to model cooler flare EUV line emissions, filling the role of MEGS-A. Since unblended measurements of Fe XXIII are typically unavailable, a proxy for the Fe XXIII line must be found. In this study, we construct two models of this line, first using the GOES 0.1-0.8nm soft x-ray (SXR) channel as the Fe XXIII proxy, and second using a physics-based model dependent on GOES emission measure and temperature data. We determine that the more sophisticated physics-based model shows better agreement with Fe XXIII measurements, although the simple proxy model also performs well. We also conclude that the high correlation between Fe XXIII emissions and the GOES 0.1-0.8nm band is because both emissions tend to peak near the GOES emission measure peak despite large differences in their contribution functions.

  5. Controlling contamination in Mo/Si multilayer mirrors by Si surface capping modifications

    Science.gov (United States)

    Malinowski, Michael E.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.; Mrowka, Stanley; Soufli, Regina

    2002-07-01

    The performance of Mo/Si multilayer mirrors (MLMs) used to reflect UV (EUV) radiation in an EUV + hydrocarbon (NC) vapor environment can be improved by optimizing the silicon capping layer thickness on the MLM in order to minimize the initial buildup of carbon on MLMs. Carbon buildup is undesirable since it can absorb EUV radiation and reduce MLM reflectivity. A set of Mo/Si MLMs deposited on Si wafers was fabricated such that each MLM had a different Si capping layer thickness ranging form 2 nm to 7 nm. Samples from each MLM wafer were exposed to a combination of EUV light + (HC) vapors at the Advanced Light Source (ALS) synchrotron in order to determine if the Si capping layer thickness affected the carbon buildup on the MLMs. It was found that the capping layer thickness had a major influence on this 'carbonizing' tendency, with the 3 nm layer thickness providing the best initial resistance to carbonizing and accompanying EUV reflectivity loss in the MLM. The Si capping layer thickness deposited on a typical EUV optic is 4.3 nm. Measurements of the absolute reflectivities performed on the Calibration and Standards beamline at the ALS indicated the EUV reflectivity of the 3 nm-capped MLM was actually slightly higher than that of the normal, 4 nm Si-capped sample. These results show that he use of a 3 nm capping layer represents an improvement over the 4 nm layer since the 3 nm has both a higher absolute reflectivity and better initial resistance to carbon buildup. The results also support the general concept of minimizing the electric field intensity at the MLM surface to minimize photoelectron production and, correspondingly, carbon buildup in a EUV + HC vapor environment.

  6. Performance evaluation of a permanent ring magnet based helicon plasma source for negative ion source research

    Science.gov (United States)

    Pandey, Arun; Bandyopadhyay, M.; Sudhir, Dass; Chakraborty, A.

    2017-10-01

    Helicon wave heated plasmas are much more efficient in terms of ionization per unit power consumed. A permanent magnet based compact helicon wave heated plasma source is developed in the Institute for Plasma Research, after carefully optimizing the geometry, the frequency of the RF power, and the magnetic field conditions. The HELicon Experiment for Negative ion-I source is the single driver helicon plasma source that is being studied for the development of a large sized, multi-driver negative hydrogen ion source. In this paper, the details about the single driver machine and the results from the characterization of the device are presented. A parametric study at different pressures and magnetic field values using a 13.56 MHz RF source has been carried out in argon plasma, as an initial step towards source characterization. A theoretical model is also presented for the particle and power balance in the plasma. The ambipolar diffusion process taking place in a magnetized helicon plasma is also discussed.

  7. Sources of the X-rays Based on Compton Scattering

    International Nuclear Information System (INIS)

    Androsov, V.; Bulyak, E.; Gladkikh, P.; Karnaukhov, I.; Mytsykov, A.; Telegin, Yu.; Shcherbakov, A.; Zelinsky, A.

    2007-01-01

    The principles of the intense X-rays generation by laser beam scattering on a relativistic electron beam are described and description of facilities assigned to produce the X-rays based on Compton scattering is presented. The possibilities of various types of such facilities are estimated and discussed. The source of the X-rays based on a storage ring with low beam energy is described in details and advantages of the sources of such type are discussed.The results of calculation and numerical simulation carried out for laser electron storage ring NESTOR that is under development in NSC KIPT show wide prospects of the accelerator facility of such type

  8. PHOTOSPHERIC PROPERTIES OF WARM EUV LOOPS AND HOT X-RAY LOOPS

    Energy Technology Data Exchange (ETDEWEB)

    Kano, R. [National Astronomical Observatory of Japan, 2-21-1 Osawa, Mitaka, Tokyo 181-8588 (Japan); Ueda, K. [Department of Astronomy, Graduate School of Science, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Tsuneta, S., E-mail: ryouhei.kano@nao.ac.jp [Institute of Space and Astronautical Science, Japan Aerospace Exploration Agency, 3-1-1 Yoshinodai, Chuo, Sagamihara, Kanagawa 252-5210 (Japan)

    2014-02-20

    We investigate the photospheric properties (vector magnetic fields and horizontal velocity) of a well-developed active region, NOAA AR 10978, using the Hinode Solar Optical Telescope specifically to determine what gives rise to the temperature difference between ''warm loops'' (1-2 MK), which are coronal loops observed in EUV wavelengths, and ''hot loops'' (>3 MK), coronal loops observed in X-rays. We found that outside sunspots, the magnetic filling factor in the solar network varies with location and is anti-correlated with the horizontal random velocity. If we accept that the observed magnetic features consist of unresolved magnetic flux tubes, this anti-correlation can be explained by the ensemble average of flux-tube motion driven by small-scale random flows. The observed data are consistent with a flux tube width of ∼77 km and horizontal flow at ∼2.6 km s{sup –1} with a spatial scale of ∼120 km. We also found that outside sunspots, there is no significant difference between warm and hot loops either in the magnetic properties (except for the inclination) or in the horizontal random velocity at their footpoints, which are identified with the Hinode X-Ray Telescope and the Transition Region and Coronal Explorer. The energy flux injected into the coronal loops by the observed photospheric motion of the magnetic fields is estimated to be 2 × 10{sup 6} erg s{sup –1} cm{sup –2}, which is the same for both warm and hot loops. This suggests that coronal properties (e.g., loop length) play a more important role in giving rise to temperature differences of active-region coronal loops than photospheric parameters.

  9. Centralized light-source optical access network based on polarization multiplexing.

    Science.gov (United States)

    Grassi, Fulvio; Mora, José; Ortega, Beatriz; Capmany, José

    2010-03-01

    This paper presents and demonstrates a centralized light source optical access network based on optical polarization multiplexing technique. By using two optical sources emitting light orthogonally polarized in the Central Node for downstream and upstream operations, the Remote Node is kept source-free. EVM values below telecommunication standard requirements have been measured experimentally when bidirectional digital signals have been transmitted over 10 km of SMF employing subcarrier multiplexing technique in the electrical domain.

  10. Ultra-high accuracy optical testing: creating diffraction-limitedshort-wavelength optical systems

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick P.; Rekawa, Senajith B.; Denham, Paul E.; Liddle, J. Alexander; Gullikson, Eric M.; Jackson, KeithH.; Anderson, Erik H.; Taylor, John S.; Sommargren, Gary E.; Chapman,Henry N.; Phillion, Donald W.; Johnson, Michael; Barty, Anton; Soufli,Regina; Spiller, Eberhard A.; Walton, Christopher C.; Bajt, Sasa

    2005-08-03

    Since 1993, research in the fabrication of extreme ultraviolet (EUV) optical imaging systems, conducted at Lawrence Berkeley National Laboratory (LBNL) and Lawrence Livermore National Laboratory (LLNL), has produced the highest resolution optical systems ever made. We have pioneered the development of ultra-high-accuracy optical testing and alignment methods, working at extreme ultraviolet wavelengths, and pushing wavefront-measuring interferometry into the 2-20-nm wavelength range (60-600 eV). These coherent measurement techniques, including lateral shearing interferometry and phase-shifting point-diffraction interferometry (PS/PDI) have achieved RMS wavefront measurement accuracies of 0.5-1-{angstrom} and better for primary aberration terms, enabling the creation of diffraction-limited EUV optics. The measurement accuracy is established using careful null-testing procedures, and has been verified repeatedly through high-resolution imaging. We believe these methods are broadly applicable to the advancement of short-wavelength optical systems including space telescopes, microscope objectives, projection lenses, synchrotron beamline optics, diffractive and holographic optics, and more. Measurements have been performed on a tunable undulator beamline at LBNL's Advanced Light Source (ALS), optimized for high coherent flux; although many of these techniques should be adaptable to alternative ultraviolet, EUV, and soft x-ray light sources. To date, we have measured nine prototype all-reflective EUV optical systems with NA values between 0.08 and 0.30 (f/6.25 to f/1.67). These projection-imaging lenses were created for the semiconductor industry's advanced research in EUV photolithography, a technology slated for introduction in 2009-13. This paper reviews the methods used and our program's accomplishments to date.

  11. A method to analyze "source-sink" structure of non-point source pollution based on remote sensing technology.

    Science.gov (United States)

    Jiang, Mengzhen; Chen, Haiying; Chen, Qinghui

    2013-11-01

    With the purpose of providing scientific basis for environmental planning about non-point source pollution prevention and control, and improving the pollution regulating efficiency, this paper established the Grid Landscape Contrast Index based on Location-weighted Landscape Contrast Index according to the "source-sink" theory. The spatial distribution of non-point source pollution caused by Jiulongjiang Estuary could be worked out by utilizing high resolution remote sensing images. The results showed that, the area of "source" of nitrogen and phosphorus in Jiulongjiang Estuary was 534.42 km(2) in 2008, and the "sink" was 172.06 km(2). The "source" of non-point source pollution was distributed mainly over Xiamen island, most of Haicang, east of Jiaomei and river bank of Gangwei and Shima; and the "sink" was distributed over southwest of Xiamen island and west of Shima. Generally speaking, the intensity of "source" gets weaker along with the distance from the seas boundary increase, while "sink" gets stronger. Copyright © 2013 Elsevier Ltd. All rights reserved.

  12. Open Source Web Based Geospatial Processing with OMAR

    Directory of Open Access Journals (Sweden)

    Mark Lucas

    2009-01-01

    Full Text Available The availability of geospatial data sets is exploding. New satellites, aerial platforms, video feeds, global positioning system tagged digital photos, and traditional GIS information are dramatically increasing across the globe. These raw materials need to be dynamically processed, combined and correlated to generate value added information products to answer a wide range of questions. This article provides an overview of OMAR web based geospatial processing. OMAR is part of the Open Source Software Image Map project under the Open Source Geospatial Foundation. The primary contributors of OSSIM make their livings by providing professional services to US Government agencies and programs. OMAR provides one example that open source software solutions are increasingly being deployed in US government agencies. We will also summarize the capabilities of OMAR and its plans for near term development.

  13. Single channel blind source separation based on ICA feature extraction

    Institute of Scientific and Technical Information of China (English)

    2007-01-01

    A new technique is proposed to solve the blind source separation (BSS) given only a single channel observation. The basis functions and the density of the coefficients of source signals learned by ICA are used as the prior knowledge. Based on the learned prior information the learning rules of single channel BSS are presented by maximizing the joint log likelihood of the mixed sources to obtain source signals from single observation,in which the posterior density of the given measurements is maximized. The experimental results exhibit a successful separation performance for mixtures of speech and music signals.

  14. Radioactive source monitoring system based on RFID and GPRS

    International Nuclear Information System (INIS)

    He Haiyang; Zhou Hongliang; Zhang Hongjian; Zhang Sheng; Zhou Junru; Weng Guojie

    2011-01-01

    Nuclear radiation produced by radioactive source is harmful to the health of human body, and the lost and theft of radioactive source will cause environmental pollution and social panic. In order to solve the abnormal leaks, accidental loss, theft and other problems of the radioactive source, a radioactive source monitoring system based on RFID, GPS, GPRS and GSM technology is put forward. Radiation dose detector and GPS wireless location module are used to obtain the information of radiation dose and location respectively, RFID reader reads the status of a tag fixed on the bottom of the radioactive source. All information is transmitted to the remote monitoring center via GPRS wireless transmission. There will be an audible and visual alarm when radiation dose is out of limits or the state of radioactive source is abnormal, and the monitoring center will send alarming text messages to the managers through GSM Modem at the same time. Thus, the functions of monitoring and alarming are achieved. The system has already been put into operation and is being kept in functional order. It can provide stable statistics as well as accurate alarm, improving the supervision of radioactive source effectively. (authors)

  15. Plagiarism and Source Deception Detection Based on Syntax Analysis

    Directory of Open Access Journals (Sweden)

    Eman Salih Al-Shamery

    2017-02-01

    Full Text Available In this research, the shingle algorithm with Jaccard method are employed as a new approach to detect deception in sources in addition to detect plagiarism . Source deception occurs as a result of taking a particular text from a source and relative it to another source, while plagiarism occurs in the documents as a result of taking part or all of the text belong to another research, this approach is based on Shingle algorithm with Jaccard coefficient , Shingling is an efficient way to compare the set of shingle in the files that contain text which are used as a feature to measure the syntactic similarity of the documents and it will work with Jaccard coefficient that measures similarity between sample sets . In this proposed system, text will be checked whether it contains syntax plagiarism or not and gives a percentage of similarity with other documents , As well as research sources will be checked to detect deception in source , by matching it with available sources from Turnitin report of the same research by using shingle algorithm with Jaccard coefficient. The motivations of this work is to discovery of literary thefts that occur on the researches , especially what students are doing in their researches , also discover the deception that occurs in the sources.

  16. Overview of receptor-based source apportionment studies for speciated atmospheric mercury

    OpenAIRE

    Cheng, I.; Xu, X.; Zhang, L.

    2015-01-01

    Receptor-based source apportionment studies of speciated atmospheric mercury are not only concerned with source contributions but also with the influence of transport, transformation, and deposition processes on speciated atmospheric mercury concentrations at receptor locations. Previous studies applied multivariate receptor models including principal components analysis and positive matrix factorization, and back trajectory receptor models including potential source contri...

  17. Efficient fiber-coupled single-photon sources based on quantum dots

    DEFF Research Database (Denmark)

    Daveau, Raphaël Sura

    refrigeration with coupled quantum wells. Many photonic quantum information processing applications would benet from a highbrightness, ber-coupled source of triggered single photons. This thesis presents a study of such sources based on quantum dots coupled to unidirectional photonic-crystal waveguide devices.......6 %. This latter method opens a promising future for increasing the eciency and reliability of planar chip-based single-photon sources. Refrigeration of a solid-state system with light has potential applications for cooling small-scale electronic and photonic circuits. We show theoretically that two coupled...... semiconductor quantum wells are ecient cooling media because they support long-lived indirect electron-hole pairs. These pairs can be thermally excited to distinct higher-energy states with faster radiative recombination, thereby creating an ecient escape channel to remove thermal energy from the system. From...

  18. Thermodynamic Spectrum of Solar Flares Based on SDO/EVE Observations: Techniques and First Results

    Science.gov (United States)

    Wang, Yuming; Zhou, Zhenjun; Zhang, Jie; Liu, Kai; Liu, Rui; Shen, Chenglong; Chamberlin, Phillip C.

    2016-01-01

    The Solar Dynamics Observatory (SDO)/EUV Variability Experiment (EVE) provides rich information on the thermodynamic processes of solar activities, particularly on solar flares. Here, we develop a method to construct thermodynamic spectrum (TDS) charts based on the EVE spectral lines. This tool could potentially be useful for extreme ultraviolet (EUV) astronomy to learn about the eruptive activities on distant astronomical objects. Through several cases, we illustrate what we can learn from the TDS charts. Furthermore, we apply the TDS method to 74 flares equal to or greater than the M5.0 class, and reach the following statistical results. First, EUV peaks are always behind the soft X-ray (SXR) peaks and stronger flares tend to have faster cooling rates. There is a power-law correlation between the peak delay times and the cooling rates, suggesting a coherent cooling process of flares from SXR to EUV emissions. Second, there are two distinct temperature drift patterns, called Type I and Type II. For Type I flares, the enhanced emission drifts from high to low temperature like a quadrilateral, whereas for Type II flares the drift pattern looks like a triangle. Statistical analysis suggests that Type II flares are more impulsive than Type I flares. Third, for late-phase flares, the peak intensity ratio of the late phase to the main phase is roughly correlated with the flare class, and the flares with a strong late phase are all confined. We believe that the re-deposition of the energy carried by a flux rope, which unsuccessfully erupts out, into thermal emissions is responsible for the strong late phase found in a confined flare. Furthermore, we show the signatures of the flare thermodynamic process in the chromosphere and transition region in the TDS charts. These results provide new clues to advance our understanding of the thermodynamic processes of solar flares and associated solar eruptions, e.g., coronal mass ejections.

  19. Research sources of ionizing radiation based on transplutonium elements

    Science.gov (United States)

    Radchenko, V. M.; Ryabinin, M. A.

    2010-03-01

    Scientific and technical demand stimulates an extension of the practical implementation field of TPE, requirements to their ecological safety calling for the development of such materials which could be most resistant to the environment and most suitable for the production of a wide range of sources different in their application and design. Such materials can involve pure metals of transplutonium elements and their alloys with metals of platinum group as well as their chemically stable compounds (such as silicides, carbides etc.) At SSC RIAR production processes of sources of different type and application have been implemented. Examples of the most recent developments of the sources are presented below. Presented is the analysis of the current state of issues related to designing, production and application of radionuclide research sources based on transplutonium elements. Examples of the development of the most up-to-date sources of alpha-, gamma- and neutron radiation and also fission ones are considered.

  20. Physics Model-Based Scatter Correction in Multi-Source Interior Computed Tomography.

    Science.gov (United States)

    Gong, Hao; Li, Bin; Jia, Xun; Cao, Guohua

    2018-02-01

    Multi-source interior computed tomography (CT) has a great potential to provide ultra-fast and organ-oriented imaging at low radiation dose. However, X-ray cross scattering from multiple simultaneously activated X-ray imaging chains compromises imaging quality. Previously, we published two hardware-based scatter correction methods for multi-source interior CT. Here, we propose a software-based scatter correction method, with the benefit of no need for hardware modifications. The new method is based on a physics model and an iterative framework. The physics model was derived analytically, and was used to calculate X-ray scattering signals in both forward direction and cross directions in multi-source interior CT. The physics model was integrated to an iterative scatter correction framework to reduce scatter artifacts. The method was applied to phantom data from both Monte Carlo simulations and physical experimentation that were designed to emulate the image acquisition in a multi-source interior CT architecture recently proposed by our team. The proposed scatter correction method reduced scatter artifacts significantly, even with only one iteration. Within a few iterations, the reconstructed images fast converged toward the "scatter-free" reference images. After applying the scatter correction method, the maximum CT number error at the region-of-interests (ROIs) was reduced to 46 HU in numerical phantom dataset and 48 HU in physical phantom dataset respectively, and the contrast-noise-ratio at those ROIs increased by up to 44.3% and up to 19.7%, respectively. The proposed physics model-based iterative scatter correction method could be useful for scatter correction in dual-source or multi-source CT.

  1. Heavy Ion Injection Into Synchrotrons, Based On Electron String Ion Sources

    CERN Document Server

    Donets, E E; Syresin, E M

    2004-01-01

    A possibility of heavy ions injection into synchrotrons is discussed on the base of two novel ion sources, which are under development JINR during last decade: 1) the electron string ion source (ESIS), which is a modified version of a conventional electron beam ion source (EBIS), working in a reflex mode of operation, and 2) the tubular electron string ion source (TESIS). The Electron String Ion Source "Krion-2" (VBLHE, JINR, Dubna) with an applied confining magnetic field of 3 T was used for injection into the superconducting JINR synchrotron - Nuclotron and during this runs the source provided a high pulse intensity of the highly charged ion beams: Ar16+

  2. Spectroscopic study of sources and control of impurities in TMX-U. Revision 1

    International Nuclear Information System (INIS)

    Yu, T.L.; Allen, S.L.; Moos, H.W.

    1984-11-01

    Two absolutely calibrated euv instruments have been used to study the impurity characteristics in the Tandem Mirror Experiment-Upgrade (TMX-U). One instrument is a spectrograph that measures the time histories of several impurity emission lines in a single plasma shot. The other instrument is a monochromator that measures time-resolved radial profiles of a particular impurity emission line. The common intrinsic impurities found in TMX-U are C, N, O, and Ti. It has been shown that a large fraction of oxygen and nitrogen in the plasma is associated with the neutral beams. The plasma wall is the main source of carbon. In general, the concentration of each of the impurities is low (<1%), and the power radiated by them is less than 10 kW, which is a small portion of the total input power to the plasma. The concentrations of the impurities can be reduced substantially by glow discharge cleaning and titanium gettering

  3. RSS-based localization of isotropically decaying source with unknown power and pathloss factor

    International Nuclear Information System (INIS)

    Sun, Shunyuan; Sun, Li; Ding, Zhiguo

    2016-01-01

    This paper addresses the localization of an isotropically decaying source based on the received signal strength (RSS) measurements that are collected from nearby active sensors that are position-known and wirelessly connected, and it propose a novel iterative algorithm for RSS-based source localization in order to improve the location accuracy and realize real-time location and automatic monitoring for hospital patients and medical equipment in the smart hospital. In particular, we consider the general case where the source power and pathloss factor are both unknown. For such a source localization problem, we propose an iterative algorithm, in which the unknown source position and two other unknown parameters (i.e. the source power and pathloss factor) are estimated in an alternating way based on each other, with our proposed sub-optimum initial estimate on source position obtained based on the RSS measurements that are collected from a few (closest) active sensors with largest RSS values. Analysis and simulation study show that our proposed iterative algorithm guarantees globally convergence to the least-squares (LS) solution, where for our suitably assumed independent and identically distributed (i.i.d.) zero-mean Gaussian RSS measurement errors the converged localization performance achieves the optimum that corresponds to the Cramer–Rao lower bound (CRLB).

  4. Land-based sources of pollution and environmental quality of Weija ...

    African Journals Online (AJOL)

    A survey of land-based sources of pollution was undertaken in the catchment area of Weija Lake. Activities that may influence the quality of the environment, and the sources, amounts and effects of the pollution of the water body were assessed. Water and precipitation chemistry showed that Na:Ca (0.48) and Na:K (2.0) ...

  5. A primer on polymer nomenclature: Structure-based, sourced-based and trade names

    Science.gov (United States)

    Polymer nomenclature is important because it is part of the language of polymer science and is needed for polymer identification, reference, and documentation. A primer on polymer nomenclature is provided herein for people new to the field or for instructional use. Both structure-based and source-...

  6. Coal-tar-based sealcoated pavement: A major PAH source to urban stream sediments

    International Nuclear Information System (INIS)

    Witter, Amy E.; Nguyen, Minh H.; Baidar, Sunil; Sak, Peter B.

    2014-01-01

    We used land-use analysis, PAH concentrations and assemblages, and multivariate statistics to identify sediment PAH sources in a small (∼1303 km 2 ) urbanizing watershed located in South-Central, Pennsylvania, USA. A geographic information system (GIS) was employed to quantify land-use features that may serve as PAH sources. Urban PAH concentrations were three times higher than rural levels, and were significantly and highly correlated with combined residential/commercial/industrial land use. Principal components analysis (PCA) was used to group sediments with similar PAH assemblages, and correlation analysis compared PAH sediment assemblages to common PAH sources. The strongest correlations were observed between rural sediments (n = 7) and coke-oven emissions sources (r = 0.69–0.78, n = 5), and between urban sediments (n = 22) and coal-tar-based sealcoat dust (r = 0.94, n = 47) suggesting that coal-tar-based sealcoat is an important urban PAH source in this watershed linked to residential and commercial/industrial land use. -- Highlights: • Total PAH concentrations were measured at 35 sites along an urbanizing land-use gradient. • PAH concentrations increased with increasing urban land-use. • Urban land-use metrics were measured at three spatial scales using GIS. • PAH assemblages indicate coal-tar-based sealcoat is a major urban PAH source. • PAH assemblages indicate coke-oven emissions are an important rural PAH source. -- Coal-tar-based sealcoated pavement is a major PAH source to urban freshwater stream sediments in south-central Pennsylvania, USA

  7. Actinic imaging of native and programmed defects on a full-field mask

    Energy Technology Data Exchange (ETDEWEB)

    Mochi, I.; Goldberg, K. A.; Fontaine, B. La; Tchikoulaeva, A.; Holfeld, C.

    2010-03-12

    We describe the imaging and characterization of native defects on a full field extreme ultraviolet (EUV) mask, using several reticle and wafer inspection modes. Mask defect images recorded with the SEMA TECH Berkeley Actinic Inspection Tool (AIT), an EUV-wavelength (13.4 nm) actinic microscope, are compared with mask and printed-wafer images collected with scanning electron microscopy (SEM) and deep ultraviolet (DUV) inspection tools. We observed that defects that appear to be opaque in the SEM can be highly transparent to EUV light, and inversely, defects that are mostly transparent to the SEM can be highly opaque to EUV. The nature and composition of these defects, whether they appear on the top surface, within the multilayer coating, or on the substrate as buried bumps or pits, influences both their significance when printed, and their detectability with the available techniques. Actinic inspection quantitatively predicts the characteristics of printed defect images in ways that may not be possible with non-EUV techniques. As a quantitative example, we investigate the main structural characteristics of a buried pit defect based on EUV through-focus imaging.

  8. Open-Source web-based geographical information system for health exposure assessment

    Directory of Open Access Journals (Sweden)

    Evans Barry

    2012-01-01

    Full Text Available Abstract This paper presents the design and development of an open source web-based Geographical Information System allowing users to visualise, customise and interact with spatial data within their web browser. The developed application shows that by using solely Open Source software it was possible to develop a customisable web based GIS application that provides functions necessary to convey health and environmental data to experts and non-experts alike without the requirement of proprietary software.

  9. Open-Source web-based geographical information system for health exposure assessment

    DEFF Research Database (Denmark)

    Evans, Barry; Sabel, Clive E

    2012-01-01

    This paper presents the design and development of an open source web-based Geographical Information System allowing users to visualise, customise and interact with spatial data within their web browser. The developed application shows that by using solely Open Source software it was possible to d...... to develop a customisable web based GIS application that provides functions necessary to convey health and environmental data to experts and non-experts alike without the requirement of proprietary software....

  10. Development of an open-source web-based intervention for Brazilian smokers - Viva sem Tabaco.

    Science.gov (United States)

    Gomide, H P; Bernardino, H S; Richter, K; Martins, L F; Ronzani, T M

    2016-08-02

    Web-based interventions for smoking cessation available in Portuguese do not adhere to evidence-based treatment guidelines. Besides, all existing web-based interventions are built on proprietary platforms that developing countries often cannot afford. We aimed to describe the development of "Viva sem Tabaco", an open-source web-based intervention. The development of the intervention included the selection of content from evidence-based guidelines for smoking cessation, the design of the first layout, conduction of 2 focus groups to identify potential features, refinement of the layout based on focus groups and correction of content based on feedback provided by specialists on smoking cessation. At the end, we released the source-code and intervention on the Internet and translated it into Spanish and English. The intervention developed fills gaps in the information available in Portuguese and the lack of open-source interventions for smoking cessation. The open-source licensing format and its translation system may help researchers from different countries deploying evidence-based interventions for smoking cessation.

  11. Progress in extremely high brightness LED-based light sources

    Science.gov (United States)

    Hoelen, Christoph; Antonis, Piet; de Boer, Dick; Koole, Rolf; Kadijk, Simon; Li, Yun; Vanbroekhoven, Vincent; Van De Voorde, Patrick

    2017-09-01

    Although the maximum brightness of LEDs has been increasing continuously during the past decade, their luminance is still far from what is required for multiple applications that still rely on the high brightness of discharge lamps. In particular for high brightness applications with limited étendue, e.g. front projection, only very modest luminance values in the beam can be achieved with LEDs compared to systems based on discharge lamps or lasers. With dedicated architectures, phosphor-converted green LEDs for projection may achieve luminance values up to 200-300 Mnit. In this paper we report on the progress made in the development of light engines based on an elongated luminescent concentrator pumped by blue LEDs. This concept has recently been introduced to the market as ColorSpark High Lumen Density LED technology. These sources outperform the maximum brightness of LEDs by multiple factors. In LED front projection, green LEDs are the main limiting factor. With our green modules, we now have achieved peak luminance values of 2 Gnit, enabling LED-based projection systems with over 4000 ANSI lm. Extension of this concept to yellow and red light sources is presented. The light source efficiency has been increased considerably, reaching 45-60 lm/W for green under practical application conditions. The module architecture, beam shaping, and performance characteristics are reviewed, as well as system aspects. The performance increase, spectral range extensions, beam-shaping flexibility, and cost reductions realized with the new module architecture enable a breakthrough in LED-based projection systems and in a wide variety of other high brightness applications.

  12. ON THE ELECTRON-TO-NEUTRAL NUMBER DENSITY RATIO IN THE COMA OF COMET 67P/CHURYUMOV–GERASIMENKO: GUIDING EXPRESSION AND SOURCES FOR DEVIATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Vigren, E.; Eriksson, A. I.; Edberg, N. J. T.; Odelstad, E. [Swedish Institute of Space Physics, Uppsala (Sweden); Galand, M.; Schwartz, S. J., E-mail: erik.vigren@irfu.se [Department of Physics, Imperial College London, London, SW7 2AZ (United Kingdom)

    2015-10-10

    We compute partial photoionization frequencies of H{sub 2}O, CO{sub 2}, and CO, the major molecules in the coma of comet 67P/Churyumov–Gerasimenko, the target comet of the ongoing ESA Rosetta mission. Values are computed from Thermosphere Ionosphere Mesosphere Energy and Dynamics/Solar EUV Experiment solar EUV spectra for 2014 August 1, 2015 March 1, and for perihelion (2015 August, as based on prediction). From the varying total photoionization frequency of H{sub 2}O, as computed from 2014 August 1 to 2015 May 20, we derive a simple analytical expression for the electron-to-neutral number density ratio as a function of cometocentric and heliocentric distance. The underlying model assumes radial movement of the coma constituents and does not account for chemical loss or the presence of electric fields. We discuss various effects/processes that can cause deviations between values from the analytical expression and actual electron-to-neutral number density ratios. The analytical expression is thus not strictly meant as predicting the actual electron-to-neutral number density ratio, but is useful in comparisons with observations as an indicator of processes at play in the cometary coma.

  13. Thermal energy creation and transport and X-ray/EUV emission in a thermodynamic MHD CME simulation

    Science.gov (United States)

    Reeves, K.; Mikic, Z.; Torok, T.; Linker, J.; Murphy, N. A.

    2017-12-01

    We model a CME using the PSI 3D numerical MHD code that includes coronal heating, thermal conduction and radiative cooling in the energy equation. The magnetic flux distribution at 1 Rs is produced by a localized subsurface dipole superimposed on a global dipole field, mimicking the presence of an active region within the global corona. We introduce transverse electric fields near the neutral line in the active region to form a flux rope, then a converging flow is imposed that causes the eruption. We follow the formation and evolution of the current sheet and find that instabilities set in soon after the reconnection commences. We simulate XRT and AIA EUV emission and find that the instabilities manifest as bright features emanating from the reconnection region. We examine the quantities responsible for plasma heating and cooling during the eruption, including thermal conduction, radiation, adiabatic compression and expansion, coronal heating and ohmic heating due to dissipation of currents. We find that the adiabatic compression plays an important role in heating the plasma around the current sheet, especially in the later stages of the eruption when the instabilities are present. Thermal conduction also plays an important role in the transport of thermal energy away from the current sheet region throughout the reconnection process.

  14. Photon acceleration-based radiation sources

    International Nuclear Information System (INIS)

    Hoffman, J. R.; Muggli, P.; Katsouleas, T.; Mori, W. B.; Joshi, C.

    1999-01-01

    The acceleration and deceleration of photons in a plasma provides the means for a series of new radiation sources. Previous work on a DC to AC Radiation Converter (DARC source) has shown variable acceleration of photons having zero frequency (i.e., an electrostatic field) to between 6 and 100 GHz (1-3). These sources all had poor guiding characteristics resulting in poor power coupling from the source to the load. Continuing research has identified a novel way to integrate the DARC source into a waveguide. The so called ''pin structure'' uses stainless steel pins inserted through the narrow side of an X band waveguide to form the electrostatic field pattern (k≠0, ω=0). The pins are spaced such that the absorption band resulting from this additional periodic structure is outside of the X band range (8-12 GHz), in which the normal waveguide characteristics are left unchanged. The power of this X band source is predicted theoretically to scale quadratically with the pin bias voltage as -800 W/(kV) 2 and have a pulse width of -1 ns. Cold tests and experimental results are presented. Applications for a high power, short pulse radiation source extends to the areas of landmine detection, improved radar resolution, and experimental investigations of molecular systems

  15. A Method for the Analysis of Information Use in Source-Based Writing

    Science.gov (United States)

    Sormunen, Eero; Heinstrom, Jannica; Romu, Leena; Turunen, Risto

    2012-01-01

    Introduction: Past research on source-based writing assignments has hesitated to scrutinize how students actually use information afforded by sources. This paper introduces a method for the analysis of text transformations from sources to texts composed. The method is aimed to serve scholars in building a more detailed understanding of how…

  16. Blind Source Separation Based on Covariance Ratio and Artificial Bee Colony Algorithm

    Directory of Open Access Journals (Sweden)

    Lei Chen

    2014-01-01

    Full Text Available The computation amount in blind source separation based on bioinspired intelligence optimization is high. In order to solve this problem, we propose an effective blind source separation algorithm based on the artificial bee colony algorithm. In the proposed algorithm, the covariance ratio of the signals is utilized as the objective function and the artificial bee colony algorithm is used to solve it. The source signal component which is separated out, is then wiped off from mixtures using the deflation method. All the source signals can be recovered successfully by repeating the separation process. Simulation experiments demonstrate that significant improvement of the computation amount and the quality of signal separation is achieved by the proposed algorithm when compared to previous algorithms.

  17. Applying open source data visualization tools to standard based medical data.

    Science.gov (United States)

    Kopanitsa, Georgy; Taranik, Maxim

    2014-01-01

    Presentation of medical data in personal health records (PHRs) requires flexible platform independent tools to ensure easy access to the information. Different backgrounds of the patients, especially elder people require simple graphical presentation of the data. Data in PHRs can be collected from heterogeneous sources. Application of standard based medical data allows development of generic visualization methods. Focusing on the deployment of Open Source Tools, in this paper we applied Java Script libraries to create data presentations for standard based medical data.

  18. Accelerator-based cold neutron sources and their cooling system

    International Nuclear Information System (INIS)

    Inoue, Kazuhiko; Yanai, Masayoshi; Ishikawa, Yoshikazu.

    1985-01-01

    We have developed and installed two accelerator-based cold neutron sources within a electron linac at Hokkaido University and a proton synchrotoron at National Laboratory for High Energy Physics. Solid methane at 20K was adopted as the cold moderator. The methane condensing heat exchangers attached directly to the moderator chambers were cooled by helium gas, which was kept cooled in refrigerators and circulated by ventilation fans. Two cold neutron sources have operated smoothly and safely for the past several years. In this paper we describe some of the results obtained in the preliminary experiments by using a modest capacity refrigerator, the design philosophy of the cooling system for the pulsed cold neutron sources, and outline of two facilities. (author)

  19. Tomographic extreme-ultraviolet spectrographs: TESS.

    Science.gov (United States)

    Cotton, D M; Stephan, A; Cook, T; Vickers, J; Taylor, V; Chakrabarti, S

    2000-08-01

    We describe the system of Tomographic Extreme Ultraviolet (EUV) SpectrographS (TESS) that are the primary instruments for the Tomographic Experiment using Radiative Recombinative Ionospheric EUV and Radio Sources (TERRIERS) satellite. The spectrographs were designed to make high-sensitivity {80 counts/s)/Rayleigh [one Rayleigh is equivalent to 10(6) photons/(4pi str cm(2)s)}, line-of-sight measurements of the oi 135.6- and 91.1-nm emissions suitable for tomographic inversion. The system consists of five spectrographs, four identical nightglow instruments (for redundancy and added sensitivity), and one instrument with a smaller aperture to reduce sensitivity and increase spectral resolution for daytime operation. Each instrument has a bandpass of 80-140 nm with approximately 2- and 1-nm resolution for the night and day instruments, respectively. They utilize microchannel-plate-based two-dimensional imaging detectors with wedge-and-strip anode readouts. The instruments were designed, fabricated, and calibrated at Boston University, and the TERRIERS satellite was launched on 18 May 1999 from Vandenberg Air Force Base, California.

  20. The solar energetic particle event on 2013 April 11: an investigation of its solar origin and longitudinal spread

    Energy Technology Data Exchange (ETDEWEB)

    Lario, D.; Raouafi, N. E. [The Johns Hopkins University, Applied Physics Laboratory, Laurel, MD 20723 (United States); Kwon, R.-Y.; Zhang, J. [School of Physics, Astronomy and Computational Sciences, George Mason University, 4400 University Drive, MSN 6A2, Fairfax, VA 22030 (United States); Gómez-Herrero, R. [Space Research Group, Physics and Mathematics Department, University of Alcalá, Alcalá de Henares, E-28871 Spain (Spain); Dresing, N. [Institute of Experimental and Applied Physics, Christian-Albrechts University of Kiel, Kiel D-24118 (Germany); Riley, P. [Predictive Science, 9990 Mesa Rim Road, Suite 170, San Diego, CA 92121 (United States)

    2014-12-10

    We investigate the solar phenomena associated with the origin of the solar energetic particle (SEP) event observed on 2013 April 11 by a number of spacecraft distributed in the inner heliosphere over a broad range of heliolongitudes. We use extreme ultraviolet (EUV) and white-light coronagraph observations from the Solar Dynamics Observatory (SDO), the SOlar and Heliospheric Observatory, and the twin Solar TErrestrial RElations Observatory spacecraft (STEREO-A and STEREO-B) to determine the angular extent of the EUV wave and coronal mass ejection (CME) associated with the origin of the SEP event. We compare the estimated release time of SEPs observed at each spacecraft with the arrival time of the structures associated with the CME at the footpoints of the field lines connecting each spacecraft with the Sun. Whereas the arrival of the EUV wave and CME-driven shock at the footpoint of STEREO-B is consistent, within uncertainties, with the release time of the particles observed by this spacecraft, the EUV wave never reached the footpoint of the field lines connecting near-Earth observers with the Sun, even though an intense SEP event was observed there. We show that the west flank of the CME-driven shock propagating at high altitudes above the solar surface was most likely the source of the particles observed near Earth, but it did not leave any EUV trace on the solar disk. We conclude that the angular extent of the EUV wave on the solar surface did not agree with the longitudinal extent of the SEP event in the heliosphere. Hence EUV waves cannot be used reliably as a proxy for the solar phenomenon that accelerates and injects energetic particles over broad ranges of longitudes.

  1. Blind Separation of Nonstationary Sources Based on Spatial Time-Frequency Distributions

    Directory of Open Access Journals (Sweden)

    Zhang Yimin

    2006-01-01

    Full Text Available Blind source separation (BSS based on spatial time-frequency distributions (STFDs provides improved performance over blind source separation methods based on second-order statistics, when dealing with signals that are localized in the time-frequency (t-f domain. In this paper, we propose the use of STFD matrices for both whitening and recovery of the mixing matrix, which are two stages commonly required in many BSS methods, to provide robust BSS performance to noise. In addition, a simple method is proposed to select the auto- and cross-term regions of time-frequency distribution (TFD. To further improve the BSS performance, t-f grouping techniques are introduced to reduce the number of signals under consideration, and to allow the receiver array to separate more sources than the number of array sensors, provided that the sources have disjoint t-f signatures. With the use of one or more techniques proposed in this paper, improved performance of blind separation of nonstationary signals can be achieved.

  2. Meals based on vegetable protein sources (beans and peas) are more satiating than meals based on animal protein sources (veal and pork) – a randomized cross-over meal test study

    Science.gov (United States)

    Kristensen, Marlene D.; Bendsen, Nathalie T.; Christensen, Sheena M.; Astrup, Arne; Raben, Anne

    2016-01-01

    Background Recent nutrition recommendations advocate a reduction in protein from animal sources (pork, beef) because of environmental concerns. Instead, protein from vegetable sources (beans, peas) should be increased. However, little is known about the effect of these vegetable protein sources on appetite regulation. Objective To examine whether meals based on vegetable protein sources (beans/peas) are comparable to meals based on animal protein sources (veal/pork) regarding meal-induced appetite sensations. Design In total, 43 healthy, normal-weight, young men completed this randomized, double-blind, placebo-controlled, three-way, cross-over meal test. The meals (all 3.5 MJ, 28 energy-% (E%) fat) were either high protein based on veal and pork meat, HP-Meat (19 E% protein, 53 E% carbohydrate, 6 g fiber/100 g); high protein based on legumes (beans and peas), HP-Legume (19 E% protein, 53 E% carbohydrate, 25 g fiber/100 g); or low-protein based on legumes, LP-Legume (9 E% protein, 62 E% carbohydrate, 10 g fiber/100 g). Subjective appetite sensations were recorded at baseline and every half hour using visual analog scales until the ad libitum meal 3 h after the test meal. Repeated measurements analyses and summary analyses were performed using ANCOVA (SAS). Results HP-Legume induced lower composite appetite score, hunger, prospective food consumption, and higher fullness compared to HP-Meat and LP-Legume (ppeas) influenced appetite sensations favorably compared to animal-based meals (pork/veal) with similar energy and protein content, but lower fiber content. Interestingly, a vegetable-based meal with low protein content was as satiating and palatable as an animal-based meal with high protein content. PMID:27765144

  3. GEM-based thermal neutron beam monitors for spallation sources

    International Nuclear Information System (INIS)

    Croci, G.; Claps, G.; Caniello, R.; Cazzaniga, C.; Grosso, G.; Murtas, F.; Tardocchi, M.; Vassallo, E.; Gorini, G.; Horstmann, C.; Kampmann, R.; Nowak, G.; Stoermer, M.

    2013-01-01

    The development of new large area and high flux thermal neutron detectors for future neutron spallation sources, like the European Spallation Source (ESS) is motivated by the problem of 3 He shortage. In the framework of the development of ESS, GEM (Gas Electron Multiplier) is one of the detector technologies that are being explored as thermal neutron sensors. A first prototype of GEM-based thermal neutron beam monitor (bGEM) has been built during 2012. The bGEM is a triple GEM gaseous detector equipped with an aluminum cathode coated by 1μm thick B 4 C layer used to convert thermal neutrons to charged particles through the 10 B(n, 7 Li)α nuclear reaction. This paper describes the results obtained by testing a bGEM detector at the ISIS spallation source on the VESUVIO beamline. Beam profiles (FWHM x =31 mm and FWHM y =36 mm), bGEM thermal neutron counting efficiency (≈1%), detector stability (3.45%) and the time-of-flight spectrum of the beam were successfully measured. This prototype represents the first step towards the development of thermal neutrons detectors with efficiency larger than 50% as alternatives to 3 He-based gaseous detectors

  4. Real-time tunability of chip-based light source enabled by microfluidic mixing

    DEFF Research Database (Denmark)

    Olsen, Brian Bilenberg; Rasmussen, Torben; Balslev, Søren

    2006-01-01

    We demonstrate real-time tunability of a chip-based liquid light source enabled by microfluidic mixing. The mixer and light source are fabricated in SU-8 which is suitable for integration in SU-8-based laboratory-on-a-chip microsystems. The tunability of the light source is achieved by changing...... the concentration of rhodamine 6G dye inside two integrated vertical resonators, since both the refractive index and the gain profile are influenced by the dye concentration. The effect on the refractive index and the gain profile of rhodamine 6G in ethanol is investigated and the continuous tuning of the laser...

  5. GIS-Based Noise Simulation Open Source Software: N-GNOIS

    Science.gov (United States)

    Vijay, Ritesh; Sharma, A.; Kumar, M.; Shende, V.; Chakrabarti, T.; Gupta, Rajesh

    2015-12-01

    Geographical information system (GIS)-based noise simulation software (N-GNOIS) has been developed to simulate the noise scenario due to point and mobile sources considering the impact of geographical features and meteorological parameters. These have been addressed in the software through attenuation modules of atmosphere, vegetation and barrier. N-GNOIS is a user friendly, platform-independent and open geospatial consortia (OGC) compliant software. It has been developed using open source technology (QGIS) and open source language (Python). N-GNOIS has unique features like cumulative impact of point and mobile sources, building structure and honking due to traffic. Honking is the most common phenomenon in developing countries and is frequently observed on any type of roads. N-GNOIS also helps in designing physical barrier and vegetation cover to check the propagation of noise and acts as a decision making tool for planning and management of noise component in environmental impact assessment (EIA) studies.

  6. A new coaxial high power microwave source based on dual beams

    Energy Technology Data Exchange (ETDEWEB)

    Li, Yangmei, E-mail: sunberry1211@hotmail.com; Zhang, Xiaoping; Qi, Zumin; Dang, Fangchao; Qian, Baoliang [College of Optoelectric Science and Engineering, National University of Defense Technology, Changsha 410073 (China)

    2014-05-15

    We present a new coaxial high power microwave source based on dual beams, which combines a relativistic backward wave oscillator (RBWO) (noted as the inner sub-source below) and a coaxial transit-time oscillator (TTO) (noted as the outer sub-source). The cathode consists of an inner and an outer annular cathode, which provides the inner and the outer annular electron beam for the sub-sources, respectively. Particle-in-cell (PIC) simulation results demonstrate that power conversion efficiencies of the two sub-sources with an identical frequency of 9.74 GHz are 29% and 25%, respectively. It is furthermore found that phase locking between the inner and the outer sub-sources can be realized, which suggests a feasibility to obtain a higher power output if the two microwave signals are coherently combined.

  7. A new coaxial high power microwave source based on dual beams

    International Nuclear Information System (INIS)

    Li, Yangmei; Zhang, Xiaoping; Qi, Zumin; Dang, Fangchao; Qian, Baoliang

    2014-01-01

    We present a new coaxial high power microwave source based on dual beams, which combines a relativistic backward wave oscillator (RBWO) (noted as the inner sub-source below) and a coaxial transit-time oscillator (TTO) (noted as the outer sub-source). The cathode consists of an inner and an outer annular cathode, which provides the inner and the outer annular electron beam for the sub-sources, respectively. Particle-in-cell (PIC) simulation results demonstrate that power conversion efficiencies of the two sub-sources with an identical frequency of 9.74 GHz are 29% and 25%, respectively. It is furthermore found that phase locking between the inner and the outer sub-sources can be realized, which suggests a feasibility to obtain a higher power output if the two microwave signals are coherently combined

  8. POKEHEAD: An Open Source Interactive Headphone Based HCI Platform

    DEFF Research Database (Denmark)

    Højlund, Marie; Trento, Stefano; Goudarzi, Visda

    2012-01-01

    This paper introduces a novel interactive, human-computer interface and remote social communication system based on an augmented, hi-fidelity audio headphone platform. Specifically, this system- named Pokehead, currently utilizes the DUL embedded open-source accelerometer platform to gather 3-axis......, open source implementation. Our rapid prototype proved to be robust enough to work in performance for demonstration purposes and serves as a working proof of concept. In this paper we provide a technical description of our prototype, illustrate the context and motivation behind the project, and offer...

  9. Calibration and standards beamline 6.3.2 at the Advanced Light Source

    International Nuclear Information System (INIS)

    Underwood, J.H.; Gullikson, E.M.; Koike, M.; Batson, P.J.; Denham, P.E.; Franck, K.D.; Tackaberry, R.E.; Steele, W.F.

    1996-01-01

    This bending magnet beamline has been in operation since February 1995 for the characterization of optical elements (mirrors, gratings, multilayers, detectors, etc.) in the energy range 50 endash 1000 eV. Although it was designed primarily for precision reflectometry of multilayer reflecting optics for EUV projection lithography, it has capabilities for a wide range of measurements. The optics consist of a monochromator, a reflectometer, and refocusing mirrors to provide a small spot on the sample. The monochromator is a very compact, entrance-slitless, varied-line-spacing plane-grating design in which the mechanically ruled grating operates in the converging light from a spherical mirror working at high demagnification. Aberrations of the mirror are corrected by the line spacing variation, so that the spectral resolving power λ/Δλ is limited by the ALS source size to about 7000. Wavelength is scanned by simple rotation of the grating with a fixed exit slit. The reflectometer has the capability of positioning the sample to within 10 μm and setting its angular position to 0.002 degree. LABVIEW TM based software provides a convenient interface to the user. The reflectometer is separated from the beamline by a differential pump and can be pumped down in 1/2 hour. Auxiliary experimental stations can be mounted behind the reflectometer. Results are shown that demonstrate the performance and operational convenience of the beamline copyright 1996 American Institute of Physics

  10. Audio Source Separation in Reverberant Environments Using β-Divergence-Based Nonnegative Factorization

    DEFF Research Database (Denmark)

    Fakhry, Mahmoud; Svaizer, Piergiorgio; Omologo, Maurizio

    2017-01-01

    -maximization algorithm and used to separate the signals by means of multichannel Wiener filtering. We propose to estimate these parameters by applying nonnegative factorization based on prior information on source variances. In the nonnegative factorization, spectral basis matrices can be defined as the prior...... information. The matrices can be either extracted or indirectly made available through a redundant library that is trained in advance. In a separate step, applying nonnegative tensor factorization, two algorithms are proposed in order to either extract or detect the basis matrices that best represent......In Gaussian model-based multichannel audio source separation, the likelihood of observed mixtures of source signals is parametrized by source spectral variances and by associated spatial covariance matrices. These parameters are estimated by maximizing the likelihood through an expectation...

  11. 9Be(d,n)10B-based neutron sources for BNCT

    International Nuclear Information System (INIS)

    Capoulat, M.E.; Herrera, M.S.; Minsky, D.M.; González, S.J.; Kreiner, A.J.

    2014-01-01

    In the frame of accelerator-based BNCT, the 9 Be(d,n) 10 B reaction was investigated as a possible source of epithermal neutrons. In order to determine the configuration in terms of bombarding energy, target thickness and Beam Shaping Assembly (BSA) design that results in the best possible beam quality, a systematic optimization study was carried out. From this study, the optimal configuration resulted in tumor doses ≥40 Gy-Eq, with a maximum value of 51 Gy-Eq at a depth of about 2.7 cm, in a 60 min treatment. The optimal configuration was considered for the treatment planning assessment of a real Glioblastoma Multiforme case. From this, the resulted dose performances were comparable to those obtained with an optimized 7 Li(p,n)-based neutron source, under identical conditions and subjected to the same clinical protocol. - Highlights: • Study of the 9 Be(d,n) 10 B reaction as a source of epithermal neutrons for BNCT. • Evaluation of the optimal configuration of target thickness, deuteron energy and BSA design. • Computational dose assessment for brain tumor treatments using the MCNP code. • Treatment planning assessment of a particular clinical Glioblastoma Multiforme case. • Dose performances were comparable to those obtained with an optimized 7 Li(p,n)-based source

  12. Gasentladungsquelle, insbesondere fuer EUV-Strahlung

    OpenAIRE

    Neff, W.; Pruemmer, R.

    2006-01-01

    WO 2006123270 A2 UPAB: 20070123 NOVELTY - The source has two electrodes (1) with an approximately circular periphery rotatably mounted for rotation in a vacuum chamber. The electrodes are respectively connected to reservoirs (4) for a liquid via a connecting unit, where the connecting unit is designed in such a way that a gap tapers in a rotation direction of the electrodes. The reservoirs are positioned relatively freely so that the reservoirs no longer impair downward radiation emission. US...

  13. Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-07-01

    Extreme ultraviolet lithography (EUVL, λ=13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a "Photosensitized Chemically Amplified Resist™" (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated

  14. A silicon-based electrical source for surface plasmon polaritons

    NARCIS (Netherlands)

    Walters, Robert J.; van Loon, Rob V.A.; Brunets, I.; Schmitz, Jurriaan; Polman, Albert

    2009-01-01

    This work demonstrates the fabrication of a silicon-based electrical source for surface plasmon polaritons (SPPs) at low temperatures using silicon nanocrystal doped alumina within a metal-insulator-metal (MIM) waveguide geometry. The fabrication method uses established microtechnology processes

  15. X radiation sources based on accelerators

    International Nuclear Information System (INIS)

    Couprie, M.E.; Filhol, J.M.

    2008-01-01

    Light sources based on accelerators aim at producing very high brilliance coherent radiation, tunable from the infrared to X-ray range, with picosecond or femtosecond light pulses. The first synchrotron light sources were built around storage rings in which a large number of relativistic electrons produce 'synchrotron radiation' when their trajectory is subjected to a magnetic field, either in bending magnets or in specific insertion devices (undulators), made of an alternating series of magnets, allowing the number of curvatures to be increased and the radiation to be reinforced. These 'synchrotron radiation' storage rings are now used worldwide (there are more than thirty), and they simultaneously distribute their radiation to several tens of users around the storage ring. The most effective installations in term of brilliance are the so-called third generation synchrotron radiation light sources. The radiation produced presents pulse durations of the order of a few tens of ps, at a high rate (of the order of MHz); it is tunable over a large range, depending on the magnetic field and the electron beam energy and its polarisation is adjustable (in the V-UV-soft-X range). Generally, a very precise spectral selection is made by the users with a monochromator. The single pass linear accelerators can produce very short electron bunches (around 100 fs). The beam of very high electronic density is sent into successive undulator modules, reinforcing the radiation's longitudinal coherence, produced according to a Free Electron Laser (FEL) scheme by the interaction between the electron bunch and a light wave. The very high peak brilliance justifies their designation as fourth generation sources. The number of users is smaller because an electron pulse produces a radiation burst towards only one beamline. Energy Recovery Linacs (ERL) let the beam pass several times in the accelerator structures either to recover the energy or to accelerate the electrons during several turns

  16. Future Synchrotron Light Sources Based on Ultimate Storage Rings

    International Nuclear Information System (INIS)

    Cai, Yunhai

    2012-01-01

    The main purpose of this talk is to describe how far one might push the state of the art in storage ring design. The talk will start with an overview of the latest developments and advances in the design of synchrotron light sources based on the concept of an 'ultimate' storage ring. The review will establish how bright a ring based light source might be, where the frontier of technological challenges are, and what the limits of accelerator physics are. Emphasis will be given to possible improvements in accelerator design and developments in technology toward the goal of achieving an ultimate storage ring. An ultimate storage ring (USR), defined as an electron ring-based light source having an emittance in both transverse planes at the diffraction limit for the range of X-ray wavelengths of interest for a scientific community, would provide very high brightness photons having high transverse coherence that would extend the capabilities of X-ray imaging and probe techniques beyond today's performance. It would be a cost-effective, high-coherence 4th generation light source, competitive with one based on energy recovery linac (ERL) technology, serving a large number of users studying material, chemical, and biological sciences. Furthermore, because of the experience accumulated over many decades of ring operation, it would have the great advantage of stability and reliability. In this paper we consider the design of an USR having 10-pm-rad emittance. It is a tremendous challenge to design a storage ring having such an extremely low emittance, a factor of 100 smaller than those in existing light sources, especially such that it has adequate dynamic aperture and beam lifetime. In many ultra-low emittance designs, the injection acceptances are not large enough for accumulation of the electron beam, necessitating on-axis injection where stored electron bunches are completely replaced with newly injected ones. Recently, starting with the MAX-IV 7-bend achromatic cell, we

  17. Future Synchrotron Light Sources Based on Ultimate Storage Rings

    Energy Technology Data Exchange (ETDEWEB)

    Cai, Yunhai; /SLAC

    2012-04-09

    The main purpose of this talk is to describe how far one might push the state of the art in storage ring design. The talk will start with an overview of the latest developments and advances in the design of synchrotron light sources based on the concept of an 'ultimate' storage ring. The review will establish how bright a ring based light source might be, where the frontier of technological challenges are, and what the limits of accelerator physics are. Emphasis will be given to possible improvements in accelerator design and developments in technology toward the goal of achieving an ultimate storage ring. An ultimate storage ring (USR), defined as an electron ring-based light source having an emittance in both transverse planes at the diffraction limit for the range of X-ray wavelengths of interest for a scientific community, would provide very high brightness photons having high transverse coherence that would extend the capabilities of X-ray imaging and probe techniques beyond today's performance. It would be a cost-effective, high-coherence 4th generation light source, competitive with one based on energy recovery linac (ERL) technology, serving a large number of users studying material, chemical, and biological sciences. Furthermore, because of the experience accumulated over many decades of ring operation, it would have the great advantage of stability and reliability. In this paper we consider the design of an USR having 10-pm-rad emittance. It is a tremendous challenge to design a storage ring having such an extremely low emittance, a factor of 100 smaller than those in existing light sources, especially such that it has adequate dynamic aperture and beam lifetime. In many ultra-low emittance designs, the injection acceptances are not large enough for accumulation of the electron beam, necessitating on-axis injection where stored electron bunches are completely replaced with newly injected ones. Recently, starting with the MAX-IV 7-bend

  18. Current source converter based D-STATCOM for voltage sag mitigation

    Directory of Open Access Journals (Sweden)

    Singh Moirangthem Deben

    2015-01-01

    Full Text Available This paper presents a novel method of realizing one of the custom power controllers, the distribution static synchronous compensator (D-STATCOM using current source converter (CSC topology. Almost all the custom power controllers such as dynamic voltage restorer (DVR, unified power quality conditioner (UPQC including D-STATCOM are generally designed and implemented by using voltage source converters (VSC and not much research publications with CSC based approach has been reported over the last one decade. Since the D-STATCOM is a current injection device, its performance can be improved when realized by a current-source converter which can generate a controllable current directly at its output terminals and offers many advantageous features. In this paper, an attempt has been made to study the performance of a CSC based D-STATCOM suitable for use in the power distribution system in order to mitigate voltage sag and improve power quality. The proposed model uses a three leg CSC whose switching strategy is based on sinusoidal pulse width modulation (SPWM. The model has been simulated in the Matlab/Simulink environment. The results of the simulation runs under steady state and dynamic load perturbation provide excellent voltage and current waveforms that support the justification of the proposed model.

  19. Performance of positive ion based high power ion source of EAST neutral beam injector

    International Nuclear Information System (INIS)

    Hu, Chundong; Xie, Yahong; Xie, Yuanlai; Liu, Sheng; Xu, Yongjian; Liang, Lizhen; Jiang, Caichao; Li, Jun; Liu, Zhimin

    2016-01-01

    The positive ion based source with a hot cathode based arc chamber and a tetrode accelerator was employed for a neutral beam injector on the experimental advanced superconducting tokamak (EAST). Four ion sources were developed and each ion source has produced 4 MW @ 80 keV hydrogen beam on the test bed. 100 s long pulse operation with modulated beam has also been tested on the test bed. The accelerator was upgraded from circular shaped to diamond shaped in the latest two ion sources. In the latest campaign of EAST experiment, four ion sources injected more than 4 MW deuterium beam with beam energy of 60 keV into EAST

  20. Comparing source-based and gist-based false recognition in aging and Alzheimer's disease.

    Science.gov (United States)

    Pierce, Benton H; Sullivan, Alison L; Schacter, Daniel L; Budson, Andrew E

    2005-07-01

    This study examined 2 factors contributing to false recognition of semantic associates: errors based on confusion of source and errors based on general similarity information or gist. The authors investigated these errors in patients with Alzheimer's disease (AD), age-matched control participants, and younger adults, focusing on each group's ability to use recollection of source information to suppress false recognition. The authors used a paradigm consisting of both deep and shallow incidental encoding tasks, followed by study of a series of categorized lists in which several typical exemplars were omitted. Results showed that healthy older adults were able to use recollection from the deep processing task to some extent but less than that used by younger adults. In contrast, false recognition in AD patients actually increased following the deep processing task, suggesting that they were unable to use recollection to oppose familiarity arising from incidental presentation. (c) 2005 APA, all rights reserved.

  1. An incentive-based source separation model for sustainable municipal solid waste management in China.

    Science.gov (United States)

    Xu, Wanying; Zhou, Chuanbin; Lan, Yajun; Jin, Jiasheng; Cao, Aixin

    2015-05-01

    Municipal solid waste (MSW) management (MSWM) is most important and challenging in large urban communities. Sound community-based waste management systems normally include waste reduction and material recycling elements, often entailing the separation of recyclable materials by the residents. To increase the efficiency of source separation and recycling, an incentive-based source separation model was designed and this model was tested in 76 households in Guiyang, a city of almost three million people in southwest China. This model embraced the concepts of rewarding households for sorting organic waste, government funds for waste reduction, and introducing small recycling enterprises for promoting source separation. Results show that after one year of operation, the waste reduction rate was 87.3%, and the comprehensive net benefit under the incentive-based source separation model increased by 18.3 CNY tonne(-1) (2.4 Euros tonne(-1)), compared to that under the normal model. The stakeholder analysis (SA) shows that the centralized MSW disposal enterprises had minimum interest and may oppose the start-up of a new recycling system, while small recycling enterprises had a primary interest in promoting the incentive-based source separation model, but they had the least ability to make any change to the current recycling system. The strategies for promoting this incentive-based source separation model are also discussed in this study. © The Author(s) 2015.

  2. Ionized-cluster source based on high-pressure corona discharge

    International Nuclear Information System (INIS)

    Lokuliyanage, K.; Huber, D.; Zappa, F.; Scheier, P.

    2006-01-01

    Full text: It has been demonstrated that energetic beams of large clusters, with thousands of atoms, can be a powerful tool for surface modification. Normally ionized cluster beams are obtained by electron impact on neutral beams produced in a supersonic expansion. At the University of Innsbruck we are pursuing the realization of a high current cluster ion source based on the corona discharge.The idea in the present case is that the ionization should occur prior to the supersonic expansion, thus supersede the need of subsequent electron impact. In this contribution we present the project of our source in its initial stage. The intensity distribution of cluster sizes as a function of the source parameters, such as input pressure, temperature and gap voltage, are investigated with the aid of a custom-built time of flight mass spectrometer. (author)

  3. Towards Evidence-Based Understanding of Electronic Data Sources

    DEFF Research Database (Denmark)

    Chen, Lianping; Ali Babar, Muhammad; Zhang, He

    2010-01-01

    Identifying relevant papers from various Electronic Data Sources (EDS) is one of the key activities of conducting these kinds of studies. Hence, the selection of EDS for searching the potentially relevant papers is an important decision, which can affect a study’s coverage of relevant papers...... the two studies and that from literature to provide initial evidence-based heuristics for EDS selection....

  4. Challenges of anamorphic high-NA lithography and mask making

    Science.gov (United States)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  5. New paradigms for Salmonella source attribution based on microbial subtyping.

    Science.gov (United States)

    Mughini-Gras, Lapo; Franz, Eelco; van Pelt, Wilfrid

    2018-05-01

    Microbial subtyping is the most common approach for Salmonella source attribution. Typically, attributions are computed using frequency-matching models like the Dutch and Danish models based on phenotyping data (serotyping, phage-typing, and antimicrobial resistance profiling). Herewith, we critically review three major paradigms facing Salmonella source attribution today: (i) the use of genotyping data, particularly Multi-Locus Variable Number of Tandem Repeats Analysis (MLVA), which is replacing traditional Salmonella phenotyping beyond serotyping; (ii) the integration of case-control data into source attribution to improve risk factor identification/characterization; (iii) the investigation of non-food sources, as attributions tend to focus on foods of animal origin only. Population genetics models or simplified MLVA schemes may provide feasible options for source attribution, although there is a strong need to explore novel modelling options as we move towards whole-genome sequencing as the standard. Classical case-control studies are enhanced by incorporating source attribution results, as individuals acquiring salmonellosis from different sources have different associated risk factors. Thus, the more such analyses are performed the better Salmonella epidemiology will be understood. Reparametrizing current models allows for inclusion of sources like reptiles, the study of which improves our understanding of Salmonella epidemiology beyond food to tackle the pathogen in a more holistic way. Copyright © 2017 Elsevier Ltd. All rights reserved.

  6. Production of effective microorganism using halal- based sources: A ...

    African Journals Online (AJOL)

    GREGORY

    2011-12-16

    Dec 16, 2011 ... Key words: Component, effective microorganisms (EM), agriculture, halal-based source. INTRODUCTION. In recent years, with focus on feeding a rapidly growing human population, Malaysia has jeopardized the environ- ment and its natural resources, which are already under great stress. Consequently ...

  7. Do factors related to combustion-based sources explain ...

    Science.gov (United States)

    Introduction: Spatial heterogeneity of effect estimates in associations between PM2.5 and total non-accidental mortality (TNA) in the United States (US), is an issue in epidemiology. This study uses rate ratios generated from the Multi-City/Multi-Pollutant study (1999-2005) for 313 core-based statistical areas (CBSA) and their metropolitan divisions (MD) to examine combustion-based sources of heterogeneity.Methods: For CBSA/MDs, area-specific log rate ratios (betas) were derived from a model adjusting for time, an interaction with age-group, day of week, and natural splines of current temperature, current dew point, and unconstrained temperature at lags 1, 2, and 3. We assessed the heterogeneity in the betas by linear regression with inverse variance weights, using average NO2, SO2, and CO, which may act as a combustion source proxy, and these pollutants’ correlations with PM2.5. Results: We found that weighted mean PM2.5 association (0.96 percent increase in total non-accidental mortality for a 10 µg/m3 increment in PM2.5) increased by 0.26 (95% confidence interval 0.08 , 0.44) for an interquartile change (0.2) in the correlation of SO2 and PM2.5., but betas showed less dependence on the annual averages of SO2 or NO2. Spline analyses suggest departures from linearity, particularly in a model that examined correlations between PM2.5 and CO.Conclusions: We conclude that correlations between SO2 and PM2.5 as an indicator of combustion sources explains some hete

  8. Sketches of a hammer-impact, spiked-base, shear-wave source

    Science.gov (United States)

    Hasbrouck, W.P.

    1983-01-01

    Generation of shear waves in shallow seismic investigations (those to depths usually less than 100 m) can be accomplished by horizontally striking with a hammer either the end of a wood plank or metal structure embedded at the ground surface. The dimensioned sketches of this report are of a steel, hammer-impact, spiked-base, shear-wave source. It has been used on outcrops and in a desert environment and for conducting experiments on the effect of rotating source direction.

  9. Permanent magnet based dipole magnets for next generation light sources

    Directory of Open Access Journals (Sweden)

    Takahiro Watanabe

    2017-07-01

    Full Text Available We have developed permanent magnet based dipole magnets for the next generation light sources. Permanent magnets are advantageous over electromagnets in that they consume less power, are physically more compact, and there is a less risk of power supply failure. However, experience with electromagnets and permanent magnets in the field of accelerators shows that there are still challenges to replacing main magnets of accelerators for light sources with permanent magnets. These include the adjustability of the magnetic field, the temperature dependence of permanent magnets, and the issue of demagnetization. In this paper, we present a design for magnets for future light sources, supported by experimental and numerical results.

  10. Analyzing of economic growth based on electricity consumption from different sources

    Science.gov (United States)

    Maksimović, Goran; Milosavljević, Valentina; Ćirković, Bratislav; Milošević, Božidar; Jović, Srđan; Alizamir, Meysam

    2017-10-01

    Economic growth could be influenced by different factors. In this study was analyzed the economic growth based on the electricity consumption form different sources. As economic growth indicator gross domestic product (GDP) was used. ANFIS (adaptive neuro fuzzy inference system) methodology was applied to determine the most important factors from the given set for the GDP growth prediction. Six inputs were used: electricity production from coal, hydroelectric, natural gas, nuclear, oil and renewable sources. Results shown that the electricity consumption from renewable sources has the highest impact on the economic or GDP growth prediction.

  11. High brightness single photon sources based on photonic wires

    DEFF Research Database (Denmark)

    Claudon, J.; Bleuse, J.; Bazin, M.

    2009-01-01

    We present a novel single-photon-source based on the emission of a semiconductor quantum dot embedded in a single-mode photonic wire. This geometry ensures a very large coupling (> 95%) of the spontaneous emission to the guided mode. Numerical simulations show that a photon collection efficiency...

  12. AlInGaN-Based Superlattice Terahertz Source, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — WaveBand Corporation in collaboration with Virginia Commonwealth University proposes to design and fabricate a new sub-millimeter source based on an InAlGaN...

  13. Effective temperature of an ultracold electron source based on near-threshold photoionization

    NARCIS (Netherlands)

    Engelen, W.J.; Smakman, E.P.; Bakker, D.J.; Luiten, O.J.; Vredenbregt, E.J.D.

    2014-01-01

    We present a detailed description of measurements of the effective temperature of a pulsed electron source, based on near-threshold photoionization of laser-cooled atoms. The temperature is determined by electron beam waist scans, source size measurements with ion beams, and analysis with an

  14. Thinking about online sources: Exploring students' epistemic cognition in internet-based chemistry learning

    Science.gov (United States)

    Dai, Ting

    This dissertation investigated the relation between epistemic cognition---epistemic aims and source beliefs---and learning outcome in an Internet--based research context. Based on a framework of epistemic cognition (Chinn, Buckland, & Samarapungavan, 2011), a context--specific epistemic aims and source beliefs questionnaire (CEASBQ) was developed and administered to 354 students from college--level introductory chemistry courses. A series of multitrait--multimethod model comparisons provided evidence for construct convergent and discriminant validity for three epistemic aims--- true beliefs, justified beliefs, explanatory connection, which were all distinguished from, yet correlated with, mastery goals. Students' epistemic aims were specific to the chemistry topics in research. Multidimensional scaling results indicated that students' source evaluation was based on two dimensions--- professional expertise and first--hand knowledge, suggesting a multidimensional structure of source beliefs. Most importantly, online learning outcome was found to be significantly associated with two epistemic aims---justified beliefs and explanatory connection: The more students sought justifications in the online research, the lower they tended to score on the learning outcome measure, whereas the more students sought explanatory connections between information, the higher they scored on the outcome measure. There was a significant but small positive association between source beliefs and learning outcome. The influences of epistemic aims and source beliefs on learning outcome were found to be above and beyond the effects of a number of covariates, including prior knowledge and perceived ability with online sources.

  15. X-rays and extreme ultraviolet radiation principles and applications

    CERN Document Server

    Attwood, David

    2016-01-01

    With this fully updated second edition, readers will gain a detailed understanding of the physics and applications of modern X-ray and EUV radiation sources. Taking into account the most recent improvements in capabilities, coverage is expanded to include new chapters on free electron lasers (FELs), laser high harmonic generation (HHG), X-ray and EUV optics, and nanoscale imaging; a completely revised chapter on spatial and temporal coherence; and extensive discussion of the generation and applications of femtosecond and attosecond techniques. Readers will be guided step by step through the mathematics of each topic, with over 300 figures, 50 reference tables and 600 equations enabling easy understanding of key concepts. Homework problems, a solutions manual for instructors, and links to YouTube lectures accompany the book online. This is the 'go-to' guide for graduate students, researchers and industry practitioners interested in X-ray and EUV interaction with matter.

  16. Methods and apparatus for use with extreme ultraviolet light having contamination protection

    Science.gov (United States)

    Chilese, Francis C.; Torczynski, John R.; Garcia, Rudy; Klebanoff, Leonard E.; Delgado, Gildardo R.; Rader, Daniel J.; Geller, Anthony S.; Gallis, Michail A.

    2016-07-12

    An apparatus for use with extreme ultraviolet (EUV) light comprising A) a duct having a first end opening, a second end opening and an intermediate opening intermediate the first end opening the second end opening, B) an optical component disposed to receive EUV light from the second end opening or to send light through the second end opening, and C) a source of low pressure gas at a first pressure to flow through the duct, the gas having a high transmission of EUV light, fluidly coupled to the intermediate opening. In addition to or rather than gas flow the apparatus may have A) a low pressure gas with a heat control unit thermally coupled to at least one of the duct and the optical component and/or B) a voltage device to generate voltage between a first portion and a second portion of the duet with a grounded insulative portion therebetween.

  17. High-radiance LDP source for mask inspection and beam line applications (Conference Presentation)

    Science.gov (United States)

    Teramoto, Yusuke; Santos, Bárbara; Mertens, Guido; Kops, Ralf; Kops, Margarete; von Wezyk, Alexander; Bergmann, Klaus; Yabuta, Hironobu; Nagano, Akihisa; Ashizawa, Noritaka; Taniguchi, Yuta; Yamatani, Daiki; Shirai, Takahiro; Kasama, Kunihiko

    2017-04-01

    High-throughput actinic mask inspection tools are needed as EUVL begins to enter into volume production phase. One of the key technologies to realize such inspection tools is a high-radiance EUV source of which radiance is supposed to be as high as 100 W/mm2/sr. Ushio is developing laser-assisted discharge-produced plasma (LDP) sources. Ushio's LDP source is able to provide sufficient radiance as well as cleanliness, stability and reliability. Radiance behind the debris mitigation system was confirmed to be 120 W/mm2/sr at 9 kHz and peak radiance at the plasma was increased to over 200 W/mm2/sr in the recent development which supports high-throughput, high-precision mask inspection in the current and future technology nodes. One of the unique features of Ushio's LDP source is cleanliness. Cleanliness evaluation using both grazing-incidence Ru mirrors and normal-incidence Mo/Si mirrors showed no considerable damage to the mirrors other than smooth sputtering of the surface at the pace of a few nm per Gpulse. In order to prove the system reliability, several long-term tests were performed. Data recorded during the tests was analyzed to assess two-dimensional radiance stability. In addition, several operating parameters were monitored to figure out which contributes to the radiance stability. The latest model that features a large opening angle was recently developed so that the tool can utilize a large number of debris-free photons behind the debris shield. The model was designed both for beam line application and high-throughput mask inspection application. At the time of publication, the first product is supposed to be in use at the customer site.

  18. BAT: An open-source, web-based audio events annotation tool

    OpenAIRE

    Blai Meléndez-Catalan, Emilio Molina, Emilia Gómez

    2017-01-01

    In this paper we present BAT (BMAT Annotation Tool), an open-source, web-based tool for the manual annotation of events in audio recordings developed at BMAT (Barcelona Music and Audio Technologies). The main feature of the tool is that it provides an easy way to annotate the salience of simultaneous sound sources. Additionally, it allows to define multiple ontologies to adapt to multiple tasks and offers the possibility to cross-annotate audio data. Moreover, it is easy to install and deploy...

  19. Toward compact and ultra-intense laser-based soft x-ray lasers

    Science.gov (United States)

    Sebban, S.; Depresseux, A.; Oliva, E.; Gautier, J.; Tissandier, F.; Nejdl, J.; Kozlova, M.; Maynard, G.; Goddet, J. P.; Tafzi, A.; Lifschitz, A.; Kim, H. T.; Jacquemot, S.; Rousseau, P.; Zeitoun, P.; Rousse, A.

    2018-01-01

    We report here recent work on an optical field ionized (OFI), high-order harmonic-seeded EUV laser. The amplifying medium is a plasma of nickel-like krypton obtained by OFI when focusing a 1 J, 30 fs, circularly-polarized, infrared pulse into a krypton-filled gas cell or krypton gas jet. The lasing transition is the 3d94d (J = 0) → 3d94p (J = 1) transition of Ni-like krypton ions at 32.8 nm and is pumped by collisions with hot electrons. The gain dynamics was probed by seeding the amplifier with a high-order harmonic pulse at different delays. The gain duration monotonically decreased from 7 ps to an unprecedented shortness of 450 fs full width at half-maximum as the amplification peak rose from 150 to 1200 with an increase of the plasma density from 3 × 1018 to 1.2 × 1020 cm-3. The integrated energy of the EUV laser pulse was also measured, and found to be around 2 μJ. It is to be noted that in the ASE mode, longer amplifiers were achieved (up to 2 cm), yielding EUV outputs up to 14 μJ.

  20. Production of effective microorganism using halal based sources: A ...

    African Journals Online (AJOL)

    Malaysia is recognized as a modern Islamic country; citizens have concerns regarding halal issues associated with EM ingredients, which are not clearly mentioned by the manufacturer. Hence, a halal-based source is suggested in the utilization of EM technology. This study presents the development and applications of ...