WorldWideScience

Sample records for euv high-resolution spectroscopic

  1. High-Resolution EUV Spectroscopy of White Dwarfs

    Science.gov (United States)

    Kowalski, Michael P.; Wood, K. S.; Barstow, M. A.

    2014-01-01

    We compare results of high-resolution EUV spectroscopic measurements of the isolated white dwarf G191-B2B and the binary system Feige 24 obtained with the J-PEX (Joint Plasmadynamic Experiment), which was sponsored jointly by the U.S. Naval Research Laboratory and NASA. J-PEX delivers the world's highest resolution in EUV and does so at high effective area (e.g., more effective area in a sounding rocket than is available with Chandra at adjacent energies, but in a waveband Chandra cannot reach). The capability J-PEX represents is applicable to the astrophysics of hot plasmas in stellar coronae, white dwarfs and the ISM. G191-B2B and Feige 24 are quite distinct hot white dwarf systems having in common that they are bright in the portion of the EUV where He emission features and edges occur, hence they can be exploited to probe both the stellar atmosphere and the ISM, separating those components by model-fitting that sums over all relevant (He) spectral features in the band. There is evidence from these fits that atmospheric He is being detected but the result is more conservatively cast as a pair of upper limits. We discuss how longer duration satellite observations with the same instrumentation could increase exposure to detect atmospheric He in these and other nearby hot white dwarfs.

  2. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  3. A subspace approach to high-resolution spectroscopic imaging.

    Science.gov (United States)

    Lam, Fan; Liang, Zhi-Pei

    2014-04-01

    To accelerate spectroscopic imaging using sparse sampling of (k,t)-space and subspace (or low-rank) modeling to enable high-resolution metabolic imaging with good signal-to-noise ratio. The proposed method, called SPectroscopic Imaging by exploiting spatiospectral CorrElation, exploits a unique property known as partial separability of spectroscopic signals. This property indicates that high-dimensional spectroscopic signals reside in a very low-dimensional subspace and enables special data acquisition and image reconstruction strategies to be used to obtain high-resolution spatiospectral distributions with good signal-to-noise ratio. More specifically, a hybrid chemical shift imaging/echo-planar spectroscopic imaging pulse sequence is proposed for sparse sampling of (k,t)-space, and a low-rank model-based algorithm is proposed for subspace estimation and image reconstruction from sparse data with the capability to incorporate prior information and field inhomogeneity correction. The performance of the proposed method has been evaluated using both computer simulations and phantom studies, which produced very encouraging results. For two-dimensional spectroscopic imaging experiments on a metabolite phantom, a factor of 10 acceleration was achieved with a minimal loss in signal-to-noise ratio compared to the long chemical shift imaging experiments and with a significant gain in signal-to-noise ratio compared to the accelerated echo-planar spectroscopic imaging experiments. The proposed method, SPectroscopic Imaging by exploiting spatiospectral CorrElation, is able to significantly accelerate spectroscopic imaging experiments, making high-resolution metabolic imaging possible. Copyright © 2014 Wiley Periodicals, Inc.

  4. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-01-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub(angstrom)-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed

  5. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-08-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub{angstrom}-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed.

  6. X ray and EUV spectroscopic measurements of highly charged tungsten ions relevant to fusion plasmas

    International Nuclear Information System (INIS)

    Radtke, R; Biedermann, C; Mandelbaum, P; Schwob, J L

    2007-01-01

    Using high-resolution x ray and extreme ultraviolet (EUV) spectrometry, the line emission of W 28+ - W 50+ ions was measured at the Berlin Electron Beam Ion Trap (EBIT). Our study encompasses a wide range of wavelengths (5-800 A) and includes the observation of electric and magnetic dipole lines. The results of our measurements are compared with predicted transition wavelengths from ab initioatomic structure calculations

  7. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  8. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  9. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    Science.gov (United States)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  10. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    Science.gov (United States)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  11. The High-Resolution Lightweight Telescope for the EUV (HiLiTE)

    Energy Technology Data Exchange (ETDEWEB)

    Martinez-Galarce, D S; Boerner, P; Soufli, R; De Pontieu, B; Katz, N; Title, A; Gullikson, E M; Robinson, J C; Baker, S L

    2008-06-02

    The High-resolution Lightweight Telescope for the EUV (HiLiTE) is a Cassegrain telescope that will be made entirely of Silicon Carbide (SiC), optical substrates and metering structure alike. Using multilayer coatings, this instrument will be tuned to operate at the 465 {angstrom} Ne VII emission line, formed in solar transition region plasma at {approx}500,000 K. HiLiTE will have an aperture of 30 cm, angular resolution of {approx}0.2 arc seconds and operate at a cadence of {approx}5 seconds or less, having a mass that is about 1/4 that of one of the 20 cm aperture telescopes on the Atmospheric Imaging Assembly (AIA) instrument aboard NASA's Solar Dynamics Observatory (SDO). This new instrument technology thus serves as a path finder to a post-AIA, Explorer-class missions.

  12. EUV blank defect and particle inspection with high throughput immersion AFM with 1nm 3D resolution

    NARCIS (Netherlands)

    Es, M.H. van; Sadeghian Marnani, H.

    2016-01-01

    Inspection of EUV mask substrates and blanks is demanding. We envision this is a good target application for massively parallel Atomic Force Microscopy (AFM). We envision to do a full surface characterization of EUV masks with AFM enabling 1nm true 3D resolution over the entire surface. The limiting

  13. Spectroscopic modeling for tungsten EUV spectra

    International Nuclear Information System (INIS)

    Murakami, Izumi; Kato, Daiji; Sakaue, Hiroyuki A.; Suzuki, Chihiro; Morita, Shigeru; Goto, Motoshi; Sasaki, Akira; Nakamura, Nobuyuki; Yamamoto, Norimasa; Koike, Fumihiro

    2014-01-01

    We have constructed an atomic model for tungsten extreme ultraviolet (EUV) spectra to reconstruct characteristic spectral feature of unresolved transition array (UTA) observed at 4-7 nm for tungsten ions. In the tungsten atomic modeling, we considered fine-structure levels with the quantum principal number n up to 6 as the atomic structure and calculated the electron-impact collision cross sections by relativistic distorted-wave method, using HULLAC atomic code. We measured tungsten EUV spectra in Large Helical Device (LHD) and Compact Electron Beam Ion Trap device (CoBIT) and compared them with the model calculation. The model successfully explain series of emission peaks at 1.5-3.5 nm as n=5-4 and 6-4 transitions of W"2"4"+ - W"3"2"+ measured in CoBIT and LHD and the charge state distributions were estimated for LHD plasma. The UTA feature observed at 4-7 nm was also successfully reconstructed with our model. The peak at ∼5 nm is produced mainly by many 4f-4d transition of W"2"2"+ - W"3"5"+ ions, and the second peak at ∼6 nm is produced by 4f-4d transition of W"2"5"+ - W"2"8"+ ions, and 4d-4p inner-shell transitions, 4p"54d"n"+"1 - 4p"64d"n, of W"2"9"+ - W"3"5"+ ions. These 4d-4p inner-shell transitions become strong since we included higher excited states such as 4p"54d"n4f state, which ADAS atomic data set does not include for spectroscopic modeling with fine structure levels. (author)

  14. Performance improvement of two-dimensional EUV spectroscopy based on high frame rate CCD and signal normalization method

    International Nuclear Information System (INIS)

    Zhang, H.M.; Morita, S.; Ohishi, T.; Goto, M.; Huang, X.L.

    2014-01-01

    In the Large Helical Device (LHD), the performance of two-dimensional (2-D) extreme ultraviolet (EUV) spectroscopy with wavelength range of 30-650A has been improved by installing a high frame rate CCD and applying a signal intensity normalization method. With upgraded 2-D space-resolved EUV spectrometer, measurement of 2-D impurity emission profiles with high horizontal resolution is possible in high-density NBI discharges. The variation in intensities of EUV emission among a few discharges is significantly reduced by normalizing the signal to the spectral intensity from EUV_—Long spectrometer which works as an impurity monitor with high-time resolution. As a result, high resolution 2-D intensity distribution has been obtained from CIV (384.176A), CV(2x40.27A), CVI(2x33.73A) and HeII(303.78A). (author)

  15. EUVS Sounding Rocket Payload

    Science.gov (United States)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  16. CDSD-4000: High-resolution, high-temperature carbon dioxide spectroscopic databank

    International Nuclear Information System (INIS)

    Tashkun, S.A.; Perevalov, V.I.

    2011-01-01

    We present a high-resolution, high-temperature version of the Carbon Dioxide Spectroscopic Databank called CDSD-4000. The databank contains the line parameters (positions, intensities, air- and self-broadened half-widths, coefficients of temperature dependence of air- and self-broadened half-widths, and air-broadened pressure shifts) of the four most abundant isotopologues of CO 2 . A reference temperature is 296 K and an intensity cutoff is 10 -27 cm -1 /molecule cm -2 at 4000 K. The databank has 628,324,454 entries, covers the 226-8310 cm -1 spectral range and designed for the temperature range 2500-5000 K. Format of CDSD-4000 is similar to that of HITRAN-2008. The databank has been generated within the framework of the method of effective operators and based on the global fittings of spectroscopic parameters (parameters of the effective Hamiltonians and effective dipole moment operators) to observed data collected from the literature. The databank is useful for studying high-temperature radiative properties of CO 2 , including exoplanets atmospheres, aerothemal modeling for Mars entry missions, high-temperature laboratory spectra, and industrial applications. CDSD-4000 is freely accessible via the Internet site (ftp://ftp.iao.ru/pub/CDSD-4000).

  17. Analytical techniques for mechanistic characterization of EUV photoresists

    Science.gov (United States)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  18. Oxide Nanoparticle EUV (ONE) Photoresists: Current Understanding of the Unusual Patterning Mechanism

    KAUST Repository

    Jiang, Jing; Zhang, Ben; Yu, Mufei; Li, Li; Neisser, Mark; Sung Chun, Jun; Giannelis, Emmanuel P.; Ober, Christopher K.

    2015-01-01

    © 2015 SPST. In the past few years, industry has made significant progress to deliver a stable high power EUV scanner and a 100 W light source is now being tested on the manufacuring scale. The success of a high power EUV source demands a fast and high resolution EUV resist. However, chemcially amplied resists encounter unprecedented challenges beyond the 22 nm node due to resolution, roughness and sensitivity tradeoffs. Unless novel solutions for EUV resists are proposed and further optimzed, breakthroughs can hardly be achieved. Oxide nanoparticle EUV (ONE) resists stablized by organic ligands were originally proposed by Ober et al. Recently this work attracts more and more attention due to its extraordinanry EUV sensitivity. This new class of photoresist utilizes ligand cleavage with a ligand exchange mechanism to switch its solubilty for dual-tone patterning. Therefore, ligand selection of the nanoparticles is extremely important to its EUV performance.

  19. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    Science.gov (United States)

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  20. Development of a EUV Test Facility at the Marshall Space Flight Center

    Science.gov (United States)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  1. Imaging and Patterning on Nanometer Scale Using Coherent EUV Light

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Fiedorowicz, H.; Bartnik, A.; Marconi, M.C.; Menoni, C.S.; Rocca, J.J.

    2010-01-01

    Extreme ultraviolet (EUV) covers wavelength range from about 5 nm to 50 nm. That is why EUV is especially applicable for imaging and patterning on nanometer scale length. In the paper periodic nanopatterning realized by interference lithography and high resolution holographic nanoimaging performed in a Gabor in-line scheme are presented. In the experiments a compact table top EUV laser was used. Preliminary studies on using a laser plasma EUV source for nanoimaging are presented as well. (author)

  2. Benchmarking EUV mask inspection beyond 0.25 NA

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Mochi, Iacopo; Anderson, Erik H.; Rekawa, Seno B.; Kemp, Charles D.; Huh, S.; Han, H.-S.; Naulleau, P.; Gunion, R.F.

    2008-01-01

    The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-(micro)m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured σ values of approximately 0.125 at 0.0875 NA

  3. High-NA EUV lithography enabling Moore's law in the next decade

    Science.gov (United States)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  4. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    Science.gov (United States)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  5. ASD-1000: High-resolution, high-temperature acetylene spectroscopic databank

    Science.gov (United States)

    Lyulin, O. M.; Perevalov, V. I.

    2017-11-01

    We present a high-resolution, high-temperature version of the Acetylene Spectroscopic Databank called ASD-1000. The databank contains the line parameters (position, intensity, Einstein coefficient for spontaneous emission, term value of the lower states, self- and air-broadening coefficients, temperature dependence exponents of the self- and air-broadening coefficients) of the principal isotopologue of C2H2. The reference temperature for line intensity is 296 K and the intensity cutoff is 10-27 cm-1/(molecule cm-2) at 1000 K. The databank has 33,890,981 entries and covers the 3-10,000 cm-1 spectral range. The databank is based on the global modeling of the line positions and intensities performed within the framework of the method of effective operators. The parameters of the effective Hamiltonian and the effective dipole moment operator have been fitted to the observed values of the line positions and intensities collected from the literature. The broadening coefficients as well as their temperature dependence exponents were calculated using the empirical equations. The databank is useful for studying high-temperature radiative properties of C2H2. ASD-1000 is freely accessible via the Internet site of V.E. Zuev Institute of Atmospheric Optics SB RAS ftp://ftp.iao.ru/pub/ASD1000/.

  6. Advanced 0.3-NA EUV lithography capabilities at the ALS

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Anderson, Erik; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; Jackson, Keith

    2005-01-01

    For volume nanoelectronics production using Extreme ultraviolet (EUV) lithography [1] to become a reality around the year 2011, advanced EUV research tools are required today. Microfield exposure tools have played a vital role in the early development of EUV lithography [2-4] concentrating on numerical apertures (NA) of 0.2 and smaller. Expected to enter production at the 32-nm node with NAs of 0.25, EUV can no longer rely on these early research tools to provide relevant learning. To overcome this problem, a new generation of microfield exposure tools, operating at an NA of 0.3 have been developed [5-8]. Like their predecessors, these tools trade off field size and speed for greatly reduced complexity. One of these tools is implemented at Lawrence Berkeley National Laboratory's Advanced Light Source synchrotron radiation facility. This tool gets around the problem of the intrinsically high coherence of the synchrotron source [9,10] by using an active illuminator scheme [11]. Here we describe recent printing results obtained from the Berkeley EUV exposure tool. Limited by the availability of ultra-high resolution chemically amplified resists, present resolution limits are approximately 32 nm for equal lines and spaces and 27 nm for semi-isolated lines

  7. Fundamentals of EUV resist-inorganic hardmask interactions

    Science.gov (United States)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  8. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have achieved high resolution (∼22 nm) at a very high EUV sensitivity (4.2 mJ/cm2). Further investigations into the patterning process suggests a ligand displacement mechanism, wherein, any combination of a metal oxide with the correct ligand could generate patterns in the presence of the suitable photoactive compound. The current investigation extends this study by developing new nanoparticle compositions with transdimethylacrylic acid and o-toluic acid ligands. This study describes their synthesis and patterning performance under 248 nm KrF laser (DUV) and also under 13.5 nm EUV exposures (dimethylacrylate nanoparticles) for the new resist compositions.

  9. Rocket flight of a multilayer coated high-density EUV toroidal grating

    Science.gov (United States)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Davila, Joseph M.

    1992-01-01

    A multilayer coated high density toroidal grating was flown on a sounding rocket experiment in the Solar EUV Rocket Telescope and Spectrograph (SERTS) instrument. To our knowledge this is the first space flight of a multilayer coated grating. Pre-flight performance evaluation showed that the application of a 10-layer Ir/Si multilayer coating to the 3600 l/mm blazed toroidal replica grating produced a factor of 9 enhancement in peak efficiency near the design wavelength around 30 nm in first order over the standard gold coating, with a measured EUV efficiency that peaked at 3.3 percent. In addition, the grating's spectral resolution of better than 5000 was maintained. The region of enhanced grating efficiency due to the multilayer coating is clearly evident in the flight data. Within the bandpass of the multilayer coating, the recorded film densities were roughly equivalent to those obtained with a factor of six longer exposure on the previous flight of the SERTS instrument.

  10. Characterization of laser-produced plasma EUV light

    International Nuclear Information System (INIS)

    Mizoguchi, Hakaru; Endo, Akira; Takabayashi, Yuichi; Sasaki, Akira; Komori, Hiroshi; Suganuma, Takashi

    2005-01-01

    Resolution of optical microlithography process becomes smaller and smaller. Wavelength of the light source for these optical lithography reduced from KrF, ArF to F2 to meet the resolution requirement. Recently EUV is spotlighted as promising candidate for next generation lithography light source. This paper summarizes the requirement and studies of experiments and simulation to improve the convention efficiency of EUV light source. (author)

  11. Classification and printability of EUV mask defects from SEM images

    Science.gov (United States)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  12. Evaluation of EUV resist performance using interference lithography

    Science.gov (United States)

    Buitrago, E.; Yildirim, O.; Verspaget, C.; Tsugama, N.; Hoefnagels, R.; Rispens, G.; Ekinci, Y.

    2015-03-01

    Extreme ultraviolet lithography (EUVL) stands as the most promising solution for the fabrication of future technology nodes in the semiconductor industry. Nonetheless, the successful introduction of EUVL into the extremely competitive and stringent high-volume manufacturing (HVM) phase remains uncertain partly because of the still limiting performance of EUV resists below 16 nm half-pitch (HP) resolution. Particularly, there exists a trade-off relationship between resolution (half-pitch), sensitivity (dose) and line-edge roughness (LER) that can be achieved with existing materials. This trade-off ultimately hampers their performance and extendibility towards future technology nodes. Here we present a comparative study of highly promising chemically amplified resists (CARs) that have been evaluated using the EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). In this study we have focused on the performance qualification of different resists mainly for 18 nm and 16 nm half-pitch line/space resolution (L/S = 1:1). Among the most promising candidates tested, there are a few choices that allow for 16 nm HP resolution to be achieved with high exposure latitude (up to ~ 33%), low LER (down to 3.3 nm or ~ 20% of critical dimension CD) and low dose-to-size (or best-energy, BE) < 41 mJ/cm2 values. Patterning was even demonstrated down to 12 nm HP with one of CARs (R1UL1) evaluated for their extendibility beyond the 16 nm HP resolution. 11 nm HP patterning with some pattern collapse and well resolved patterns down 12 nm were also demonstrated with another CAR (R15UL1) formulated for 16 nm HP resolution and below. With such resist it was possible even to obtain a small process window for 14 nm HP processing with an EL ~ 8% (BE ~ 37 mJ/cm2, LER ~ 4.5 nm). Though encouraging, fulfilling all of the requirements necessary for high volume production, such as high resolution, low LER, high photon

  13. The EUV dayglow at high spectral resolution

    International Nuclear Information System (INIS)

    Morrison, M.D.; Bowers, C.W.; Feldman, P.D.; Meier, R.R.

    1990-01-01

    Rocket observations of the dayglow spectrum of the terrestrial atmosphere between 840 angstrom and 1860 angstrom at 2 angstrom resolution were obtained with a sounding rocket payload flown on January 17, 1985. Additionally, spectra were also obtained using a 0.125-m focal length scanning Ebert-Fastie monochromator covering the wavelength interval of 1150-1550 angstrom at 7 angstrom resolution on this flight and on a sounding rocket flight on August 29, 1983, under similar viewing geometries and solar zenith angles. Three bands of the N 2 c' 4 system are seen clearly resolved in the dayglow. Analysis of high-resolution N 2 Lyman-Birge-Hopfield data shows no anomalous vibrational distribution as has been reported from other observations. The altitude profiles of the observed O and N 2 emissions demonstrate that the MSIS-83 model O and N 2 densities are appropriate for the conditions of both the 1983 and 1985 rocket flights. A reduction of a factor of 2 in the model O 2 density is required for both flights to reproduce the low-altitude atomic oxygen emission profiles. The volume excitation rates calculated using the Hinteregger et al. (1981) SC number-sign 21REFW solar reference spectrum and the photoelectron flux model of Strickland and Meier (1982) need to be scaled upward by a factor of 1.4 for both fights to match the observations

  14. Spectroscopic investigation of ELM phenomena in the ASDEX-Upgrade divertor with high time resolution

    International Nuclear Information System (INIS)

    Field, A.R.; Buechl, K.; Fuchs, C.J.; Fussmann, G.; Herrmann, A.; Lieder, G.; Napiontek, B.; Radtke, R.; Wenzel, U.; Zohm, H.

    1993-01-01

    Improved tokamak H-mode confinement is associated with the formation of an insulating zone just within the separatrix. At a critical pressure gradient a sudden burst of MHD activity (an ELM) degrades edge confinement, releasing particles and energy into the scrape-off layer (SOL) which is subsequently transported to the divertor. Here, these phenomena are studied using spectroscopic diagnostics and target plate thermography of high spatial and temporal resolution. (author) 3 refs., 6 figs

  15. Spectroscopic investigation of ELM phenomena in the ASDEX-Upgrade divertor with high time resolution

    Energy Technology Data Exchange (ETDEWEB)

    Field, A R; Buechl, K; Fuchs, C J; Fussmann, G; Herrmann, A; Lieder, G; Napiontek, B; Radtke, R; Wenzel, U; Zohm, H [Max-Planck-Institut fuer Plasmaphysik, Garching (Germany)

    1994-12-31

    Improved tokamak H-mode confinement is associated with the formation of an insulating zone just within the separatrix. At a critical pressure gradient a sudden burst of MHD activity (an ELM) degrades edge confinement, releasing particles and energy into the scrape-off layer (SOL) which is subsequently transported to the divertor. Here, these phenomena are studied using spectroscopic diagnostics and target plate thermography of high spatial and temporal resolution. (author) 3 refs., 6 figs.

  16. High-pulse energy supercontinuum laser for high-resolution spectroscopic photoacoustic imaging of lipids in the 1650-1850 nm region.

    Science.gov (United States)

    Dasa, Manoj Kumar; Markos, Christos; Maria, Michael; Petersen, Christian R; Moselund, Peter M; Bang, Ole

    2018-04-01

    We propose a cost-effective high-pulse energy supercontinuum (SC) source based on a telecom range diode laser-based amplifier and a few meters of standard single-mode optical fiber, with a pulse energy density as high as ~25 nJ/nm in the 1650-1850 nm regime (factor >3 times higher than any SC source ever used in this wavelength range). We demonstrate how such an SC source combined with a tunable filter allows high-resolution spectroscopic photoacoustic imaging and the spectroscopy of lipids in the first overtone transition band of C-H bonds (1650-1850 nm). We show the successful discrimination of two different lipids (cholesterol and lipid in adipose tissue) and the photoacoustic cross-sectional scan of lipid-rich adipose tissue at three different locations. The proposed high-pulse energy SC laser paves a new direction towards compact, broadband and cost-effective source for spectroscopic photoacoustic imaging.

  17. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  18. A novel technique to measure intensity fluctuations in EUV images and to detect coronal sound waves nearby active regions

    Science.gov (United States)

    Stenborg, G.; Marsch, E.; Vourlidas, A.; Howard, R.; Baldwin, K.

    2011-02-01

    Context. In the past years, evidence for the existence of outward-moving (Doppler blue-shifted) plasma and slow-mode magneto-acoustic propagating waves in various magnetic field structures (loops in particular) in the solar corona has been found in ultraviolet images and spectra. Yet their origin and possible connection to and importance for the mass and energy supply to the corona and solar wind is still unclear. There has been increasing interest in this problem thanks to the high-resolution observations available from the extreme ultraviolet (EUV) imagers on the Solar TErrestrial RElationships Observatory (STEREO) and the EUV spectrometer on the Hinode mission. Aims: Flows and waves exist in the corona, and their signatures appear in EUV imaging observations but are extremely difficult to analyse quantitatively because of their weak intensity. Hence, such information is currently available mostly from spectroscopic observations that are restricted in their spatial and temporal coverage. To understand the nature and origin of these fluctuations, imaging observations are essential. Here, we present measurements of the speed of intensity fluctuations observed along apparently open field lines with the Extreme UltraViolet Imagers (EUVI) onboard the STEREO mission. One aim of our paper is to demonstrate that we can make reliable kinematic measurements from these EUV images, thereby complementing and extending the spectroscopic measurements and opening up the full corona for such an analysis. Another aim is to examine the assumptions that lead to flow versus wave interpretation for these fluctuations. Methods: We have developed a novel image-processing method by fusing well established techniques for the kinematic analysis of coronal mass ejections (CME) with standard wavelet analysis. The power of our method lies with its ability to recover weak intensity fluctuations along individual magnetic structures at any orientation , anywhere within the full solar disk , and

  19. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  20. Solar EUV irradiance for space weather applications

    Science.gov (United States)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  1. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  2. High-Resolution Photoionization, Photoelectron and Photodissociation Studies. Determination of Accurate Energetic and Spectroscopic Database for Combustion Radicals and Molecules

    Energy Technology Data Exchange (ETDEWEB)

    Ng, Cheuk-Yiu [Univ. of California, Davis, CA (United States)

    2016-04-25

    The main goal of this research program was to obtain accurate thermochemical and spectroscopic data, such as ionization energies (IEs), 0 K bond dissociation energies, 0 K heats of formation, and spectroscopic constants for radicals and molecules and their ions of relevance to combustion chemistry. Two unique, generally applicable vacuum ultraviolet (VUV) laser photoion-photoelectron apparatuses have been developed in our group, which have used for high-resolution photoionization, photoelectron, and photodissociation studies for many small molecules of combustion relevance.

  3. EUV source development for high-volume chip manufacturing tools

    Science.gov (United States)

    Stamm, Uwe; Yoshioka, Masaki; Kleinschmidt, Jürgen; Ziener, Christian; Schriever, Guido; Schürmann, Max C.; Hergenhan, Guido; Borisov, Vladimir M.

    2007-03-01

    Xenon-fueled gas discharge produced plasma (DPP) sources were integrated into Micro Exposure Tools already in 2004. Operation of these tools in a research environment gave early learning for the development of EUV sources for Alpha and Beta-Tools. Further experiments with these sources were performed for basic understanding on EUV source technology and limits, especially the achievable power and reliability. The intermediate focus power of Alpha-Tool sources under development is measured to values above 10 W. Debris mitigation schemes were successfully integrated into the sources leading to reasonable collector mirror lifetimes with target of 10 billion pulses due to the effective debris flux reduction. Source collector mirrors, which withstand the radiation and temperature load of Xenon-fueled sources, have been developed in cooperation with MediaLario Technologies to support intermediate focus power well above 10 W. To fulfill the requirements for High Volume chip Manufacturing (HVM) applications, a new concept for HVM EUV sources with higher efficiency has been developed at XTREME technologies. The discharge produced plasma (DPP) source concept combines the use of rotating disk electrodes (RDE) with laser exited droplet targets. The source concept is called laser assisted droplet RDE source. The fuel of these sources has been selected to be Tin. The conversion efficiency achieved with the laser assisted droplet RDE source is 2-3x higher compared to Xenon. Very high pulse energies well above 200 mJ / 2π sr have been measured with first prototypes of the laser assisted droplet RDE source. If it is possible to maintain these high pulse energies at higher repetition rates a 10 kHz EUV source could deliver 2000 W / 2π sr. According to the first experimental data the new concept is expected to be scalable to an intermediate focus power on the 300 W level.

  4. Photoionization of atoms and molecules by intense EUV-FEL pulses and FEL seeded by high-order harmonic of ultrashort laser pulses

    International Nuclear Information System (INIS)

    Iwasaki, Atsushi; Owada, Shigeki; Yamanouchi, Kaoru; Sato, Takahiro; Nagasono, Mitsuru; Yabashi, Makina; Ishikawa, Tetsuya; Togashi, Tadashi; Takahashi, Eiji J.; Midorikawa, Katsumi; Aoyama, Makoto; Yamakawa, Koichi; Kannari, Fumihiko; Yagishita, Akira

    2012-01-01

    The advantages of SPring-8 Compact SASE Source as a light source for spectroscopic measurements in the extreme ultraviolet (EUV) wavelength region are introduced by referring to our recent study of non-linear photoionization processes of He, in which the absolute two-photon ionization cross sections of He at four different wavelengths in the 54 - 62 nm region were determined using intense pulses of the free-election laser (FEL). In addition, our recent effort to generate intense full-coherent EUV light pulses are introduced, in which significant amplification of the 13th harmonic of ultrashort laser pulses at 800 nm was achieved by FEL seeded with the 13th harmonic. (author)

  5. Evaluating EUV mask pattern imaging with two EUV microscopes

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Takase, Kei; Naulleau, Patrick P.; Han, Hakseung; Barty, Anton; Kinoshita, Hiroo; Hamamoto, Kazuhiro

    2008-01-01

    Aerial image measurement plays a key role in the development of patterned reticles for each generation of lithography. Studying the field transmitted (reflected) from EUV masks provides detailed information about potential disruptions caused by mask defects, and the performance of defect repair strategies, without the complications of photoresist imaging. Furthermore, by measuring the continuously varying intensity distribution instead of a thresholded, binary resist image, aerial image measurement can be used as feedback to improve mask and lithography system modeling methods. Interest in EUV, at-wavelength, aerial image measurement lead to the creation of several research tools worldwide. These tools are used in advanced mask development work, and in the evaluation of the need for commercial at-wavelength inspection tools. They describe performance measurements of two such tools, inspecting the same EUV mask in a series of benchmarking tests that includes brightfield and darkfield patterns. One tool is the SEMATECH Berkeley Actinic Inspection Tool (AIT) operating on a bending magnet beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. The AIT features an EUV Fresnel zoneplate microscope that emulates the numerical aperture of a 0.25-NA stepper, and projects the aerial image directly onto a CCD camera, with 700x magnification. The second tool is an EUV microscope (EUVM) operating at the NewSUBARU synchrotron in Hyogo, Japan. The NewSUBARU tool projects the aerial image using a reflective, 30x Schwarzschild objective lens, followed by a 10-200x x-ray zooming tube. The illumination conditions and the imaging etendue are different for the two tools. The benchmarking measurements were used to determine many imaging and performance properties of the tools, including resolution, modulation transfer function (MTF), aberration magnitude, aberration field-dependence (including focal-plane tilt), illumination uniformity, line-edge roughness, and flare

  6. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  7. EUV spectrum of highly charged tungsten ions in electron beam ion trap

    International Nuclear Information System (INIS)

    Sakaue, H.A.; Kato, D.; Murakami, I.; Nakamura, N.

    2016-01-01

    We present spectra of highly charged tungsten ions in the extreme ultra-violet (EUV) by using electron beam ion traps. The electron energy dependence of spectra was investigated for electron energy from 540 to 1370 eV. Previously unreported lines were presented in the EUV range, and comparing the wavelengths with theoretical calculations identified them. (author)

  8. Negative-tone imaging with EUV exposure toward 13nm hp

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro

    2016-03-01

    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is

  9. High performance EUV multilayer structures insensitive to capping layer optical parameters.

    Science.gov (United States)

    Pelizzo, Maria Guglielmina; Suman, Michele; Monaco, Gianni; Nicolosi, Piergiorgio; Windt, David L

    2008-09-15

    We have designed and tested a-periodic multilayer structures containing protective capping layers in order to obtain improved stability with respect to any possible changes of the capping layer optical properties (due to oxidation and contamination, for example)-while simultaneously maximizing the EUV reflection efficiency for specific applications, and in particular for EUV lithography. Such coatings may be particularly useful in EUV lithographic apparatus, because they provide both high integrated photon flux and higher stability to the harsh operating environment, which can affect seriously the performance of the multilayer-coated projector system optics. In this work, an evolutive algorithm has been developed in order to design these a-periodic structures, which have been proven to have also the property of stable performance with respect to random layer thickness errors that might occur during coating deposition. Prototypes have been fabricated, and tested with EUV and X-ray reflectometry, and secondary electron spectroscopy. The experimental results clearly show improved performance of our new a-periodic coatings design compared with standard periodic multilayer structures.

  10. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  11. Accelerated high-resolution 3D magnetic resonance spectroscopic imaging in the brain At 7 T

    International Nuclear Information System (INIS)

    Hangel, G.

    2015-01-01

    With the announcement of the first series of magnetic resonance (MR) scanners with a field strength of 7 Tesla (T) intended for clinical practice, the development of high-performance sequences for higher field strengths has gained importance. Magnetic resonance spectroscopic imaging (MRSI) in the brain currently offers the unique ability to spatially resolve the distribution of multiple metabolites simultaneously. Its big diagnostic potential could be applied to many clinical protocols, for example the assessment of tumour treatment or progress of Multiple Sclerosis. Moving to ultra-high fields like 7 T has the main benefits of increased signal-to-noise ratio (SNR) and improved spectral quality, but brings its own challenges due to stronger field inhomogeneities. Necessary for a robust, flexible and useful MRSI sequence in the brain are high resolutions, shortened measurement times, the possibility for 3D-MRSI and the suppression of spectral contamination by trans-cranial lipids. This thesis addresses these limitations and proposes Hadamard spectroscopic imaging (HSI) as solution for multi-slice MRSI, the application of generalized autocalibrating partially parallel acquisition (GRAPPA) and spiral trajectories for measurement acceleration, non-selective inversion recovery (IR) lipid-suppression as well as combinations of these methods. Further, the optimisation of water suppression for 7 T systems and the acquisition of ultra-high resolution (UHR)-MRSI are discussed. In order to demonstrate the clinical feasibility of these approaches, MRSI measurement results of a glioma patient are presented. The discussion of the obtained results in the context of the state-of-art in 7 T MRSI in the brain, possible future applications as well as potential further improvements of the MRSI sequences conclude this thesis. (author) [de

  12. Towards a contamination-tolerant EUV power sensor

    NARCIS (Netherlands)

    Veldhoven, J. van; Putten, M. van; Nieuwkoop, E.; Huijser, T.; Maas, D.J.

    2015-01-01

    In EUV Lithography short-, mid- and long-term control over in-band EUV power is needed for high-yield IC production. Existing sensors can be unstable over time due to contamination and/or degradation. TNO goal: to conceive a stable EUV power sensor. Sensitive to in-band EUV, negligible degradation,

  13. Novel EUV resist materials design for 14nm half pitch and below

    Science.gov (United States)

    Tsubaki, Hideaki; Tarutani, Shinji; Fujimori, Toru; Takizawa, Hiroo; Goto, Takahiro

    2014-04-01

    Polymers with a different Tg and activation energy were prepared to clarify influences of acid diffusion on resolution at 15 nm half-pitch (hp) and 14 nm hp using a EUV micro-field exposure tool (MET) at LBNL. Resolution on such a narrow pattern was limited by collapse and pinching. Clear relationship between pinching numbers and polymer Tg indicates that acid diffusion is one of major contributors on the pinching. In addition, polymers with a low thermal activation energy (Ea) on deprotection were effective for reducing pinching. This is probably originated from its high chemically amplification character even in low post-exposure bake (PEB) temperature to obtain both large chemical contrast and short acid diffusion. On the other hand, a good correlation between a cleanable outgassing amount and Ea indicates trade-off relationship between outgassing and resolution. Advantages of n-butyl acetate (nBA) developer have been investigated in viewpoint of dissolution uniformity. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM as indicator of uniformity in development process. To avoid any differences in resist chemistry other than development process, cross linking negative tone resist was applied for this study. The surface roughness obtained by nBA, which is conventional negative-tone imaging (NTI) developer, was 32 % lower than that obtained by 2.38 % TMAH solution. NTI resist system with a nBA developer and optimized resist reduced LWR from 4.8 nm to 3.0 nm in comparison with conventional positive tone resist with a 2.38 % TMAH developer. In addition, advantage on semi-dense trench patterning was well defined. New EUV sensitizer with 1.15 times higher EUV absorption resulted in 1.15 times higher acid yield by EUV exposure. Lithography performance of the new EUV sensitizer has been investigated by MET at SEMATECH Albany. Sensitivity was indeed improved from 20 mJ/cm2 to 17 mJ/cm2 according

  14. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    Complete text of publication follows. Extreme ultraviolet (EUV) can be produced form a high-temperature plasma generated by interaction of high power laser pulses with matter. Laser plasma EUV sources are considered to be used in various applications in physics, material science, biomedicine, and technology. In the paper new compact laser plasma EUV sources developed for processing polymers and imaging are presented. The sources are based on a gas puff target formed by pulsed injection of a small amount of gas under high-pressure into a laser focus region. The use of the gas puff target instead of a solid target allows for efficient generation of EUV radiation without debris production. The compact laser plasma EUV source based on a gas puff target was developed for metrology applications. The EUV source developed for processing polymers is equipped with a grazing incidence axisymmetrical ellipsoidal mirror to focus EUV radiation in the relatively broad spectral range with the strong maximum near 10 nm. The size of the focal spot is about 1.3 mm in diameter with the maximum fluence up to 70 mJ/cm 2 . EUV radiation in the wavelength range of about 5 to 50 nm is produced by irradiation of xenon or krypton gas puff target with a Nd:YAG laser operating at 10 Hz and delivering 4 ns pulses of energy up to 0.8 J per pulse. The experiments on EUV irradiation of various polymers have been performed. Modification of polymer surfaces was achieved, primarily due to direct photo-etching with EUV photons and formation of micro- and nanostructures onto the surface. The mechanism of the interaction is similar to the UV laser ablation where energetic photons cause chemical bonds of the polymer chain to be broken. However, because of very low penetration depth of EUV radiation, the interaction region is limited to a very thin surface layer (<100 nm). This makes it possible to avoid degradation of bulk material caused by deeply penetrating UV radiation. The results of the studies

  15. Radiometry for the EUV lithography; Radiometrie fuer die EUV-Lithographie

    Energy Technology Data Exchange (ETDEWEB)

    Scholze, Frank [Physikalisch-Technische Bundesanstalt (PTB), Berlin (Germany). Arbeitsgruppe ' EUV-Radiometrie' ; Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Puls, Jana; Stadelhoff, Christian

    2014-12-15

    The EUV reflectrometry at the PTB storage BESSY I and BESSY II is described. Results on the reflectivities of some EUV mirrors are presented. Finally the spectral sensitivities of different photodiodes used as EUV detectors are presented. (HSI)

  16. Actinic inspection of EUV reticles with arbitrary pattern design

    Science.gov (United States)

    Mochi, Iacopo; Helfenstein, Patrick; Rajeev, Rajendran; Fernandez, Sara; Kazazis, Dimitrios; Yoshitake, Shusuke; Ekinci, Yasin

    2017-10-01

    The re ective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic mask inspection capabilities for defects and patterns with high resolution and high throughput, for 7 nm node and beyond. Here we, will report on our progress and present the results on programmed defect detection on random, logic-like patterns. The defects we investigated range from 200 nm to 50 nm size on the mask. We demonstrated the ability of RESCAN to detect these defects in die-to-die and die-to-database mode with a high signal to noise ratio. We also describe future plans for the upgrades to increase the resolution, the sensitivity, and the inspection speed of the demo tool.

  17. TESIS experiment on EUV imaging spectroscopy of the Sun

    Science.gov (United States)

    Kuzin, S. V.; Bogachev, S. A.; Zhitnik, I. A.; Pertsov, A. A.; Ignatiev, A. P.; Mitrofanov, A. M.; Slemzin, V. A.; Shestov, S. V.; Sukhodrev, N. K.; Bugaenko, O. I.

    2009-03-01

    TESIS is a set of solar imaging instruments in development by the Lebedev Physical Institute of the Russian Academy of Science, to be launched aboard the Russian spacecraft CORONAS-PHOTON in December 2008. The main goal of TESIS is to provide complex observations of solar active phenomena from the transition region to the inner and outer solar corona with high spatial, spectral and temporal resolution in the EUV and Soft X-ray spectral bands. TESIS includes five unique space instruments: the MgXII Imaging Spectroheliometer (MISH) with spherical bent crystal mirror, for observations of the Sun in the monochromatic MgXII 8.42 Å line; the EUV Spectoheliometer (EUSH) with grazing incidence difraction grating, for the registration of the full solar disc in monochromatic lines of the spectral band 280-330 Å; two Full-disk EUV Telescopes (FET) with multilayer mirrors covering the band 130-136 and 290-320 Å; and the Solar EUV Coronagraph (SEC), based on the Ritchey-Chretien scheme, to observe the inner and outer solar corona from 0.2 to 4 solar radii in spectral band 290-320 Å. TESIS experiment will start at the rising phase of the 24th cycle of solar activity. With the advanced capabilities of its instruments, TESIS will help better understand the physics of solar flares and high-energy phenomena and provide new data on parameters of solar plasma in the temperature range 10-10K. This paper gives a brief description of the experiment, its equipment, and its scientific objectives.

  18. High-cadence observations of CME initiation and plasma dynamics in the corona with TESIS on board CORONAS-Photon

    Science.gov (United States)

    Bogachev, Sergey; Kuzin, Sergey; Zhitnik, I. A.; Bugaenko, O. I.; Goncharov, A. L.; Ignatyev, A. P.; Krutov, V. V.; Lomkova, V. M.; Mitrofanov, A. V.; Nasonkina, T. P.; Oparin, S. N.; Petzov, A. A.; Shestov, S. V.; Slemzin, V. A.; Soloviev, V. A.; Suhodrev, N. K.; Shergina, T. A.

    The TESIS is an ensemble of space instruments designed in Lebedev Institute of Russian Academy of Sciences for spectroscopic and imaging investigation of the Sun in EUV and soft X-ray spectral range with high spatial, temporal and spectral resolution. From 2009 January, when TESIS was launched onboard the Coronas-Photon satellite, it provided about 200 000 new images and spectra of the Sun, obtained during one of the deepest solar minimum in last century. Because of the wide field of view (4 solar radii) and high sensitivity, TESIS provided high-quality data on the origin and dynamics of eruptive prominences and CMEs in the low and intermediate solar corona. TESIS is also the first EUV instrument which provided high-cadence observations of coronal bright points and solar spicules with temporal resolution of a few seconds. We present first results of TESIS observations and discuss them from a scientific point of view.

  19. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  20. Normal incidence spectrophotometer using high density transmission grating technology and highly efficiency silicon photodiodes for absolute solar EUV irradiance measurements

    Science.gov (United States)

    Ogawa, H. S.; Mcmullin, D.; Judge, D. L.; Korde, R.

    1992-01-01

    New developments in transmission grating and photodiode technology now make it possible to realize spectrometers in the extreme ultraviolet (EUV) spectral region (wavelengths less than 1000 A) which are expected to be virtually constant in their diffraction and detector properties. Time dependent effects associated with reflection gratings are eliminated through the use of free standing transmission gratings. These gratings together with recently developed and highly stable EUV photodiodes have been utilized to construct a highly stable normal incidence spectrophotometer to monitor the variability and absolute intensity of the solar 304 A line. Owing to its low weight and compactness, such a spectrometer will be a valuable tool for providing absolute solar irradiance throughout the EUV. This novel instrument will also be useful for cross-calibrating other EUV flight instruments and will be flown on a series of Hitchhiker Shuttle Flights and on SOHO. A preliminary version of this instrument has been fabricated and characterized, and the results are described.

  1. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  2. Systematic study of ligand structures of metal oxide EUV nanoparticle photoresists

    KAUST Repository

    Jiang, Jing

    2015-03-19

    Ligand stabilized metal oxide nanoparticle resists are promising candidates for EUV lithography due to their high sensitivity for high-resolution patterning and high etching resistance. As ligand exchange is responsible for the patterning mechanism, we systematically studied the influence of ligand structures of metal oxide EUV nanoparticles on their sensitivity and dissolution behavior. ZrO2 nanoparticles were protected with various aromatic ligands with electron withdrawing and electron donating groups. These nanoparticles have lower sensitivity compared to those with aliphatic ligands suggesting the structures of these ligands is more important than their pka on resist sensitivity. The influence of ligand structure was further studied by comparing the nanoparticles’ solubility for a single type ligand to mixtures of ligands. The mixture of nanoparticles showed improved pattern quality. © (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  3. Design and fabrication of advanced EUV diffractive elements

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick P.; Liddle, J. Alexander; Salmassi, Farhad; Anderson, Erik H.; Gullikson, Eric M.

    2003-11-16

    As extreme ultraviolet (EUV) lithography approaches commercial reality, the development of EUV-compatible diffractive structures becomes increasingly important. Such devices are relevant to many aspects of EUV technology including interferometry, illumination, and spectral filtering. Moreover, the current scarcity of high power EUV sources makes the optical efficiency of these diffractive structures a paramount concern. This fact has led to a strong interest in phase-enhanced diffractive structures. Here we describe recent advancements made in the fabrication of such devices.

  4. Spectroscopic studies of xenon EUV emission in the 40-80 nm wavelength range using an absolutely calibrated monochromator

    Energy Technology Data Exchange (ETDEWEB)

    Merabet, H [Mathematic and Sciences Unit, Dhofar University, Salalah 211, Sultanate of (Oman); Bista, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Bruch, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Fuelling, S [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States)

    2007-03-01

    We have measured and identified numerous Extreme UltraViolet (EUV) radiative line structures arising from xenon (Xe) ions in charge state q = 1 to 10 in the wavelength range 40-80 nm. To obtain reasonable intensities of different charged Xe ions, we have used a compact microwave plasma source which was designed and developed at the Lawrence Berkeley National Laboratory (LBNL). The EUV emission of the ECR plasma has been measured by a 1.5 m grazing incidence monochromator that was absolutely calibrated in the 10-80 nm wavelength range using well known and calibrated EUV light at the Advanced Light Source (ALS), LBNL. This calibration has enabled us to determine absolute intensities of previously measured EUV radiative lines in the wavelengths regions investigated for different ionization stages of Xe. In addition, emission spectra of xenon ions for corresponding measured lines have been calculated. The calculations have been carried out within the relativistic Hartree-Fock (HF) approximation. Results of calculations are found to be in good agreement with current and available experimental and theoretical data.

  5. Embedded top-coat for reducing the effect out of band radiation in EUV lithography

    Science.gov (United States)

    Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew

    2017-03-01

    Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure

  6. Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography

    Science.gov (United States)

    van Setten, Eelco; Bottiglieri, Gerardo; de Winter, Laurens; McNamara, John; Rusu, Paul; Lubkoll, Jan; Rispens, Gijsbert; van Schoot, Jan; Neumann, Jens Timo; Roesch, Matthias; Kneer, Bernhard

    2017-10-01

    To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore's law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non

  7. Nanoimaging using soft X-ray and EUV laser-plasma sources

    Science.gov (United States)

    Wachulak, Przemyslaw; Torrisi, Alfio; Ayele, Mesfin; Bartnik, Andrzej; Czwartos, Joanna; Węgrzyński, Łukasz; Fok, Tomasz; Fiedorowicz, Henryk

    2018-01-01

    In this work we present three experimental, compact desk-top imaging systems: SXR and EUV full field microscopes and the SXR contact microscope. The systems are based on laser-plasma EUV and SXR sources based on a double stream gas puff target. The EUV and SXR full field microscopes, operating at 13.8 nm and 2.88 nm wavelengths are capable of imaging nanostructures with a sub-50 nm spatial resolution and short (seconds) exposure times. The SXR contact microscope operates in the "water-window" spectral range and produces an imprint of the internal structure of the imaged sample in a thin layer of SXR sensitive photoresist. Applications of such desk-top EUV and SXR microscopes, mostly for biological samples (CT26 fibroblast cells and Keratinocytes) are also presented. Details about the sources, the microscopes as well as the imaging results for various objects will be presented and discussed. The development of such compact imaging systems may be important to the new research related to biological, material science and nanotechnology applications.

  8. Evaluation of spectroscopic modeling for iron ions and study on non-equilibrium ionization phenomena for solar and LHD plasmas

    International Nuclear Information System (INIS)

    Watanabe, Tetsuya; Hara, Hirohisa; Yamamoto, Norimasa; Kato, Daiji; Sakaue, Hiroyuki A.; Murakami, Izumi

    2013-01-01

    Spectroscopic observations of EUV emission lines in the transition region (TR) and the corona provide unique information on physical conditions in the outer atmosphere of the Sun. The EUV Imaging Spectrometer (EIS) on board the Hinode satellite is capable of observing, for the first time in EUV, spectra and monochromatic images of plasmas in the solar TR and corona; these plasmas could possibly be in non-ionization-equilibrium conditions. EIS observes over two-wavelength bands of 170 - 210 Å and 250 - 290 Å, with typical time-resolutions of 1 - 10 seconds. Iron line emissions emerging from these wavelengths reveal that dynamic plasma accelerations and heating take place in the solar atmosphere. On the other hand, the tracer-encapsulated-pellet (TESPEL) experiments provide spectral information of EUV emission lines from iron ions produced in the Large Helical Device (LHD). Relatively cool plasmas with electron temperatures similar to those of the solar corona can be generated by controlling the neutral beam injector (NBI) system. A time-dependent collisional radiative (CR) model for elemental iron is developed as a common tool to diagnose temperatures and densities of those plasmas in the Sun and in LHD; no systematic model yet exists for iron ions in the L- and M-shell ionization stages, which are very important for coronal plasma diagnostics. Adopting the best available theoretical calculations, as well as generating the experimental data, we improve the atomic parameters of highly charged iron ions, and these results are used to extract more accurate diagnostic information out of the EIS spectra. (author)

  9. Highly Stable, Large Format EUV Imager, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Higher detection efficiency and better radiation tolerance imagers are needed for the next generation of EUV instruments. Previously, CCD technology has demonstrated...

  10. Ionospheric Change and Solar EUV Irradiance

    Science.gov (United States)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  11. Pinhole diffraction holography for fabrication of high-resolution Fresnel zone plates.

    Science.gov (United States)

    Sarkar, Sankha S; Solak, Harun H; David, Christian; van der Veen, J Friso

    2014-01-27

    Fresnel zone plates (FZPs) play an essential role in high spatial resolution x-ray imaging and analysis of materials in many fields. These diffractive lenses are commonly made by serial writing techniques such as electron beam or focused ion beam lithography. Here we show that pinhole diffraction holography has potential to generate FZP patterns that are free from aberrations and imperfections that may be present in alternative fabrication techniques. In this presented method, FZPs are fabricated by recording interference pattern of a spherical wave generated by diffraction through a pinhole, illuminated with coherent plane wave at extreme ultraviolet (EUV) wavelength. Fundamental and practical issues involved in formation and recording of the interference pattern are considered. It is found that resolution of the produced FZP is directly related to the diameter of the pinhole used and the pinhole size cannot be made arbitrarily small as the transmission of EUV or x-ray light through small pinholes diminishes due to poor refractive index contrast found between materials in these spectral ranges. We also find that the practical restrictions on exposure time due to the light intensity available from current sources directly imposes a limit on the number of zones that can be printed with this method. Therefore a trade-off between the resolution and the FZP diameter exists. Overall, we find that this method can be used to fabricate aberration free FZPs down to a resolution of about 10 nm.

  12. The future of EUV lithography: enabling Moore's Law in the next decade

    Science.gov (United States)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  13. Latest developments on EUV reticle and pellicle research and technology at TNO

    Science.gov (United States)

    Verberk, Rogier; Koster, Norbert; te Sligte, Edwin; Staring, Wilbert

    2017-06-01

    At TNO an extensive EUV optics life time program has been running for over 15 years together with our partners ASML and Carl Zeiss. This has contributed to the upcoming introduction of EUV High Volume Manufacturing (HVM). To further help the industry with the introduction of EUV, TNO has worked on extending their facilities with a number of reticle and pellicle research infrastructure facilities. In this paper we will show some of the facilities that are available at TNO and shortly introduce their capabilities. Recently we have opened our EBL2 facility, which is an EUV Beam Line (EBL2) meant for studying the effects of high power EUV illumination on optics, reticles and pellicles up to the power roadmap of 500 W at intermediate Focus (IF). This facility is open to users from all over the world and is beneficial for the industry in helping developing alternative capping layers and contamination control strategies for optics lifetime, new absorber materials, pellicles and resists. The EBL2 system has seen first light in December 2016 and is now in the final stage of acceptance testing and qualification. It is expected that the system will be fully operational in the third quarter of 2017, and available for users. It is possible to transfer reticles to and from the EBL2 by means of the reticle handler using the dual pod interface. This secures backside cleanliness to NXE standards and thus enables wafer printing on a NXE tool in a later stage after the exposures and inspection at EBL2. Besides EBL2, a high performance and ultra-clean reticle handler is available at TNO. This handler incorporates our particle scanner Rapid Nano 4 for front side inspection of reticle blanks with a detection limit down to 20 nm particles. Attached to the handler is also an Optical Coherence Tomography (OCT) inspection tool for back-side reticle or pellicle inspection with a resolution down to 1 micron.

  14. Ultra-High Resolution Spectroscopic Remote Sensing: A Microscope on Planetary Atmospheres

    Science.gov (United States)

    Kostiuk, Theodor

    2010-01-01

    Remote sensing of planetary atmospheres is not complete without studies of all levels of the atmosphere, including the dense cloudy- and haze filled troposphere, relatively clear and important stratosphere and the upper atmosphere, which are the first levels to experience the effects of solar radiation. High-resolution spectroscopy can provide valuable information on these regions of the atmosphere. Ultra-high spectral resolution studies can directly measure atmospheric winds, composition, temperature and non-thermal phenomena, which describe the physics and chemistry of the atmosphere. Spectroscopy in the middle to long infrared wavelengths can also probe levels where dust of haze limit measurements at shorter wavelength or can provide ambiguous results on atmospheric species abundances or winds. A spectroscopic technique in the middle infrared wavelengths analogous to a radio receiver. infrared heterodyne spectroscopy [1], will be describe and used to illustrate the detailed study of atmospheric phenomena not readily possible with other methods. The heterodyne spectral resolution with resolving power greater than 1,000.000 measures the true line shapes of emission and absorption lines in planetary atmospheres. The information on the region of line formation is contained in the line shapes. The absolute frequency of the lines can be measured to I part in 100 ,000,000 and can be used to accurately measure the Doppler frequency shift of the lines, directly measuring the line-of-sight velocity of the gas to --Im/s precision (winds). The technical and analytical methods developed and used to measure and analyze infrared heterodyne measurements will be described. Examples of studies on Titan, Venus, Mars, Earth, and Jupiter will be presented. 'These include atmospheric dynamics on slowly rotating bodies (Titan [2] and Venus [3] and temperature, composition and chemistry on Mars 141, Venus and Earth. The discovery and studies of unique atmospheric phenomena will also be

  15. EUV laser produced and induced plasmas for nanolithography

    Science.gov (United States)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  16. High efficiency multilayer blazed gratings for EUV and soft X-rays: Recent developments

    International Nuclear Information System (INIS)

    Voronov, Dmitriy; Ahn, Minseung; Anderson, Erik; Cambie, Rossana; Chang, Chih-Hao; Goray, Leonid; Gullikson, Eric; Heilmann, Ralf; Salmassi, Farhad; Schattenburg, Mark; Warwick, Tony; Yashchuk, Valeriy; Padmore, Howard

    2011-01-01

    Multilayer coated blazed gratings with high groove density are the best candidates for use in high resolution EUV and soft x-ray spectroscopy. Theoretical analysis shows that such a grating can be potentially optimized for high dispersion and spectral resolution in a desired high diffraction order without significant loss of diffraction efficiency. In order to realize this potential, the grating fabrication process should provide a perfect triangular groove profile and an extremely smooth surface of the blazed facets. Here we report on recent progress achieved at the Advanced Light Source (ALS) in fabrication of high quality multilayer coated blazed gratings. The blazed gratings were fabricated using scanning beam interference lithography followed by wet anisotropic etching of silicon. A 200 nm period grating coated with a Mo/Si multilayer composed with 30 bi-layers demonstrated an absolute efficiency of 37.6percent in the 3rd diffraction order at 13.6 nm wavelength. The groove profile of the grating was thoroughly characterized with atomic force microscopy before and after the multilayer deposition. The obtained metrology data were used for simulation of the grating efficiency with the vector electromagnetic PCGrate-6.1 code. The simulations showed that smoothing of the grating profile during the multilayer deposition is the main reason for efficiency losses compared to the theoretical maximum. Investigation of the grating with cross-sectional transmission electron microscopy revealed a complex evolution of the groove profile in the course of the multilayer deposition. Impact of the shadowing and smoothing processes on growth of the multilayer on the surface of the sawtooth substrate is discussed.

  17. EUV tools: hydrogen gas purification and recovery strategies

    Science.gov (United States)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  18. Negative-tone imaging with EUV exposure for 14nm hp and beyond

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Fujimori, Toru; Momota, Makoto; Goto, Takahiro

    2015-03-01

    Manipulation of dissolution properties by changing organic solvent developer and rinse material provides a novel technology to obtain fine pattern beyond the limitation of imaging system based on alkaline developer. QCM study showed no swelling character in negative-tone imaging (NTI) process even for current developer of n-butyl acetate (nBA). Actually, NTI process has shown advantages on resolution and line-width roughness (LWR) in loose pitch around 30 ~ 45 nm hp as a consequence of its non-swelling character. On the other hand, bridge and collapse limited its resolution below 20 nm hp, indicating that non-negligible amount of swelling still exists for tight pitch resolution. We investigated effects of solubility parameter of organic solvents on resolution below 20 nm hp. A bridge was reduced with a decrease in the solubility parameter dp from nBA. On the other hand, much lower dp caused film remaining due to its extremely slow Rmax. Based on these results, we newly developed FN-DP301 containing organic solvent with smaller dp than nBA. Although rinse solvent gave negligible effects on bridge, there is a clear improvement on pattern collapse only in case of using new rinse solvent of FN-RP311. Lithographic performances of NTI process using nBA and FN-DP301 together with the other organic solvents were described in this paper under exposures with an E-beam and a EUV light. It is emphasized that 14 nm hp resolution was obtained only using FN-DP301 as a developer and FN-RP311 as a rinse under E-beam exposure. NTI showed 43% faster photospeed in comparison with PTI at 16 nm hp, indicating that NTI is applicable to obtain high throughput with maintaining resolution. In addition, sub-20 nm trench was obtained using NTI without bridge under EUV exposure, all of which are attributed to the low swelling character of NTI process. Similarly, NTI was able to print 20 nm dots using NXE:3100 with only a little peeling. Conversely CH patterning was significantly worse with NTI

  19. High-resolution spectroscopic probes of collisions and half-collisions

    Energy Technology Data Exchange (ETDEWEB)

    Hall, G.E. [Brookhaven National Laboratory, Upton, NY (United States)

    1993-12-01

    Research in this program explores the dynamics of gas phase collisions and photodissociation by high-resolution laser spectroscopy. Simultaneous state and velocity detection frequently permits a determination of scalar or vector correlations among products. The correlated product distributions are always more informative, and often easier to interpret than the uncorrelated product state distributions. The authors have recently built an apparatus to record transient absorption spectra with 50 nS time resolution and 20 MHz frequency resolution using a single frequency Ti:sapphire laser. The photodissociation of NCCN and C{sub 2}H{sub 5}SCN at 193 nm is discussed.

  20. First environmental data from the EUV engineering test stand

    Science.gov (United States)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  1. EUV soft X-ray characterization of a FEL multilayer optics damaged by multiple shot laser beam

    International Nuclear Information System (INIS)

    Giglia, A.; Mahne, N.; Bianco, A.; Svetina, C.; Nannarone, S.

    2011-01-01

    We have investigated the damaging effects of a femtosecond pulsed laser beam with 400 nm wavelength on a Mo/Si EUV multilayer. The exposures have been done in vacuum with multiple pulses (5 pulses/mm 2 ) of 120 fs varying the laser fluence in the 38-195 mJ/cm 2 range. The analysis of the different irradiated regions has been performed ex-situ by means of different techniques, including specular and diffuse reflectivity, X-ray photoemission spectroscopy (XPS) and total electron yield (TEY) in the EUV and soft X-ray range. Surface images have been acquired by atomic force microscopy (AFM) and scanning electron microscopy (SEM). Results clearly indicate a progressive degradation of the EUV multilayer performances with the increase of the laser fluence. Spectroscopic analysis allowed to correlate the decrease of reflectivity with the degradation of the multilayer stacking, ascribed to Mo-Si intermixing at the Mo/Si interfaces of the first layers, close to the surface of the mirror.

  2. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik; Ouyang, Christine; Krysak, Marie; Trikeriotis, Markos; Cho, Kyoungyoung; Giannelis, Emmanuel P.; Ober, Christopher K.

    2013-01-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  3. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik

    2013-04-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  4. Novel techniques in VUV high-resolution spectroscopy

    NARCIS (Netherlands)

    Ubachs, W.M.G.; Salumbides, E.J.; Eikema, K.S.E.; de Oliveira, N.; Nahon, L.

    2014-01-01

    Novel VUV sources and techniques for VUV spectroscopy are reviewed. Laser-based VUV sources have been developed via non-linear upconversion of laser pulses in the nanosecond (ns), the picosecond (ps), and femtosecond (fs) domain, and are applied in high-resolution gas phase spectroscopic studies.

  5. EUV microexposures at the ALS using the 0.3-NA MET projection optics

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Goldberg, Kenneth A.; Anderson, Erik; Cain, Jason P.; Denham, Paul; Hoef, Brian; Jackson, Keith; Morlens, Anne-Sophie; Rekawa, Seno; Dean, Kim

    2005-01-01

    The recent development of high numerical aperture (NA) EUV optics such as the 0.3-NA Micro Exposure Tool (MET) optic has given rise to a new class of ultra-high resolution microexposure stations. Once such printing station has been developed and implemented at Lawrence Berkeley National Laboratory's Advanced Light Source. This flexible printing station utilizes a programmable coherence illuminator providing real-time pupil-fill control for advanced EUV resist and mask development. The Berkeley exposure system programmable illuminator enables several unique capabilities. Using dipole illumination out to σ=1, the Berkeley tool supports equal-line-space printing down to 12 nm, well beyond the capabilities of similar tools. Using small-sigma illumination combined with the central obscuration of the MET optic enables the system to print feature sizes that are twice as small as those coded on the mask. In this configuration, the effective 10x-demagnification for equal lines and spaces reduces the mask fabrication burden for ultra-high-resolution printing. The illuminator facilitates coherence studies such as the impact of coherence on line-edge roughness (LER) and flare. Finally the illuminator enables novel print-based aberration monitoring techniques as described elsewhere in these proceedings. Here we describe the capabilities of the new MET printing station and present system characterization results. Moreover, we present the latest printing results obtained in experimental resists. Limited by the availability of high-resolution photoresists, equal line-space printing down to 25 nm has been demonstrated as well as isolated line printing down to 29 nm with an LER of approaching 3 nm

  6. Critical parameters influencing the EUV-induced damage of Ru-capped multilayer mirrors

    International Nuclear Information System (INIS)

    Hill, S B; Ermanoski, I; Tarrio, C; Lucatorto, T B; Madey, T E; Bajt, S; Fang, M; Chandhok, M

    2007-01-01

    Ongoing endurance testing of Ru-capped multilayer mirrors (MLMs) at the NIST synchrotron facility has revealed that the damage resulting from EUV irradiation does not always depend on the exposure conditions in an intuitive way. Previous exposures of Ru-capped MLMs to EUV radiation in the presence of water vapor demonstrated that the mirror damage rate actually decreases with increasing water pressure. We will present results of recent exposures showing that the reduction in damage for partial pressures of water up to 5 x 10 -6 Torr is not the result of a spatially uniform decrease in damage across the Gaussian intensity distribution of the incident EUV beam. Instead we observe a drop in the damage rate in the center of the exposure spot where the intensity is greatest, while the reflectivity loss in the wings of the intensity distribution appears to be independent of water partial pressure. (See Fig. 1.) We will discuss how the overall damage rate and spatial profile can be influenced by admixtures of carbon-containing species (e.g., CO, CO 2 , C 6 H 6 ) at partial pressures one-to-two orders of magnitude lower than the water vapor partial pressure. An investigation is underway to find the cause of the non-Gaussian damage profile. Preliminary results and hypotheses will be discussed. In addition to high-resolution reflectometry of the EUV-exposure sites, the results of surface analysis such as XPS will be presented. We will also discuss how the bandwidth and time structure of incident EUV radiation may affect the rate of reflectivity degradation. Although the observations presented here are based on exposures of Ru-capped MLMs, unless novel capping layers are similarly characterized, direct application of accelerated testing results could significantly overestimate mirror lifetime in the production environment

  7. Solar Cycle Variation of Microwave Polar Brightening and EUV Coronal Hole Observed by Nobeyama Radioheliograph and SDO/AIA

    Science.gov (United States)

    Kim, Sujin; Park, Jong-Yeop; Kim, Yeon-Han

    2017-08-01

    We investigate the solar cycle variation of microwave and extreme ultraviolet (EUV) intensity in latitude to compare microwave polar brightening (MPB) with the EUV polar coronal hole (CH). For this study, we used the full-sun images observed in 17 GHz of the Nobeyama Radioheliograph from 1992 July to 2016 November and in two EUV channels of the Atmospheric Imaging Assembly (AIA) 193 Å and 171 Å on the Solar Dynamics Observatory (SDO) from 2011 January to 2016 November. As a result, we found that the polar intensity in EUV is anti-correlated with the polar intensity in microwave. Since the depression of EUV intensity in the pole is mostly owing to the CH appearance and continuation there, the anti-correlation in the intensity implies the intimate association between the polar CH and the MPB. Considering the report of tet{gopal99} that the enhanced microwave brightness in the CH is seen above the enhanced photospheric magnetic field, we suggest that the pole area during the solar minimum has a stronger magnetic field than the quiet sun level and such a strong field in the pole results in the formation of the polar CH. The emission mechanism of the MPB and the physical link with the polar CH are not still fully understood. It is necessary to investigate the MPB using high resolution microwave imaging data, which can be obtained by the high performance large-array radio observatories such as the ALMA project.

  8. Gamma-Ray Imager With High Spatial And Spectral Resolution

    Science.gov (United States)

    Callas, John L.; Varnell, Larry S.; Wheaton, William A.; Mahoney, William A.

    1996-01-01

    Gamma-ray instrument developed to enable both two-dimensional imaging at relatively high spatial resolution and spectroscopy at fractional-photon-energy resolution of about 10 to the negative 3rd power in photon-energy range from 10 keV to greater than 10 MeV. In its spectroscopic aspect, instrument enables identification of both narrow and weak gamma-ray spectral peaks.

  9. Performance of 100-W HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  10. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  11. Integrated approach to improving local CD uniformity in EUV patterning

    Science.gov (United States)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  12. High temperature and high resolution uv photoelectron spectroscopy using supersonic molecular beams

    International Nuclear Information System (INIS)

    Wang, Lai-Sheng; Reutt-Robey, J.E.; Niu, B.; Lee, Y.T.; Shirley, D.A.

    1989-07-01

    A high temperature molecular beam source with electron bombardment heating has been built for high resolution photoelectron spectroscopic studies of high temperature species and clusters. This source has the advantages of: producing an intense, continuous, seeded molecular beam, eliminating the interference of the heating mechanism from the photoelectron measurement. Coupling the source with our hemispherical electron energy analyzer, we can obtain very high resolution HeIα (584 angstrom) photoelectron spectra of high temperature species. Vibrationally-resolved photoelectron spectra of PbSe, As 2 , As 4 , and ZnCl 2 are shown to demonstrate the performance of the new source. 25 refs., 8 figs., 1 tab

  13. Exploring EUV Spicules Using 304 Ang He II Data from SDO/AIA

    Science.gov (United States)

    Snyder, Ian; Sterling, Alphonse C.; Falconer, David A.; Moore, Ronald L.

    2015-01-01

    We present results from a statistical study of He II 304 Angstrom EUV spicules and macrospicules at the limb of the Sun. We use high-cadence (12 sec) and high-resolution (0.6 arcsec pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred in quiet or coronal hole regions near the solar pole. Spicules and macrospicules are typically transient jet-like chromospheric-material features, the macrospicules are wider and have taller maximum heights than the spicules. We looked for characteristics of the populations of these two phenomena that might indicate whether they have the same or different initiation mechanisms. We examined the maximum heights, time-averaged rise velocities, and lifetimes of about two dozen EUV spicules and about five EUV macrospicules. For spicules, these quantities are, respectively, approx. 5-30 km, 5-50 km/s, and a few 100- approx. 1000 sec. Macrospicules were approx. 60,000 km, 55 km/s, and had lifetimes of approx. 1800 sec. Therefore the macrospicules were taller and longer-lived than the spicules, and had velocities comparable to that of the fastest spicules. The rise profiles of both the spicules and the macrospicules matched well a second-order ("parabolic'') trajectory, although the acceleration was generally weaker than that of solar gravity in the profiles fitted to the trajectories. The Macrospicules also had obvious brightenings at their bases at their birth, while such brightenings were not apparent for most of the spicules. Most of the spicules and several of the macrospicules remained visible during their decent back to the solar surface, although a small percentage of the spicules faded out before their fall was completed. Are findings are suggestive of the two phenomena possibly having different initiation mechanisms, but this is not yet conclusive. Qualitatively the EUV 304 Angstrom spicules match well the properties quoted for "Type I

  14. Enabling laboratory EUV research with a compact exposure tool

    Science.gov (United States)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  15. Material design of negative-tone polyphenol resist for EUV and EB lithography

    Science.gov (United States)

    Kojima, Kyoko; Mori, Shigeki; Shiono, Daiju; Hada, Hideo; Onodera, Junichi

    2007-03-01

    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.

  16. Study of crystalline thin films and nanofibers by means of the laser–plasma EUV-source based microscopy

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Bartnik, A.; Baranowska-Korczyc, A.; Pánek, D.; Brůža, P.; Kostecki, J.; Węgrzyński, Ł.; Jarocki, R.; Szczurek, M.; Fronc, K.; Elbaum, D.; Fiedorowicz, H.

    2013-01-01

    New developments in nanoscience and nanotechnology require nanometer scale resolution imaging tools and techniques such as an extreme ultraviolet (EUV) and soft X-ray (SXR) microscopy, based on Fresnel zone plates. In this paper, we report on applications of a desk-top microscopy using a laser-plasma EUV source based on a gas-puff target for studies of morphology of thin silicon membranes coated with NaCl crystals and samples composed of ZnO nanofibers

  17. Update on EUV radiometry at PTB

    Science.gov (United States)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  18. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    Science.gov (United States)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert; Yakshin, Andrey; Louis, Eric; Bijkerk, Fred

    2017-03-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV experiments. Both planar and three dimensional multilayer structures have been developed to tailor the spectral response in a wide wavelength range. For the planar multilayer optics, different layered schemes are explored. Stacks of periodic multilayers and capping layers are demonstrated to achieve multi-channel reflection or suppression of the reflective properties. Aperiodic multilayer structures enable broadband reflection both in angles and wavelengths, with the possibility of polarization control. The broad wavelength band multilayer is also used to shape attosecond pulses for the study of ultrafast phenomena. Narrowband multilayer monochromators are delivered to bridge the resolution gap between crystals and regular multilayers. High spectral purity multilayers with innovated anti-reflection structures are shown to select spectrally clean XUV radiation from broadband X-ray sources, especially the plasma sources for EUV lithography. Significant progress is also made in the three dimensional multilayer optics, i.e., combining micro- and nanostructures with multilayers, in order to provide new freedom to tune the spectral response. Several kinds of multilayer gratings, including multilayer coated gratings, sliced multilayer gratings, and lamellar multilayer gratings are being pursued for high resolution and high efficiency XUV spectrometers/monochromators, with their advantages and disadvantages, respectively. Multilayer diffraction optics are also developed for spectral purity enhancement. New structures like gratings, zone plates, and pyramids that obtain full suppression of the unwanted radiation and high XUV reflectance are reviewed. Based on the present achievement

  19. Scientific Considerations for Future Spectroscopic Measurements from Space of Activity on the Sun

    Science.gov (United States)

    Holman, Gordon D.

    2016-01-01

    High-resolution UV and X-ray spectroscopy are important to understanding the origin and evolution of magnetic energy release in the solar atmosphere, as well as the subsequent evolution of heated plasma and accelerated particles. Electromagnetic radiation is observed from plasma heated to temperatures ranging from about 10 k K to above 10 MK, from accelerated electrons emitting photons primarily at X-ray energies, and from ions emitting in gamma rays. These observations require space-based instruments sensitive to emissions at wavelengths shorter than the near UV. This article reviews some recent observations with emphasis on solar eruptive events, the models that describe them, and the measurements they indicate are needed for substantial progress in the future. Specific examples are discussed demonstrating that imaging spectroscopy with a cadence of seconds or better is needed to follow, understand, and predict the evolution of solar activity. Critical to substantial progress is the combination of a judicious choice of UV, EUV, and soft X-ray imaging spectroscopy sensitive to the evolution of this thermal plasma combined with hard X-ray imaging spectroscopy sensitive to suprathermal electrons. The major challenge will be to conceive instruments that, within the bounds of possible technologies and funding, have the flexibility and field of view to obtain spectroscopic observations where and when events occur while providing an optimum balance of dynamic range, spectral resolution and range, and spatial resolution.

  20. Surface roughness control by extreme ultraviolet (EUV) radiation

    Science.gov (United States)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  1. The EUVE Mission at UCB: Squeezing More From Less

    Science.gov (United States)

    Stroozas, B. A.; Cullison, J. L.; McDonald, K. E.; Nevitt, R.; Malina, R. F.

    2000-05-01

    With 8 years on orbit, and over three years in an outsourced mode at U.C. Berkeley (UCB), NASA's Extreme Ultraviolet Explorer (EUVE) continues to be a highly mature and productive scientific mission. The EUVE satellite is extremely stable and exhibits little degradation in its original scientific capabilities, and science data return continues to be at the >99% level. The Project's very small, dedicated, innovative, and relatively cheap ( \\$1 million/year) support team at UCB continues to validate the success of NASA's outsourcing "experiment" while providing a very high science-per-dollar return on NASA's investment with no significant additional risk to the flight systems. The EUVE mission still has much more to offer in terms of important and exciting scientific discoveries as well as mission operations innovations. To highlight this belief the EUVE team at UCB continues to find creative ways to do more with less -- to squeeze the maximum out of available funds -- in NASA's "cheaper, better, faster" environment. This paper provides an overview of the EUVE mission's past, current, and potential future efforts toward automating and integrating its multi-functional data processing systems in proposal management, observation planning, mission operations and engineering, and the processing, archival, and delivery of raw telemetry and science data products. The paper will also discuss the creative allocation of the Project's few remaining personnel resources who support both core mission functions and new innovations, while at the same time minimizing overall risk and stretching the available budget. This work is funded through NASA/UCB Cooperative Agreement NCC5-138.

  2. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    Science.gov (United States)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  3. Development of high power pumping system for capillary discharge EUV laser

    International Nuclear Information System (INIS)

    Sakai, Yusuke; Komatsu, Takanori; Watanabe, Masato; Okino, Akitoshi; Hotta, Eiki

    2008-01-01

    Development of high power pumping system for capillary discharge soft X-ray laser is reported. The pulsed power system consists of a 2.2 μF LC generator, a 2:54 step-up transformer and a 3 nF water capacitor. Taking advantage of high efficiency configuration, step-up ratio of water capacitor voltage to LC generator initial voltage is about 40 times. Consequently, obtained water capacitor voltage reaches about 450 kV when LC generator was charged to 12.5 kV. As a consequent, possibility of charging a water capacitor to 1 MV is demonstrated. With this extremely compact system, discharge current could be increased to nearly 100 kA through moderately long capillary, which leads to generation of high-density and high-temperature plasma column in order to realize EUV laser. (author)

  4. INTERACTION OF LASER RADIATION WITH MATTER. LASER PLASMA High-power EUV (13.5 nm) light source

    Science.gov (United States)

    Borisov, Vladimir M.; Borisova, Galina N.; Vinokhodov, Aleksandr Yu; Zakharov, S. V.; Ivanov, Aleksandr S.; Kiryukhin, Yurii B.; Mishchenko, Valentin A.; Prokof'ev, Aleksandr V.; Khristoforov, Oleg B.

    2010-10-01

    Characteristics of a discharge-produced plasma (DPP) light source in the spectral band 13.5±0.135 nm, developed for Extreme Ultra Violet (EUV) lithography, are presented. EUV light is generated by DPP in tin vapour formed between rotating disk electrodes. The discharge is ignited by a focused laser beam. The EUV power 1000 W/(2π sr) in the spectral band 13.5±0.135 nm was achieved with input power about of ~63 kW to the plasma at a pulse repetition rate ~7 kHz . The results of numerical simulation are compared with the experimental data.

  5. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  6. Physical processes in EUV sources for microlithography

    International Nuclear Information System (INIS)

    Banine, V Y; Swinkels, G H P M; Koshelev, K N

    2011-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil very high demands both technical and cost oriented. The EUVL tool operates at a wavelength of 13.5 nm, which requires the following new developments. - The light production mechanism changes from conventional lamps and lasers to relatively high-temperature emitting plasmas. - The light transport, mainly refractive for deep ultraviolet (DUV), should be reflective for EUV. - The source specifications as derived from the customer requirements on wafer throughput mean that the output EUV source power has to be hundreds of watts. This in its turn means that tens to hundreds of kilowatts of dissipated power has to be managed in a relatively small volume. - In order to keep lithography costs as low as possible, the lifetime of the components should be as long as possible and at least of the order of thousands of hours. This poses a challenge for the sources, namely how to design and manufacture components robust enough to withstand the intense environment of high heat dissipation, flows of several keV ions as well as the atomic and particular debris within the source vessel. - As with all lithography tools, the imaging requirements demand a narrow illumination bandwidth. Absorption of materials at EUV wavelengths is extreme with extinguishing lengths of the order of tens of nanometres, so the balance between high transmission and spectral purity requires careful engineering. All together, EUV lithography sources present technological challenges in various fields of physics such as plasma, optics and material science. These challenges are being tackled by the source manufacturers and investigated extensively in the research facilities around the world. An overview of the published results on the topic as well as the analyses of the physical processes behind the proposed solutions will be presented in this paper. (topical review)

  7. EUV multilayer mirrors with enhanced stability

    Science.gov (United States)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  8. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  9. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  10. Analysis and characterization of contamination in EUV reticles

    Science.gov (United States)

    Okoroanyanwu, Uzodinma; Dittmar, Kornelia; Fahr, Torsten; Wallow, Tom; La Fontaine, Bruno; Wood, Obert; Holfeld, Christian; Bubke, Karsten; Peters, Jan-Hendrik

    2010-04-01

    A host of complementary imaging techniques (Scanning Electron Microscopy), surface analytical technique (Auger Electron Spectroscopy, AES), chemical analytical and speciation techniques (Grazing Incidence Reflectance Fourier-Transform Infrared Spectroscopy, GIR-FTIR; and Raman spectroscopy) have been assessed for their sensitivity and effectiveness in analyzing contamination on three EUV reticles that were contaminated to varying degrees. The first reticle was contaminated as a result of its exposure experience on the SEMATECH EUV Micro Exposure Tool (MET) at Lawrence Berkeley National Laboratories, where it was exposed to up to 80 hours of EUV radiation. The second reticle was a full-field reticle, specifically designed to monitor molecular contamination, and exposed to greater than 1600J/cm2 of EUV radiation on the ASML Alpha Demo Tool (ADT) in Albany Nanotech in New York. The third reticle was intentionally contaminated with hydrocarbons in the Microscope for Mask Imaging and Contamination Studies (MIMICS) tool at the College of Nanoscale Sciences of State University of New York at Albany. The EUV reflectivities of some of these reticles were measured on the Advanced Light Source EUV Reflectomer at Lawrence Berkeley National Laboratories and PTB Bessy in Berlin, respectively. Analysis and characterization of thin film contaminants on the two EUV reticles exposed to varying degrees of EUV radiation in both MET and ADT confirm that the two most common contamination types are carbonization and surface oxidation, mostly on the exposed areas of the reticle, and with the MET being significantly more susceptible to carbon contamination than the ADT. While AES in both surface scanning and sputter mode is sensitive and efficient in analyzing thin contaminant films (of a few nanometers), GIRFTIR is sensitive to thick films (of order of a 100 nm or more on non-infra-red reflecting substrates), Raman spectroscopy is not compatible with analyzing such contaminants because of

  11. Metabolite-cycled density-weighted concentric rings k-space trajectory (DW-CRT) enables high-resolution 1 H magnetic resonance spectroscopic imaging at 3-Tesla.

    Science.gov (United States)

    Steel, Adam; Chiew, Mark; Jezzard, Peter; Voets, Natalie L; Plaha, Puneet; Thomas, Michael Albert; Stagg, Charlotte J; Emir, Uzay E

    2018-05-17

    Magnetic resonance spectroscopic imaging (MRSI) is a promising technique in both experimental and clinical settings. However, to date, MRSI has been hampered by prohibitively long acquisition times and artifacts caused by subject motion and hardware-related frequency drift. In the present study, we demonstrate that density weighted concentric ring trajectory (DW-CRT) k-space sampling in combination with semi-LASER excitation and metabolite-cycling enables high-resolution MRSI data to be rapidly acquired at 3 Tesla. Single-slice full-intensity MRSI data (short echo time (TE) semi-LASER TE = 32 ms) were acquired from 6 healthy volunteers with an in-plane resolution of 5 × 5 mm in 13 min 30 sec using this approach. Using LCModel analysis, we found that the acquired spectra allowed for the mapping of total N-acetylaspartate (median Cramer-Rao Lower Bound [CRLB] = 3%), glutamate+glutamine (8%), and glutathione (13%). In addition, we demonstrate potential clinical utility of this technique by optimizing the TE to detect 2-hydroxyglutarate (long TE semi-LASER, TE = 110 ms), to produce relevant high-resolution metabolite maps of grade III IDH-mutant oligodendroglioma in a single patient. This study demonstrates the potential utility of MRSI in the clinical setting at 3 Tesla.

  12. UNDERCOVER EUV SOLAR JETS OBSERVED BY THE INTERFACE REGION IMAGING SPECTROGRAPH

    Energy Technology Data Exchange (ETDEWEB)

    Chen, N.-H. [Korea Astronomy and Space Science Institute, Daejeon (Korea, Republic of); Innes, D. E. [Max-Planck-Institut für Sonnensystemforschung, D-37077 Göttingen (Germany)

    2016-12-10

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT to 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si iv spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg ii line profiles produced by the jets spires: reversed and non-reversed. Mg ii lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (10{sup 8} erg cm{sup −2} s{sup −1}) toward the corona and the downward component is less than 3%.

  13. e-beam induced EUV photomask repair: a perfect match

    Science.gov (United States)

    Waiblinger, M.; Kornilov, K.; Hofmann, T.; Edinger, K.

    2010-05-01

    Due to the updated ITRS roadmap EUV might enter the market as a productive solution for the 32 nm node1. Since the EUV-photomask is used as mirror and no longer as transitive device the severity of different defect types has changed significantly. Furthermore the EUV-photomask material stack is much more complex than the conventional 193nm photomask materials which expand the field of critical defect types even further. In this paper we will show, that "classical" 193 mask repair processes cannot be applied to EUV material. We will show the performance of a new repair process based on the novel ebeam repair tool MeRiT® HR 32. Furthermore this process will be applied on real EUV mask defects and the success of these repairs confirmed by wafer prints.

  14. High resolution X-ray spectroscopy of laser generated plasmas

    International Nuclear Information System (INIS)

    Faenov, A.Ya.; Skobelev, I.Yu.; Rosmej, F.B.

    1999-01-01

    The application of recently developed spectroscopic instruments in laser produced plasmas with simultaneous high spectral and spatial resolution combined with high luminosity discovered new types of X-ray spectra. These new types are characterised by the disappearance of the resonance lines and the strong emission of dielectronic satellite spectra. Several types of transitions of highly charged ions are discovered which are unknown from usual sources employed in atomic physics. New theoretical models are developed and successfully applied for the interpretation and for plasma diagnostics. (orig.)

  15. High resolution X-ray spectroscopy of laser generated plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Faenov, A.Ya.; Skobelev, I.Yu. [Multicharged Ions Spectra Data Center of VNIIFTRI, Mendeleevo (Russian Federation); Rosmej, F.B. [Technische Hochschule Darmstadt (Germany). Inst. fuer Kernphysik

    1999-11-01

    The application of recently developed spectroscopic instruments in laser produced plasmas with simultaneous high spectral and spatial resolution combined with high luminosity discovered new types of X-ray spectra. These new types are characterised by the disappearance of the resonance lines and the strong emission of dielectronic satellite spectra. Several types of transitions of highly charged ions are discovered which are unknown from usual sources employed in atomic physics. New theoretical models are developed and successfully applied for the interpretation and for plasma diagnostics. (orig.) 28 refs.

  16. Correlations Between Variations in Solar EUV and Soft X-Ray Irradiance and Photoelectron Energy Spectra Observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-01-01

    Solar extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F(10.7) index currently used.

  17. Correlations between variations in solar EUV and soft X-ray irradiance and photoelectron energy spectra observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-11-01

    extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F10.7 index currently used.

  18. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  19. Corrosion investigation of fire-gilded bronze involving high surface resolution spectroscopic imaging

    International Nuclear Information System (INIS)

    Masi, G.; Chiavari, C.; Avila, J.; Esvan, J.; Raffo, S.; Bignozzi, M.C.; Asensio, M.C.; Robbiola, L.

    2016-01-01

    Graphical abstract: - Highlights: • Fire-gilded bronze prepared by ancient methods (Au–Hg layer on Cu–Sn–Zn–Pb–Sb). • Heating during gilding induces Sn and Znenrichment in the top part of the gilded layer. • SR-HRPES mapping of corrosion craters (cross-section) after accelerated ageing. • Selective dissolution of Cu and Zn in the craters induces Sn species enrichment. • The main species in the craters are related to hydroxi-oxide compounds. - Abstract: Gilded bronzes are often affected by severe corrosion, due to defects in the Au layer and Au/Cu alloy galvanic coupling, stimulated by large cathodic area of the gilded layer. Galvanic corrosion, triggered by gilding defects, leads to products growth at the Au/bronze interface, inducing blistering or break-up of the Au layer. In this context, fire-gilded bronze replicas prepared by ancient methods (use of spreadable Au–Hg paste) was specifically characterised by compiling complementary spectroscopic and imaging information before/after accelerated ageing with synthetic rain. Fire-gilded bronze samples were chemically imaged in cross-section at nano-metric scale (<200 nm) using high energy and lateral resolution synchrotron radiation photoemission (HR-SRPES) of core levels and valence band after conventional characterisation of the samples by Glow Discharge optical Emission Spectroscopy (GD-OES) and conventional X-ray photoelectron spectroscopy (XPS). We have found a net surface enrichment in Zn and Sn after fire-gilding and presence of metallic Hg, Pb and Cu within the Au layer. Moreover, the composition distribution of the elements together with their oxidation has been determined. It was also revealed that metallic phases including Hg and Pb remain in the gilding after corrosion. Moreover, selective dissolution of Zn and Cu occurs in the crater due to galvanic coupling, which locally induces relative Sn species enrichment (decuprification). The feasibility advantages and disadvantages of

  20. Corrosion investigation of fire-gilded bronze involving high surface resolution spectroscopic imaging

    Energy Technology Data Exchange (ETDEWEB)

    Masi, G., E-mail: giulia.masi5@unibo.it [Dipartimento di Ingegneria Civile, Chimica, Ambientale e dei Materiali, Università di Bologna, via Terracini 28, 40131 Bologna (Italy); Chiavari, C., E-mail: cristina.chiavari@unibo.it [Dipartimento di Ingegneria Civile, Chimica, Ambientale e dei Materiali, Università di Bologna, via Terracini 28, 40131 Bologna (Italy); C.I.R.I. (Centro Interdipartimentale Ricerca Industriale) Meccanica Avanzata e Materiali, Università di Bologna, Bologna, via Terracini 28, 40131 Bologna (Italy); Avila, J., E-mail: jose.avila@synchrotron-soleil.fr [Synchrotron SOLEIL, L’Orme des Merisiers, 91190 Saint-Aubin (France); Esvan, J., E-mail: jerome.esvan@ensiacet.fr [Centre Interuniversitaire de Recherche et d’Ingénierie des Matériaux, Université de Toulouse, 4 allée Emile Monso, 31030 Toulouse (France); Raffo, S., E-mail: simona.raffo2@unibo.it [Dipartimento di Chimica Industriale “Toso Montanari”, Università di Bologna, viale Risorgimento 4, 40136 Bologna (Italy); Bignozzi, M.C., E-mail: maria.bignozzi@unibo.it [Dipartimento di Ingegneria Civile, Chimica, Ambientale e dei Materiali, Università di Bologna, via Terracini 28, 40131 Bologna (Italy); Asensio, M.C., E-mail: maria-carmen.asensio@synchrotron-soleil.fr [Synchrotron SOLEIL, L’Orme des Merisiers, 91190 Saint-Aubin (France); Robbiola, L., E-mail: robbiola@univ-tlse2.fr [TRACES Lab (CNRS UMR5608), Université Toulouse Jean-Jaurès, 5, allées Antonio-Machado, 31058 Toulouse (France); and others

    2016-03-15

    Graphical abstract: - Highlights: • Fire-gilded bronze prepared by ancient methods (Au–Hg layer on Cu–Sn–Zn–Pb–Sb). • Heating during gilding induces Sn and Znenrichment in the top part of the gilded layer. • SR-HRPES mapping of corrosion craters (cross-section) after accelerated ageing. • Selective dissolution of Cu and Zn in the craters induces Sn species enrichment. • The main species in the craters are related to hydroxi-oxide compounds. - Abstract: Gilded bronzes are often affected by severe corrosion, due to defects in the Au layer and Au/Cu alloy galvanic coupling, stimulated by large cathodic area of the gilded layer. Galvanic corrosion, triggered by gilding defects, leads to products growth at the Au/bronze interface, inducing blistering or break-up of the Au layer. In this context, fire-gilded bronze replicas prepared by ancient methods (use of spreadable Au–Hg paste) was specifically characterised by compiling complementary spectroscopic and imaging information before/after accelerated ageing with synthetic rain. Fire-gilded bronze samples were chemically imaged in cross-section at nano-metric scale (<200 nm) using high energy and lateral resolution synchrotron radiation photoemission (HR-SRPES) of core levels and valence band after conventional characterisation of the samples by Glow Discharge optical Emission Spectroscopy (GD-OES) and conventional X-ray photoelectron spectroscopy (XPS). We have found a net surface enrichment in Zn and Sn after fire-gilding and presence of metallic Hg, Pb and Cu within the Au layer. Moreover, the composition distribution of the elements together with their oxidation has been determined. It was also revealed that metallic phases including Hg and Pb remain in the gilding after corrosion. Moreover, selective dissolution of Zn and Cu occurs in the crater due to galvanic coupling, which locally induces relative Sn species enrichment (decuprification). The feasibility advantages and disadvantages of

  1. High-Resolution Light Transmission Spectroscopy of Nanoparticles in Real Time

    Science.gov (United States)

    Tanner, Carol; Sun, Nan; Deatsch, Alison; Li, Frank; Ruggiero, Steven

    2017-04-01

    As implemented here, Light Transmission Spectroscopy (LTS) is a high-resolution real-time technique for eliminating spectral noise and systematic effects in wide band spectroscopic measurements of nanoparticles. In this work, we combine LTS with spectral inversion for the purpose of characterizing the size, shape, and number of nanoparticles in solution. The apparatus employs a wide-band multi-wavelength light source and grating spectrometers coupled to CCD detectors. The light source ranges from 210 to 2000 nm, and the wavelength dependent light detection system ranges from 200 to 1100 nm with model the total extinction cross-section, and spectral inversion is employed to obtain quantitative particle size distributions. Discussed are the precision, accuracy, resolution, and sensitivity of our results. The technique is quite versatile and can be applied to spectroscopic investigations where wideband, accurate, low-noise, real-time spectra are desired. University of Notre Dame Office of Research, College of Science, Department of Physics, and USDA.

  2. Preliminary results from a high-pressure imaging spectroscopic proportional counter

    International Nuclear Information System (INIS)

    Hall, C.J.; Bazzano, A.; Lewis, R.A.; Parker, B.; Ubertini, P.; Worgan, J.S.

    1992-01-01

    A new type of high-pressure proportional counter, with both spatial resolution and spectroscopic capabilities is being jointly developed by the Istituto di Astrofisica Spaziale (CNR), Frascati, Italy and the SERC Daresbury Laboratory, Warrington, UK. The characteristics of the detector can be optimized for the particular requirement of the experiment, either for x-ray astronomy observations from space, or for the high count rate applications associated with a synchrotron light source. In its baseline configuration, the detector is filled to 5 bar with a xenon/quench gas mixture and will be sensitive over the energy range 5 keV to 150 keV (2.5 to 0.08 A). The positional resolution will range from 500 μm at the lower energies to around 1 mm at the higher end of the energy range. The current prototype has a sensitive area of 200x200 mm. The final version is hoped to have an area closer to 425x425 mm. The very small photon absorption length in the higher pressure gas allows the parallax effect, a feature of 1 atmosphere detectors, to be greatly reduced. The timing resolution (150 ns) of the detector enables both a high-rate capability and the possibility of the escape gate technique to achieve higher spectral resolution at energies > the Xe K edge. Preliminary results are presented showing the spectral and positional resolution for the prototype detector

  3. EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures

    Science.gov (United States)

    Fernández Herrero, Analía.; Mentzel, Heiko; Soltwisch, Victor; Jaroslawzew, Sina; Laubis, Christian; Scholze, Frank

    2018-03-01

    The advance of the semiconductor industry requires new metrology methods, which can deal with smaller and more complex nanostructures. Particularly for inline metrology a rapid, sensitive and non destructive method is needed. Small angle X-ray scattering under grazing incidence has already been investigated for this application and delivers significant statistical information which tracks the profile parameters as well as their variations, i.e. roughness. However, it suffers from the elongated footprint at the sample. The advantage of EUV radiation, with its longer wavelengths, is that larger incidence angles can be used, resulting in a significant reduction of the beam footprint. Targets with field sizes of 100 μm and smaller are accessible with our experimental set-up. We present a new experimental tool for the measurement of small structures based on the capabilities of soft X-ray and EUV scatterometry at the PTB soft X-ray beamline at the electron storage ring BESSY II. PTB's soft X-ray radiometry beamline uses a plane grating monochromator, which covers the spectral range from 0.7 nm to 25 nm and was especially designed to provide highly collimated radiation. An area detector covers the scattered radiation from a grazing exit angle up to an angle of 30° above the sample horizon and the fluorescence emission can be detected with an energy dispersive X-ray silicon drift detector. In addition, the sample can be rotated and linearly moved in vacuum. This new set-up will be used to explore the capabilities of EUV-scatterometry for the characterization of nanometre-sized structures.

  4. A simulation package for soft X-ray and EUV spectroscopy of astrophysical and laboratory plasmas in different environments

    International Nuclear Information System (INIS)

    Liang, G Y; Li, F; Wang, F L; Zhong, J Y; Zhao, G; Wu, Y

    2014-01-01

    Spectroscopic researches in astronomy are significantly dependent on theoretical modelling methods, such as Chianti, Xstar, Cloudy etc. Recently, a different research community - Laboratory Astrophysics tries to benchmark these theoretical models or simulate the astrophysical phenomenon directly in conditions accessed in ground laboratory. Those unavoidable differences between the astrophysical objects and laboratory provide a need for a self-consistent model to make a bridge for the two cases. So we setup a visualized simulation package for soft X-ray and EUV spectroscopy in astrophysical and laboratory plasmas.

  5. Nanoparticle Photoresists: Ligand Exchange as a New, Sensitive EUV Patterning Mechanism

    KAUST Repository

    Kryask, Marie

    2013-01-01

    Hybrid nanoparticle photoresists and their patterning using DUV, EUV, 193 nm lithography and e-beam lithography has been investigated and reported earlier. The nanoparticles have demonstrated very high EUV sensitivity and significant etch resistance compared to other standard photoresists. The current study aims at investigating and establishing the underlying mechanism for dual tone patterning of these nanoparticle photoresist systems. Infrared spectroscopy and UV absorbance studies supported by mass loss and dissolution studies support the current model. © 2013SPST.

  6. Mask characterization for CDU budget breakdown in advanced EUV lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  7. Extreme Ultraviolet (EUV) induced surface chemistry on Ru

    NARCIS (Netherlands)

    Liu, Feng; Sturm, Jacobus Marinus; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    EUV photon induced surface chemistry can damage multilayer mirrors causing reflectivity loss and faster degradation. EUV photo chemistry involves complex processes including direct photon induced surface chemistry and secondary electron radiation chemistry. Current cleaning techniques include dry

  8. PROJECTION EFFECTS IN CORONAL DIMMINGS AND ASSOCIATED EUV WAVE EVENT

    Energy Technology Data Exchange (ETDEWEB)

    Dissauer, K.; Temmer, M.; Veronig, A. M.; Vanninathan, K. [IGAM/Institute of Physics, University of Graz, Universitätsplatz 5/II, A-8010 Graz (Austria); Magdalenić, J., E-mail: karin.dissauer@uni-graz.at [Solar-Terrestrial Center of Excellence-SIDC, Royal Observatory of Belgium, Av. Circulaire 3, B-1180 Brussels (Belgium)

    2016-10-20

    We investigate the high-speed ( v > 1000 km s{sup −1}) extreme-ultraviolet (EUV) wave associated with an X1.2 flare and coronal mass ejection (CME) from NOAA active region 11283 on 2011 September 6 (SOL2011-09-06T22:12). This EUV wave features peculiar on-disk signatures; in particular, we observe an intermittent “disappearance” of the front for 120 s in Solar Dynamics Observatory ( SDO )/AIA 171, 193, 211 Å data, whereas the 335 Å filter, sensitive to hotter plasmas ( T ∼ 2.5 MK), shows a continuous evolution of the wave front. The eruption was also accompanied by localized coronal dimming regions. We exploit the multi-point quadrature position of SDO and STEREO-A , to make a thorough analysis of the EUV wave evolution, with respect to its kinematics and amplitude evolution and reconstruct the SDO line-of-sight (LOS) direction of the identified coronal dimming regions in STEREO-A . We show that the observed intensities of the dimming regions in SDO /AIA depend on the structures that are lying along their LOS and are the combination of their individual intensities, e.g., the expanding CME body, the enhanced EUV wave, and the CME front. In this context, we conclude that the intermittent disappearance of the EUV wave in the AIA 171, 193, and 211 Å filters, which are channels sensitive to plasma with temperatures below ∼2 MK is also caused by such LOS integration effects. These observations clearly demonstrate that single-view image data provide us with limited insight to correctly interpret coronal features.

  9. Spectroscopic Characterisation of CARMENES Target Candidates from FEROS, CAFE and HRS High-Resolution Spectra

    Science.gov (United States)

    Passegger, Vera Maria; Reiners, Ansgar; Jeffers, Sandra V.; Wende, Sebastian; Schöfer, Patrick; Amado, Pedro J.; Caballero, Jose A.; Montes, David; Mundt, Reinhard; Ribas, Ignasi; Quirrenbach, Andreas

    2016-07-01

    CARMENES (Calar Alto high-Resolution search for M dwarfs with Exoearths with Near-infrared and optical Échelle Spectrographs) started a new planet survey on M-dwarfs in January this year. The new high-resolution spectrographs are operating in the visible and near-infrared at Calar Alto Observatory. They will perform high-accuracy radial-velocity measurements (goal 1 m s-1) of about 300 M-dwarfs with the aim to detect low-mass planets within habitable zones. We characterised the candidate sample for CARMENES and provide fundamental parameters for these stars in order to constrain planetary properties and understand star-planet systems. Using state-of-the-art model atmospheres (PHOENIX-ACES) and χ2-minimization with a downhill-simplex method we determine effective temperature, surface gravity and metallicity [Fe/H] for high-resolution spectra of around 480 stars of spectral types M0.0-6.5V taken with FEROS, CAFE and HRS. We find good agreement between the models and our observed high-resolution spectra. We show the performance of the algorithm, as well as results, parameter and spectral type distributions for the CARMENES candidate sample, which is used to define the CARMENES target sample. We also present first preliminary results obtained from CARMENES spectra.

  10. The SOLAR-C Mission: Science Objectives and Current Status

    Science.gov (United States)

    Suematsu, Y.; Solar-C Working Group

    2016-04-01

    The SOLAR-C is a Japan-led international solar mission for mid-2020s designed to investigate the magnetic activities of the Sun, focusing on the study in heating and dynamical phenomena of the chromosphere and corona, and to advance algorithms for predicting short and long term solar magnetic activities. For these purposes, SOLAR-C will carry three dedicated instruments; the Solar UV-Vis-IR Telescope (SUVIT), the EUV Spectroscopic Telescope (EUVST) and the High Resolution Coronal Imager (HCI), to jointly observe the entire visible solar atmosphere with essentially the same high spatial resolution (0.1"-0.3"), performing high resolution spectroscopic measurements over all atmospheric regions and spectro-polarimetric measurements from the photosphere through the upper chromosphere. SOLAR-C will also contribute to understand the solar influence on the Sun-Earth environments with synergetic wide-field observations from ground-based and other space missions.

  11. Spectroscopic Challenges in the Modelling and Diagnostics of High Temperature Air Plasma Radiation for Aerospace Applications

    International Nuclear Information System (INIS)

    Laux, Christophe O.

    2007-01-01

    State-of-the-art spectroscopic models of the radiative transitions of interest for Earth re-entry and ground-based diagnostic facilities for aerospace applications are reviewed. The spectral range considered extends from the vacuum ultraviolet to the mid-infrared range (80 nm to 5.5 μm). The modeling results are compared with absolute intensity measurements of the ultraviolet-visible-infrared emission of a well-characterized high-temperature air plasma produced with a 50 kW inductively coupled radio-frequency plasma torch, and with high-resolution absorption spectra from the Center for Astrophysics in the vacuum ultraviolet. The Spectroscopic data required to better model the spectral features of interest for aerospace applications are discussed

  12. Challenges of anamorphic high-NA lithography and mask making

    Science.gov (United States)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  13. Absorption and Emission of EUV Radiation by the Local ISM

    Science.gov (United States)

    Paresce, F.

    1984-01-01

    The Berkeley extreme ultraviolet radiation (EUV) telescope flown on the Apollo Soyuz mission in July, 1975 established the existence of a measurable flux of EUV (100 lambda or = or = 1000 A) originating from sources outside the solar system. White dwarfs, flare stars and cataclysmic variables were dicovered to be relatively intense compact sources of EUV photons. Moreover, this and other subsequent experiments have strongly suggested the presence of a truly diffuse component of the FUV radiation field possibly due to thermal emission from hot interstellar gas located in the general vicinity of the Sun. Closer to the H1, 912 A edge, the effect of a few hot O and B stars has been shown to be very important in establishing the interstellar flux density. All these results imply that the local interstellar medium (ISM) is immersed in a non-negligible EUV radiation field which, because of the strong coupling between EUV photons and matter, will play a crucial role in determining its physical structure. The available information on the local ISM derived from the limited EUV observations carried out so far is assembled and analyzed. These include measurements of the spectra of bright EUV sources that reveal clear evidence of H photo absorption at lambda 400 A and of the He ionization edge at 228 A.

  14. The EUV-observatory TESIS on board Coronas-Photon: scientific goals and initial plan of observations

    Science.gov (United States)

    Bogachev, Sergey

    The TESIS a EUV-observatory for solar research from space will be launched in 2008 September on board the satellite Coronas-Photon from cosmodrome Plesetsk. TESIS is a project of Lebedev Physical Institute of Russian Academy of Science with contribution from Space Research Center of Polish Academy of Science (the spectrometer SphinX). The experiment will focus on quasi-monochromatic imaging of the Sun and XUV spectroscopy of solar plasma. The scientific payload of TESIS contains five instruments: (1) Bragg crystal spectroheliometer for Sun monochromatic imaging in the line MgXII 8.42 A, (2) the normal-incidence Herschelian EUV telescopes with a resolution of 1.7 arc sec operated in lines FeXXII 133 A, FeIX 171 A and HeII 304 A, (3) the EUV imaging spectrometer, (4) the wide-field Ritchey-Chretien coronograph and (5) the X-ray spectrometer SphinX. The TESIS will focus on coordinated study of solar activity from the transition region to the outer corona up to 4 solar radii in wide temperature range from 5*104 to 2*107 K. We describe the scientific goals of the TESIS and its initial plan of observations.

  15. Modular EUV Source for the next generation lithography

    International Nuclear Information System (INIS)

    Sublemontier, O.; Rosset-Kos, M.; Ceccotti, T.; Hergott, J.F.; Auguste, Th.; Normand, D.; Schmidt, M.; Beaumont, F.; Farcage, D.; Cheymol, G.; Le Caro, J.M.; Cormont, Ph.; Mauchien, P.; Thro, P.Y.; Skrzypczak, J.; Muller, S.; Marquis, E.; Barthod, B.; Gaurand, I.; Davenet, M.; Bernard, R.

    2011-01-01

    The present work, performed in the frame of the EXULITE project, was dedicated to the design and characterization of a laser-plasma-produced extreme ultraviolet (EUV) source prototype at 13.5 nm for the next generation lithography. It was conducted in cooperation with two laboratories from CEA, ALCATEL and THALES. One of our approach originalities was the laser scheme modularity. Six Nd:YAG laser beams were focused at the same time on a xenon filament jet to generate the EUV emitting plasma. Multiplexing has important industrial advantages and led to interesting source performances in terms of in-band power, stability and angular emission properties with the filament jet target. A maximum conversion efficiency (CE) value of 0.44% in 2π sr and 2% bandwidth was measured, which corresponds to a maximum in band EUV mean power of 7.7 W at a repetition rate of 6 kHz. The EUV emission was found to be stable and isotropic in these conditions. (authors)

  16. Uncharted Frontiers in the Spectroscopy of Highly Charged Ions

    CERN Document Server

    Beiersdorfer, P; Crespo, J; Kim, S H; Neill, P; Utter, S; Widmann, K

    2000-01-01

    The development of novel techniques is critical for maintaining a state-of-the-art core competency in atomic physics and readiness for evolving programmatic needs. We have carried out a three-year effort to develop novel spectroscopic instrumentation that added new dimensions to our capabilities for measuring energy levels, radiative transition probabilities, and electron-ion excitation processes. The new capabilities created were in areas that heretofore had been inaccessible to scientific scrutiny and included high-resolution spectroscopy of hard x rays, femtosecond lifetime measurements, measurements of transition probabilities of long-lived metastable levels, polarization spectroscopy, ultra-precise determinations of energy levels, and the establishment of absolute wavelength standards in x-ray spectroscopy. Instrumentation developed during the period included a transmission-type crystal spectrometer, a flat-field EUV spectrometer, and the development and deployment of absolutely calibrated monolithic cry...

  17. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    Science.gov (United States)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  18. Free radicals. High-resolution spectroscopy and molecular structure

    International Nuclear Information System (INIS)

    Hirota, E.

    1983-01-01

    High-resolution, high-sensitivity spectroscopy using CW laser and microwave sources has been applied to free radicals and transient molecules to establish their existence and to explore their properties in detail. The radicals studied were mainly generated by discharge-induced reactions. A few molecules are used as typical examples to illustrate the results so far obtained. The molecular and electronic structures of free radicals, intramolecular motions of large amplitudes in some labile molecules, and metastable electronic states of carbenes are given special emphasis. The significance of the present spectroscopic results in other related fields such as astronomy and atmospheric chemistry is stressed. 4 figures, 3 tables

  19. SPECTROSCOPIC ANALYSIS OF AN EIT WAVE/DIMMING OBSERVED BY HINODE/EIS

    International Nuclear Information System (INIS)

    Chen, F.; Ding, M. D.; Chen, P. F.

    2010-01-01

    EUV Imaging Telescope (EIT) waves are a wavelike phenomenon propagating outward from the coronal mass ejection source region, with expanding dimmings following behind. We present a spectroscopic study of an EIT wave/dimming event observed by the Hinode/Extreme-ultraviolet Imaging Spectrometer. Although the identification of the wave front is somewhat affected by the pre-existing loop structures, the expanding dimming is well defined. We investigate the line intensity, width, and Doppler velocity for four EUV lines. In addition to the significant blueshift implying plasma outflows in the dimming region as revealed in previous studies, we find that the widths of all four spectral lines increase at the outer edge of the dimmings. We illustrate that this feature can be well explained by the field line stretching model, which claims that EIT waves are apparently moving brightenings that are generated by the successive stretching of the closed field lines.

  20. A fast-time-response extreme ultraviolet spectrometer for measurement of impurity line emissions in the Experimental Advanced Superconducting Tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Ling; Xu, Zong; Wu, Zhenwei; Zhang, Pengfei; Wu, Chengrui; Gao, Wei; Shen, Junsong; Chen, Yingjie; Liu, Xiang; Wang, Yumin; Gong, Xianzu; Hu, Liqun; Chen, Junlin; Zhang, Xiaodong; Wan, Baonian; Li, Jiangang [Institute of Plasma Physics Chinese Academy of Sciences, Hefei 230026, Anhui (China); Morita, Shigeru; Ohishi, Tetsutarou; Goto, Motoshi [National Institute for Fusion Science, Toki 509-5292, Gifu (Japan); Department of Fusion Science, Graduate University for Advanced Studies, Toki 509-5292, Gifu (Japan); Dong, Chunfeng [Southwestern Institute of Physics, Chengdu 610041, Sichuan (China); and others

    2015-12-15

    A flat-field extreme ultraviolet (EUV) spectrometer working in the 20-500 Å wavelength range with fast time response has been newly developed to measure line emissions from highly ionized tungsten in the Experimental Advanced Superconducting Tokamak (EAST) with a tungsten divertor, while the monitoring of light and medium impurities is also an aim in the present development. A flat-field focal plane for spectral image detection is made by a laminar-type varied-line-spacing concave holographic grating with an angle of incidence of 87°. A back-illuminated charge-coupled device (CCD) with a total size of 26.6 × 6.6 mm{sup 2} and pixel numbers of 1024 × 255 (26 × 26 μm{sup 2}/pixel) is used for recording the focal image of spectral lines. An excellent spectral resolution of Δλ{sub 0} = 3-4 pixels, where Δλ{sub 0} is defined as full width at the foot position of a spectral line, is obtained at the 80-400 Å wavelength range after careful adjustment of the grating and CCD positions. The high signal readout rate of the CCD can improve the temporal resolution of time-resolved spectra when the CCD is operated in the full vertical binning mode. It is usually operated at 5 ms per frame. If the vertical size of the CCD is reduced with a narrow slit, the time response becomes faster. The high-time response in the spectral measurement therefore makes possible a variety of spectroscopic studies, e.g., impurity behavior in long pulse discharges with edge-localized mode bursts. An absolute intensity calibration of the EUV spectrometer is also carried out with a technique using the EUV bremsstrahlung continuum at 20-150 Å for quantitative data analysis. Thus, the high-time resolution tungsten spectra have been successfully observed with good spectral resolution using the present EUV spectrometer system. Typical tungsten spectra in the EUV wavelength range observed from EAST discharges are presented with absolute intensity and spectral identification.

  1. EUV multilayer mirror, optical system including a multilayer mirror and method of manufacturing a multilayer mirror

    NARCIS (Netherlands)

    Huang, Qiushi; Louis, Eric; Bijkerk, Frederik; de Boer, Meint J.; von Blanckenhagen, G.

    2016-01-01

    A multilayer mirror (M) reflecting extreme ultraviolet (EUV) radiation from a first wave-length range in a EUV spectral region comprises a substrate (SUB) and a stack of layers (SL) on the substrate, the stack of layers comprising layers comprising a low index material and a high index material, the

  2. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  3. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  4. FTIR free-jet set-up for the high resolution spectroscopic investigation of condensable species

    Science.gov (United States)

    Georges, R.; Bonnamy, A.; Benidar, A.; Decroi, M.; Boissoles, J.

    2002-05-01

    An existing experimental set-up combining Fourier transform infrared (FTIR) spectroscopy and free-jet cooling has been modified significantly to allow high resolution studies of the spectrum of monomer species which are liquid under standard conditions. Evaporation of the liquid samples is controlled by a condenser apparatus which is described. A supersonic planar expansion issuing from a narrow aperture is preferred for its very high cooling rate. Such an expansion, probed with a pitot tube, has a zone of limited temperature gradient close to the nozzle exit. The continuum isentropic model appears well suited to describing the thermodynamic properties of the flow up to a high number of nozzle diameters downstream. High resolution spectra of benzene and methanol have been recorded in the 3 µm wavelength range, and their analysis demonstrates a well defined rotational temperature in the 20-25 K range.

  5. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    Science.gov (United States)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  6. Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

    Science.gov (United States)

    De Simone, Danilo; Mao, Ming; Kocsis, Michael; De Schepper, Peter; Lazzarino, Frederic; Vandenberghe, Geert; Stowers, Jason; Meyers, Stephen; Clark, Benjamin L.; Grenville, Andrew; Luong, Vinh; Yamashita, Fumiko; Parnell, Doni

    2016-03-01

    Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.

  7. Uses of microchannel plate intensified detectors for imaging applications in the X-ray, EUV and visible wavelength regions

    International Nuclear Information System (INIS)

    Read, P.D.; Carter, M.K.; Pike, C.D.; Harrison, R.A.; Kent, B.J.; Swinyard, B.M.; Patchett, B.E.; Redfern, R.M.; Shearer, A.; Colhoun, M.

    1997-01-01

    The Rutherford Appleton laboratory photon counting detector (RALPCD) has been refined to meet project requirements for a flexible imaging arrangement with applications at X-ray, EUV and visible wavelengths. The basic detector design comprises commercially available high gain microchannel plate intensifiers fibre optically coupled to CID or CCD cameras, to form a modular detector arrangement with the appropriate RAL detection and centroiding software. Frames of data from the cameras are detected and centroided in a transputer or C40 parallel processor array where correction algorithms use look up tables to produce pattern free images at high resolution. Data from completed applications are used to illustrate the performance and future advances are discussed. (orig.)

  8. Prospects of DUV OoB suppression techniques in EUV lithography

    Science.gov (United States)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  9. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  10. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    Science.gov (United States)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  11. Discharge plasmas as EUV Sources for Future Micro Lithography

    Science.gov (United States)

    Kruecken, Thomas

    2007-08-01

    Future extreme ultraviolet (EUV) lithography will require very high radiation intensities in a narrow wavelength range around 13.5 nm, which is most efficiently emitted as line radiation by highly ionized heavy particles. Currently the most intense EUV sources are based on xenon or tin gas discharges. After having investigated the limits of a hollow cathode triggered xenon pinch discharge Philips Extreme UV favors a laser triggered tin vacuum spark discharge. Plasma and radiation properties of these highly transient discharges will be compared. Besides simple MHD-models the ADAS software package has been used to generate important atomic and spectral data of the relevant ion stages. To compute excitation and radiation properties, collisional radiative equilibria of individual ion stages are computed. For many lines opacity effects cannot be neglected. In the xenon discharges the optical depths allow for a treatment based on escape factors. Due to the rapid change of plasma parameters the abundancies of the different ionization stages must be computed dynamically. This requires effective ionization and recombination rates, which can also be supplied by ADAS. Due to very steep gradients (up to a couple orders of magnitude per mm) the plasma of tin vacuum spark discharges is very complicated. Therefore we shall describe here only some technological aspects of our tin EUV lamp: The electrode system consists of two rotating which are pulled through baths of molten tin such that a tin film remains on their surfaces. With a laser pulse some tin is ablated from one of the wheels and travels rapidly through vacuum towards the other rotating wheel. When the tin plasma reaches the other electrodes it ignites and the high current phase starts, i.e. the capacitor bank is unloaded, the plasma is pinched and EUV is radiated. Besides the good spectral properties of tin this concept has some other advantages: Erosion of electrodes is no severe problem as the tin film is

  12. NITROGEN ISOTOPIC RATIO OF COMETARY AMMONIA FROM HIGH-RESOLUTION OPTICAL SPECTROSCOPIC OBSERVATIONS OF C/2014 Q2 (LOVEJOY)

    Energy Technology Data Exchange (ETDEWEB)

    Shinnaka, Yoshiharu [National Astronomical Observatory of Japan, 2-21-1 Osawa, Mitaka, Tokyo 181-8588 (Japan); Kawakita, Hideyo, E-mail: yoshiharu.shinnaka@nao.ac.jp [Koyama Astronomical Observatory, Kyoto Sangyo University, Motoyama, Kamigamo, Kita-Ku, Kyoto 603-8555 (Japan)

    2016-11-01

    The icy materials present in comets provide clues to the origin and evolution of our solar system and planetary systems. High-resolution optical spectroscopic observations of comet C/2014 Q2 (Lovejoy) were performed on 2015 January 11 (at 1.321 au pre-perihelion) with the High Dispersion Spectrograph mounted on the Subaru Telescope on Maunakea, Hawaii. We derive the {sup 14}N/{sup 15}N ratio of NH{sub 2} (126 ± 25), as well as the ortho-to-para abundance ratios (OPRs) of the H{sub 2}O{sup +} ion (2.77 ± 0.24) and NH{sub 2} (3.38 ± 0.07), which correspond to nuclear spin temperatures of >24 K (3 σ lower limit) and 27 ± 2 K, respectively. We also derive the intensity ratio of the green-to-red doublet of forbidden oxygen lines (0.107 ± 0.007). The ammonia in the comet must have formed under low-temperature conditions at ∼10 K or less to reproduce the observed {sup 14}N/{sup 15}N ratio in this molecule if it is assumed that the {sup 15}N-fractionation of ammonia occurred via ion–molecule chemical reactions. However, this temperature is inconsistent with the nuclear spin temperatures of water and ammonia estimated from the OPRs. The interpretation of the nuclear spin temperature as the temperature at molecular formation may therefore be incorrect. An isotope-selective photodissociation of molecular nitrogen by protosolar ultraviolet radiation might play an important role in the {sup 15}N-fractionation observed in cometary volatiles.

  13. A multiresolution approach for the convergence acceleration of multivariate curve resolution methods.

    Science.gov (United States)

    Sawall, Mathias; Kubis, Christoph; Börner, Armin; Selent, Detlef; Neymeyr, Klaus

    2015-09-03

    Modern computerized spectroscopic instrumentation can result in high volumes of spectroscopic data. Such accurate measurements rise special computational challenges for multivariate curve resolution techniques since pure component factorizations are often solved via constrained minimization problems. The computational costs for these calculations rapidly grow with an increased time or frequency resolution of the spectral measurements. The key idea of this paper is to define for the given high-dimensional spectroscopic data a sequence of coarsened subproblems with reduced resolutions. The multiresolution algorithm first computes a pure component factorization for the coarsest problem with the lowest resolution. Then the factorization results are used as initial values for the next problem with a higher resolution. Good initial values result in a fast solution on the next refined level. This procedure is repeated and finally a factorization is determined for the highest level of resolution. The described multiresolution approach allows a considerable convergence acceleration. The computational procedure is analyzed and is tested for experimental spectroscopic data from the rhodium-catalyzed hydroformylation together with various soft and hard models. Copyright © 2015 Elsevier B.V. All rights reserved.

  14. Charge coupled devices vs. microchannel plates in the extreme and far ultraviolet - A comparison based on the latest laboratory measurements

    Science.gov (United States)

    Vallerga, J.; Lampton, M.

    1988-01-01

    While microchannel plates (MCPs) have been established as imaging photon counters in the EUV and FUV for some years, CCDs are associated with low light level sensing at visible and near-IR wavelengths. Attention is presently given to recent proposals for CCDs' use as EUV and FUV detectors with quantum efficiencies sometimes exceeding those of MCPs; quantum resolution, format size, dynamic range, and long-term stability are also used as bases of comparison, for the cases of both space-based astronomical and spectroscopic applications.

  15. Microwave, EUV, and X-ray observations of active region loops and filaments

    International Nuclear Information System (INIS)

    Schmahl, E.

    1980-01-01

    Until the advent of X-ray and EUV observations of coronal structures, radio observers were forced to rely on eclipse and coronagraph observations in white light and forbidden coronal lines for additional diagnostics of the high temperature microwave sources. While these data provided enough material for theoretical insight into the physics of active regions, there was no way to make direct, simultaneous comparison of coronal structures on the disk as seen at microwave and optical wavelengths. This is now possible, and therefore the author summarizes the EUV and X-ray observations indicating at each point the relevance to microwaves. (Auth.)

  16. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?

    Science.gov (United States)

    Gabor, Allen H.; Brendler, Andrew C.; Brunner, Timothy A.; Chen, Xuemei; Culp, James A.; Levinson, Harry J.

    2018-03-01

    The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term "EPE" has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of "Good Fields"1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma "value" design-rules need to be tested to ensure high yield. The "value" can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement

  17. Wide-field Spatio-Spectral Interferometry: Bringing High Resolution to the Far- Infrared

    Science.gov (United States)

    Leisawitx, David

    Wide-field spatio-spectral interferometry combines spatial and spectral interferometric data to provide integral field spectroscopic information over a wide field of view. This technology breaks through a mission cost barrier that stands in the way of resolving spatially and measuring spectroscopically at far-infrared wavelengths objects that will lead to a deep understanding of planetary system and galaxy formation processes. A space-based far-IR interferometer will combine Spitzer s superb sensitivity with a two order of magnitude gain in angular resolution, and with spectral resolution in the thousands. With the possible exception of detector technology, which is advancing with support from other research programs, the greatest challenge for far-IR interferometry is to demonstrate that the interferometer will actually produce the images and spectra needed to satisfy mission science requirements. With past APRA support, our team has already developed the highly specialized hardware testbed, image projector, computational model, and image construction software required for the proposed effort, and we have access to an ideal test facility.

  18. Eta Carinae’s 2014.6 Spectroscopic Event: The Extraordinary He II and N II Features

    Science.gov (United States)

    Davidson, Kris; Mehner, Andrea; Humphreys, Roberta M.; Martin, John C.; Ishibashi, Kazunori

    2015-03-01

    Eta Carinae’s spectroscopic events (periastron passages) in 2003, 2009, and 2014 differed progressively. He ii λ4687 and nearby N ii multiplet 5 have special significance because they respond to very soft X-rays and the ionizing UV radiation field (EUV). Hubble Space Telescope (HST)/STIS observations in 2014 show dramatic increases in both features compared to the previous 2009.1 event. These results appear very consistent with a progressive decline in the primary wind density, proposed years ago on other grounds. If material falls onto the companion star near periastron, the accretion rate may now have become too low to suppress the EUV. Based on observations made with the NASA/ESA Hubble Space Telescope, which is opera ted by the Association of Universities for Research in Astronomy, Inc., under NASA contract NAS 5-26555.

  19. High Quality, Low-Scatter SiC Optics Suitable for Space-based UV & EUV Applications, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — SSG Precision Optronics proposes the development and demonstration of a new optical fabrication process for the production of EUV quality Silicon Carbide (SiC)...

  20. Mix-and-match considerations for EUV insertion in N7 HVM

    Science.gov (United States)

    Chen, Xuemei; Gabor, Allen; Samudrala, Pavan; Meyers, Sheldon; Hosler, Erik; Johnson, Richard; Felix, Nelson

    2017-03-01

    An optimal mix-match control strategy for EUV and 193i scanners is crucial for the insertion of EUV lithography at 7nm technology node. The systematic differences between these exposure systems introduce additional cross-platform mixmatch overlay errors. In this paper, we quantify the EUV specific contributions to mix-match overlay, and explore the effectiveness of higher-order interfield and intrafield corrections on minimizing the on-product mix-match overlay errors. We also analyze the impact of intra-field sampling plans in terms of model accuracy and adequacy in capturing EUV specific intra-field signatures. Our analysis suggests that more intra-field measurements and appropriate placement of the metrology targets within the field are required to achieve the on-product overlay control goals for N7 HVM.

  1. Performance of high-resolution position-sensitive detectors developed for storage-ring decay experiments

    International Nuclear Information System (INIS)

    Yamaguchi, T.; Suzaki, F.; Izumikawa, T.; Miyazawa, S.; Morimoto, K.; Suzuki, T.; Tokanai, F.; Furuki, H.; Ichihashi, N.; Ichikawa, C.; Kitagawa, A.; Kuboki, T.; Momota, S.; Nagae, D.; Nagashima, M.; Nakamura, Y.; Nishikiori, R.; Niwa, T.; Ohtsubo, T.; Ozawa, A.

    2013-01-01

    Highlights: • Position-sensitive detectors were developed for storage-ring decay spectroscopy. • Fiber scintillation and silicon strip detectors were tested with heavy ion beams. • A new fiber scintillation detector showed an excellent position resolution. • Position and energy detection by silicon strip detectors enable full identification. -- Abstract: As next generation spectroscopic tools, heavy-ion cooler storage rings will be a unique application of highly charged RI beam experiments. Decay spectroscopy of highly charged rare isotopes provides us important information relevant to the stellar conditions, such as for the s- and r-process nucleosynthesis. In-ring decay products of highly charged RI will be momentum-analyzed and reach a position-sensitive detector set-up located outside of the storage orbit. To realize such in-ring decay experiments, we have developed and tested two types of high-resolution position-sensitive detectors: silicon strips and scintillating fibers. The beam test experiments resulted in excellent position resolutions for both detectors, which will be available for future storage-ring experiments

  2. HIGH SPATIAL RESOLUTION OBSERVATIONS OF LOOPS IN THE SOLAR CORONA

    Energy Technology Data Exchange (ETDEWEB)

    Brooks, David H.; Ugarte-Urra, Ignacio [College of Science, George Mason University, 4400 University Drive, Fairfax, VA 22030 (United States); Warren, Harry P. [Space Science Division, Naval Research Laboratory, Washington, DC 20375 (United States); Winebarger, Amy R. [NASA Marshall Space Flight Center, ZP 13, Huntsville, AL 35812 (United States)

    2013-08-01

    Understanding how the solar corona is structured is of fundamental importance to determine how the Sun's upper atmosphere is heated to high temperatures. Recent spectroscopic studies have suggested that an instrument with a spatial resolution of 200 km or better is necessary to resolve coronal loops. The High Resolution Coronal Imager (Hi-C) achieved this performance on a rocket flight in 2012 July. We use Hi-C data to measure the Gaussian widths of 91 loops observed in the solar corona and find a distribution that peaks at about 270 km. We also use Atmospheric Imaging Assembly data for a subset of these loops and find temperature distributions that are generally very narrow. These observations provide further evidence that loops in the solar corona are often structured at a scale of several hundred kilometers, well above the spatial scale of many proposed physical mechanisms.

  3. Optical, UV, and EUV Oscillations of SS Cygni in Outburst

    Science.gov (United States)

    Mauche, Christopher W.

    2004-07-01

    I provide a review of observations in the optical, UV (HST), and EUV (EUVE and Chandra LETG) of the rapid periodic oscillations of nonmagnetic, disk-accreting, high mass-accretion rate cataclysmic variables (CVs), with particular emphasis on the dwarf nova SS Cyg in outburst. In addition, I drawn attention to a correlation, valid over nearly six orders of magnitude in frequency, between the frequencies of the quasi-periodic oscillations (QPOs) of white dwarf, neutron star, and black hole binaries. This correlation identifies the high frequency quasi-coherent oscillations (so-called ``dwarf nova oscillations'') of CVs with the kilohertz QPOs of low mass X-ray binaries (LMXBs), and the low frequency and low coherence QPOs of CVs with the horizontal branch oscillations (or the broad noise component identified as such) of LMXBs. Assuming that the same mechanisms produce the QPOs of white dwarf, neutron star, and black hole binaries, this correlation has important implications for QPO models.

  4. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  5. High resolution spectroscopy of six new extreme helium stars

    Science.gov (United States)

    Heber, U.; Jones, G.; Drilling, J. S.

    1986-01-01

    High resolution spectra of six newly discovered extreme helium stars are presented. LSS 5121 is shown to be a spectroscopical twin of the hot extreme helium star HD 160641. A preliminary LTE analysis of LSS 3184 yielded an effective temperature of 22,000 K and a surface gravity of log g = 3.2. Four stars form a new subgroup, classified by sharp-lined He I spectra and pronounced O II spectra, and it is conjectured that these lie close to the Eddington limit. The whole group of extreme helium stars apparently is inhomogeneous with respect to luminosity to mass ratio and chemical composition.

  6. Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking

    Science.gov (United States)

    Manouras, Theodoros; Kazazis, Dimitrios; Koufakis, Eleftherios; Ekinci, Yasin; Vamvakaki, Maria; Argitis, Panagiotis

    2018-03-01

    The main target of the current work was to develop new sensitive polymeric materials for lithographic applications, focusing in particular to EUV lithography, the main chain of which is cleaved under the influence of photogenerated acid. Resist materials based on the cleavage of polymer main chain are in principle capable to create very small structures, to the dimensions of the monomers that they consist of. Nevertheless, in the case of the commonly used nonchemically amplified materials of this type issues like sensitivity and poor etch resistance limit their areas of application, whereas inadequate etch resistance and non- satisfactory process reliability are the usual problems encountered in acid catalysed materials based on main chain scission. In our material design the acid catalyzed chain cleavable polymers contain very sensitive moieties in their backbone while they remain intact in alkaline ambient. These newly synthesized polymers bear in addition suitable functional groups for the achievement of desirable lithographic characteristics (thermal stability, acceptable glass transition temperature, etch resistance, proper dissolution behavior, adhesion to the substrate). Our approach for achieving acceptable etch resistance, a main drawback in other main chain cleavable resists, is based on the introduction of polyaromatic hydrocarbons in the polymeric backbone, whereas the incorporation of an inorganic component further enhances the etch resistance. Single component systems can also be designed following the proposed approach by the incorporation of suitable PAGs and base quencher molecules in the main chain. Resist formulations based on a random copolymer designed according to the described rules evaluated in EUV exhibit ultrahigh sensitivity, capability for high resolution patterning and overall processing characteristics that make them strong candidates for industrial use upon further optimization.

  7. Relationship between resist outgassing and EUV witness sample contamination in NXE outgas qualification using electrons and EUV photons

    Science.gov (United States)

    Pollentier, I.; Tirumala Venkata, A.; Gronheid, R.

    2014-04-01

    EUV photoresists are considered as a potential source of optics contamination, since they introduce irradiation-induced outgassing in the EUV vacuum environment. Therefore, before these resists can be used on e.g. ASML NXE:3100 or NXE:3300, they need to be tested in dedicated equipment according to a well-defined procedure, which is based on exposing a witness sample (WS) in the vicinity of a simultaneously exposed resist as it outgasses. Different system infrastructures are used at multiple sites (e.g. NIST, CNSE, Sematech, EIDEC, and imec) and were calibrated to each other by a detailed test plan. Despite this detailed tool qualifications, a first round robin comparison of identical materials showed inconsistent outgas test results, and required further investigation by a second round robin. Since the resist exposure mode is different at the various locations (some sites are using EUV photons while others use E-gun electrons), this difference has always a point of concern for variability of test results. In this work we compare the outgas test results from EUV photon and electron exposure using the resist materials of the second round robin. Since the imec outgas tester allows both exposure methods on the resist, a within-system comparison is possible and showed limited variation between photon and electron exposure mode. Therefore the system-to-system variability amongst the different outgas test sites is expected to be related to other parameters than the electron/photon exposure mode. Initial work showed that the variability might be related to temperature, E-gun emission excursion, and/or residual outgassing scaled by different wafer areas at the different sites.

  8. Understanding reconstructed Dante spectra using high resolution spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    May, M. J., E-mail: may13@llnl.gov; Widmann, K.; Kemp, G. E.; Thorn, D.; Colvin, J. D.; Schneider, M. B.; Moore, A.; Blue, B. E. [L-170 Lawrence Livermore National Laboratory, 7000 East Ave., Livermore, California 94551 (United States); Weaver, J. [Naval Research Laboratory, 4555 Overlook Ave. SW, Washington, DC 20375 (United States)

    2016-11-15

    The Dante is an 18 channel filtered diode array used at the National Ignition Facility (NIF) to measure the spectrally and temporally resolved radiation flux between 50 eV and 20 keV from various targets. The absolute flux is determined from the radiometric calibration of the x-ray diodes, filters, and mirrors and a reconstruction algorithm applied to the recorded voltages from each channel. The reconstructed spectra are very low resolution with features consistent with the instrument response and are not necessarily consistent with the spectral emission features from the plasma. Errors may exist between the reconstructed spectra and the actual emission features due to assumptions in the algorithm. Recently, a high resolution convex crystal spectrometer, VIRGIL, has been installed at NIF with the same line of sight as the Dante. Spectra from L-shell Ag and Xe have been recorded by both VIRGIL and Dante. Comparisons of these two spectroscopic measurements yield insights into the accuracy of the Dante reconstructions.

  9. Ultra-high accuracy optical testing: creating diffraction-limited short-wavelength optical systems

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick P.; Rekawa, Senajith B.; Denham, Paul E.; Liddle, J. Alexander; Gullikson, Eric M.; Jackson, KeithH.; Anderson, Erik H.; Taylor, John S.; Sommargren, Gary E.; Chapman, Henry N.; Phillion, Donald W.; Johnson, Michael; Barty, Anton; Soufli, Regina; Spiller, Eberhard A.; Walton, Christopher C.; Bajt, Sasa

    2005-01-01

    Since 1993, research in the fabrication of extreme ultraviolet (EUV) optical imaging systems, conducted at Lawrence Berkeley National Laboratory (LBNL) and Lawrence Livermore National Laboratory (LLNL), has produced the highest resolution optical systems ever made. We have pioneered the development of ultra-high-accuracy optical testing and alignment methods, working at extreme ultraviolet wavelengths, and pushing wavefront-measuring interferometry into the 2-20-nm wavelength range (60-600 eV). These coherent measurement techniques, including lateral shearing interferometry and phase-shifting point-diffraction interferometry (PS/PDI) have achieved RMS wavefront measurement accuracies of 0.5-1-(angstrom) and better for primary aberration terms, enabling the creation of diffraction-limited EUV optics. The measurement accuracy is established using careful null-testing procedures, and has been verified repeatedly through high-resolution imaging. We believe these methods are broadly applicable to the advancement of short-wavelength optical systems including space telescopes, microscope objectives, projection lenses, synchrotron beamline optics, diffractive and holographic optics, and more. Measurements have been performed on a tunable undulator beamline at LBNL's Advanced Light Source (ALS), optimized for high coherent flux; although many of these techniques should be adaptable to alternative ultraviolet, EUV, and soft x-ray light sources. To date, we have measured nine prototype all-reflective EUV optical systems with NA values between 0.08 and 0.30 (f/6.25 to f/1.67). These projection-imaging lenses were created for the semiconductor industry's advanced research in EUV photolithography, a technology slated for introduction in 2009-13. This paper reviews the methods used and our program's accomplishments to date

  10. SOLARNET: a high resolution mission to complement the ILWS programme

    Science.gov (United States)

    Dame, L.; Clade, S.; Malherbe, J. M.

    SOLARNET is a medium size high resolution solar physics mission proposed to CNES for a new start in 2006 and a possible launch in 2010. Partnerships with Germany, Belgium, China and India are under discussion. At the center of the SOLARNET mission is a 3-telescopes interferometer of 1 meter baseline capable to provide 50 times the best ever spatial resolution achieved in Space with previous, current or even planned solar missions: 20 mas - 20 km on the Sun in the FUV. The interferometer is associated to an on-axis subtractive double monochromator (imaging spectrograph) capable of high spectral (0.01 nm) and high temporal resolutions (50 ms) on a field of view of 40 arcsec and over the FUV and UV spectral domains (from 117.5 to 400 nm). This will allow to access process scales of magnetic reconnection, dissipation, emerging flux and much more, from the high chromosphere to the low corona with emphasis on the transition zone where the magnetic confinement is expected to be maximum. A whole new chapter of the physics of solar magnetic field structuring and evolution will be opened. The interferometer is complemented by several other instruments providing larger field of view and higher temperature (EUV-XUV coronal imaging) to define the context and extension of the solar phenomena. Helioseismology, a strong asset of SOHO, is also intended with both velocity and diameter measures, allowed by a non-eclipsing Sun synchronous orbit. The SOLARNET interferometer design results of an extensive laboratory demonstration program of interferometric imaging of extended objects. It started 10 years ago and culminates this year with the first interferometric observations (images) of the Sun at Meudon Observatory at the "Grand Siderostat de Foucault" with a complete 3 telescopes cophased interferometer representative of SOLARNET. We will review the scientific program of SOLARNET, describe the interferometer concept and design, present the first solar imaging results of the

  11. High-resolution studies of the structure of the solar atmosphere using a new imaging algorithm

    Science.gov (United States)

    Karovska, Margarita; Habbal, Shadia Rifai

    1991-01-01

    The results of the application of a new image restoration algorithm developed by Ayers and Dainty (1988) to the multiwavelength EUV/Skylab observations of the solar atmosphere are presented. The application of the algorithm makes it possible to reach a resolution better than 5 arcsec, and thus study the structure of the quiet sun on that spatial scale. The results show evidence for discrete looplike structures in the network boundary, 5-10 arcsec in size, at temperatures of 100,000 K.

  12. Reflectance Tuning at Extreme Ultraviolet (EUV) Wavelengths with Active Multilayer Mirrors

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Lee, Christopher James; van Goor, F.A.; Koster, Gertjan; Rijnders, Augustinus J.H.M.; Bijkerk, Frederik

    2011-01-01

    At extreme ultraviolet (EUV) wavelengths the refractive power of transmission type optical components is limited, therefore reflective components are used. Reflective optics (multilayer mirrors) usually consist of many bilayers and each bilayer is composed of a high and a low refractive index

  13. Global spectroscopic survey of cloud thermodynamic phase at high spatial resolution, 2005-2015

    Science.gov (United States)

    Thompson, David R.; Kahn, Brian H.; Green, Robert O.; Chien, Steve A.; Middleton, Elizabeth M.; Tran, Daniel Q.

    2018-02-01

    The distribution of ice, liquid, and mixed phase clouds is important for Earth's planetary radiation budget, impacting cloud optical properties, evolution, and solar reflectivity. Most remote orbital thermodynamic phase measurements observe kilometer scales and are insensitive to mixed phases. This under-constrains important processes with outsize radiative forcing impact, such as spatial partitioning in mixed phase clouds. To date, the fine spatial structure of cloud phase has not been measured at global scales. Imaging spectroscopy of reflected solar energy from 1.4 to 1.8 µm can address this gap: it directly measures ice and water absorption, a robust indicator of cloud top thermodynamic phase, with spatial resolution of tens to hundreds of meters. We report the first such global high spatial resolution survey based on data from 2005 to 2015 acquired by the Hyperion imaging spectrometer onboard NASA's Earth Observer 1 (EO-1) spacecraft. Seasonal and latitudinal distributions corroborate observations by the Atmospheric Infrared Sounder (AIRS). For extratropical cloud systems, just 25 % of variance observed at GCM grid scales of 100 km was related to irreducible measurement error, while 75 % was explained by spatial correlations possible at finer resolutions.

  14. VizieR Online Data Catalog: Orphan stream high-resolution spectroscopic study (Casey+, 2014)

    Science.gov (United States)

    Casey, A. R.; Keller, S. C.; da Costa, G.; Frebel, A.; Maunder, E.

    2017-06-01

    High-resolution spectra for five Orphan stream candidates and seven well-studied standard stars have been obtained with the Magellan Inamori Kyocera Echelle spectrograph (Bernstein et al. 2003SPIE.4841.1694B) on the Magellan Clay telescope. These objects were observed in 2011 March using a 1" wide slit in mean seeing of 0.9". This slit configuration provides continuous spectral coverage from 333 nm to 915 nm, with a spectral resolution of R=25000 in the blue arm and R=28000 in the red arm. A minimum of 10 exposures of each calibration type (biases, flat fields, and diffuse flats) were observed in the afternoon of each day, with additional flat-field and Th-Ar arc lamp exposures performed throughout the night to ensure an accurate wavelength calibration. (3 data files).

  15. Uncertainties in (E)UV model atmosphere fluxes

    Science.gov (United States)

    Rauch, T.

    2008-04-01

    Context: During the comparison of synthetic spectra calculated with two NLTE model atmosphere codes, namely TMAP and TLUSTY, we encounter systematic differences in the EUV fluxes due to the treatment of level dissolution by pressure ionization. Aims: In the case of Sirius B, we demonstrate an uncertainty in modeling the EUV flux reliably in order to challenge theoreticians to improve the theory of level dissolution. Methods: We calculated synthetic spectra for hot, compact stars using state-of-the-art NLTE model-atmosphere techniques. Results: Systematic differences may occur due to a code-specific cutoff frequency of the H I Lyman bound-free opacity. This is the case for TMAP and TLUSTY. Both codes predict the same flux level at wavelengths lower than about 1500 Å for stars with effective temperatures (T_eff) below about 30 000 K only, if the same cutoff frequency is chosen. Conclusions: The theory of level dissolution in high-density plasmas, which is available for hydrogen only should be generalized to all species. Especially, the cutoff frequencies for the bound-free opacities should be defined in order to make predictions of UV fluxes more reliable.

  16. Atomic hydrogen cleaning of EUV multilayer optics

    Science.gov (United States)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Å/hr for sputtered carbon and 40 Å/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  17. FIRST SIMULTANEOUS OBSERVATION OF AN H{alpha} MORETON WAVE, EUV WAVE, AND FILAMENT/PROMINENCE OSCILLATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Asai, Ayumi; Isobe, Hiroaki [Unit of Synergetic Studies for Space, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Ishii, Takako T.; Kitai, Reizaburo; Ichimoto, Kiyoshi; UeNo, Satoru; Nagata, Shin' ichi; Morita, Satoshi; Nishida, Keisuke; Shibata, Kazunari [Kwasan and Hida Observatories, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Shiota, Daikou [Advanced Science Institute, RIKEN, Wako, Saitama 351-0198 (Japan); Oi, Akihito [College of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Akioka, Maki, E-mail: asai@kwasan.kyoto-u.ac.jp [Hiraiso Solar Observatory, National Institute of Information and Communications Technology, Hitachinaka, Ibaraki 311-1202 (Japan)

    2012-02-15

    We report on the first simultaneous observation of an H{alpha} Moreton wave, the corresponding EUV fast coronal waves, and a slow and bright EUV wave (typical EIT wave). We observed a Moreton wave, associated with an X6.9 flare that occurred on 2011 August 9 at the active region NOAA 11263, in the H{alpha} images taken by the Solar Magnetic Activity Research Telescope at Hida Observatory of Kyoto University. In the EUV images obtained by the Atmospheric Imaging Assembly on board the Solar Dynamic Observatory we found not only the corresponding EUV fast 'bright' coronal wave, but also the EUV fast 'faint' wave that is not associated with the H{alpha} Moreton wave. We also found a slow EUV wave, which corresponds to a typical EIT wave. Furthermore, we observed, for the first time, the oscillations of a prominence and a filament, simultaneously, both in the H{alpha} and EUV images. To trigger the oscillations by the flare-associated coronal disturbance, we expect a coronal wave as fast as the fast-mode MHD wave with the velocity of about 570-800 km s{sup -1}. These velocities are consistent with those of the observed Moreton wave and the EUV fast coronal wave.

  18. Well-defined EUV wave associated with a CME-driven shock

    Science.gov (United States)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  19. Performance of one hundred watt HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-03-01

    We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - "GL200E". This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.

  20. Ultra-high accuracy optical testing: creating diffraction-limitedshort-wavelength optical systems

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick P.; Rekawa, Senajith B.; Denham, Paul E.; Liddle, J. Alexander; Gullikson, Eric M.; Jackson, KeithH.; Anderson, Erik H.; Taylor, John S.; Sommargren, Gary E.; Chapman,Henry N.; Phillion, Donald W.; Johnson, Michael; Barty, Anton; Soufli,Regina; Spiller, Eberhard A.; Walton, Christopher C.; Bajt, Sasa

    2005-08-03

    Since 1993, research in the fabrication of extreme ultraviolet (EUV) optical imaging systems, conducted at Lawrence Berkeley National Laboratory (LBNL) and Lawrence Livermore National Laboratory (LLNL), has produced the highest resolution optical systems ever made. We have pioneered the development of ultra-high-accuracy optical testing and alignment methods, working at extreme ultraviolet wavelengths, and pushing wavefront-measuring interferometry into the 2-20-nm wavelength range (60-600 eV). These coherent measurement techniques, including lateral shearing interferometry and phase-shifting point-diffraction interferometry (PS/PDI) have achieved RMS wavefront measurement accuracies of 0.5-1-{angstrom} and better for primary aberration terms, enabling the creation of diffraction-limited EUV optics. The measurement accuracy is established using careful null-testing procedures, and has been verified repeatedly through high-resolution imaging. We believe these methods are broadly applicable to the advancement of short-wavelength optical systems including space telescopes, microscope objectives, projection lenses, synchrotron beamline optics, diffractive and holographic optics, and more. Measurements have been performed on a tunable undulator beamline at LBNL's Advanced Light Source (ALS), optimized for high coherent flux; although many of these techniques should be adaptable to alternative ultraviolet, EUV, and soft x-ray light sources. To date, we have measured nine prototype all-reflective EUV optical systems with NA values between 0.08 and 0.30 (f/6.25 to f/1.67). These projection-imaging lenses were created for the semiconductor industry's advanced research in EUV photolithography, a technology slated for introduction in 2009-13. This paper reviews the methods used and our program's accomplishments to date.

  1. High-cadence, High-resolution Spectroscopic Observations of Herbig Stars HD 98922 and V1295 Aquila

    Energy Technology Data Exchange (ETDEWEB)

    Aarnio, Alicia N.; Monnier, John D.; Calvet, Nuria; Che, Xiao [Department of Astronomy, University of Michigan, 311 West Hall, 1085 S. University Avenue, Ann Arbor, MI 48109 (United States); Harries, Tim J.; Kraus, Stefan; Acreman, David [Department of Physics and Astronomy, University of Exeter, Stocker Road, Exeter EX4 4QL (United Kingdom)

    2017-10-10

    Recent observational work has indicated that mechanisms for accretion and outflow in Herbig Ae/Be star–disk systems may differ from magnetospheric accretion (MA) as it is thought to occur in T Tauri star–disk systems. In this work, we assess the temporal evolution of spectral lines probing accretion and mass loss in Herbig Ae/Be systems and test for consistency with the MA paradigm. For two Herbig Ae/Be stars, HD 98922 (B9e) and V1295 Aql (A2e), we have gathered multi-epoch (∼years) and high-cadence (∼minutes) high-resolution optical spectra to probe a wide range of kinematic processes. Employing a line equivalent width evolution correlation metric introduced here, we identify species co-evolving (indicative of common line origin) via novel visualization. We interferometrically constrain often problematically degenerate parameters, inclination and inner-disk radius, allowing us to focus on the structure of the wind, magnetosphere, and inner gaseous disk in radiative transfer models. Over all timescales sampled, the strongest variability occurs within the blueshifted absorption components of the Balmer series lines; the strength of variability increases with the cadence of the observations. Finally, high-resolution spectra allow us to probe substructure within the Balmer series’ blueshifted absorption components: we observe static, low-velocity features and time-evolving features at higher velocities. Overall, we find the observed line morphologies and variability are inconsistent with a scaled-up T Tauri MA scenario. We suggest that as magnetic field structure and strength change dramatically with increasing stellar mass from T Tauri to Herbig Ae/Be stars, so too may accretion and outflow processes.

  2. High-cadence, High-resolution Spectroscopic Observations of Herbig Stars HD 98922 and V1295 Aquila

    International Nuclear Information System (INIS)

    Aarnio, Alicia N.; Monnier, John D.; Calvet, Nuria; Che, Xiao; Harries, Tim J.; Kraus, Stefan; Acreman, David

    2017-01-01

    Recent observational work has indicated that mechanisms for accretion and outflow in Herbig Ae/Be star–disk systems may differ from magnetospheric accretion (MA) as it is thought to occur in T Tauri star–disk systems. In this work, we assess the temporal evolution of spectral lines probing accretion and mass loss in Herbig Ae/Be systems and test for consistency with the MA paradigm. For two Herbig Ae/Be stars, HD 98922 (B9e) and V1295 Aql (A2e), we have gathered multi-epoch (∼years) and high-cadence (∼minutes) high-resolution optical spectra to probe a wide range of kinematic processes. Employing a line equivalent width evolution correlation metric introduced here, we identify species co-evolving (indicative of common line origin) via novel visualization. We interferometrically constrain often problematically degenerate parameters, inclination and inner-disk radius, allowing us to focus on the structure of the wind, magnetosphere, and inner gaseous disk in radiative transfer models. Over all timescales sampled, the strongest variability occurs within the blueshifted absorption components of the Balmer series lines; the strength of variability increases with the cadence of the observations. Finally, high-resolution spectra allow us to probe substructure within the Balmer series’ blueshifted absorption components: we observe static, low-velocity features and time-evolving features at higher velocities. Overall, we find the observed line morphologies and variability are inconsistent with a scaled-up T Tauri MA scenario. We suggest that as magnetic field structure and strength change dramatically with increasing stellar mass from T Tauri to Herbig Ae/Be stars, so too may accretion and outflow processes.

  3. EUV sources for the alpha-tools

    Science.gov (United States)

    Pankert, Joseph; Apetz, Rolf; Bergmann, Klaus; Damen, Marcel; Derra, Günther; Franken, Oliver; Janssen, Maurice; Jonkers, Jeroen; Klein, Jürgen; Kraus, Helmar; Krücken, Thomas; List, Andreas; Loeken, Micheal; Mader, Arnaud; Metzmacher, Christof; Neff, Willi; Probst, Sven; Prümmer, Ralph; Rosier, Oliver; Schwabe, Stefan; Seiwert, Stefan; Siemons, Guido; Vaudrevange, Dominik; Wagemann, Dirk; Weber, Achim; Zink, Peter; Zitzen, Oliver

    2006-03-01

    In this paper, we report on the recent progress of the Philips Extreme UV source. The Philips source concept is based on a discharge plasma ignited in a Sn vapor plume that is ablated by a laser pulse. Using rotating electrodes covered with a regenerating tin surface, the problems of electrode erosion and power scaling are fundamentally solved. Most of the work of the past year has been dedicated to develop a lamp system which is operating very reliably and stable under full scanner remote control. Topics addressed were the development of the scanner interface, a dose control system, thermo-mechanical design, positional stability of the source, tin handling, and many more. The resulting EUV source-the Philips NovaTin(R) source-can operate at more than 10kW electrical input power and delivers 200W in-band EUV into 2π continuously. The source is very small, so nearly 100% of the EUV radiation can be collected within etendue limits. The lamp system is fully automated and can operate unattended under full scanner remote control. 500 Million shots of continuous operation without interruption have been realized, electrode lifetime is at least 2 Billion shots. Three sources are currently being prepared, two of them will be integrated into the first EUV Alpha Demonstration tools of ASML. The debris problem was reduced to a level which is well acceptable for scanner operation. First, a considerable reduction of the Sn emission of the source has been realized. The debris mitigation system is based on a two-step concept using a foil trap based stage and a chemical cleaning stage. Both steps were improved considerably. A collector lifetime of 1 Billion shots is achieved, after this operating time a cleaning would be applied. The cleaning step has been verified to work with tolerable Sn residues. From the experimental results, a total collector lifetime of more than 10 Billion shots can be expected.

  4. Far Infrared High Resolution Synchrotron FTIR Spectroscopy of the Low Frequency Bending Modes of Dmso

    Science.gov (United States)

    Cuisset, Arnaud; Smirnova, Irina; Bocquet, Robin; Hindle, Francis; Mouret, Gael; Sadovskii, Dmitrii A.; Pirali, Olivier; Roy, Pascale

    2010-06-01

    In addition to its importance for industrial and environmental studies, the monitoring of DiMethylSulfOxyde (DMSO, (CH_3)_2SO) concentrations is of considerable interest for civil protection. The existing high resolution gas phase spectroscopic data of DMSO only concerned the pure rotational transitions in the ground state. In the Far-IR domain, the low-frequency rovibrational transitions have never previously resolved. The high brightness of the AILES beamline of the synchrotron SOLEIL and the instrumental sensitivity provided by the multipass cell allowed to measure for the first time these transitions. 1581 A-type and C-type transitions in the ν11 band have been assigned and 25 molecular constants of Watson's s-form hamiltonian developed to degree 8 have been fitted within the experimental accuracy. The use of then synchrotron radiation has opened many possibilities for new spectroscopic studies. Together with several other recent studies, our successful measurement and analysis of DMSO convincingly demonstrates the potential of the AILES beamline for high resolution FIR spectroscopy. Thus our present work is just at the beginning of unraveling the rovibrational structure of low frequency bending and torsional vibrational states of DMSO and yielding important comprehensive structural and spectroscopic information on this molecule. L. Margules, R. A. Motienko, E. A. Alekseev, J. Demaison, J. Molec. Spectrosc., 260(23),2009 V. Typke, M. Dakkouri, J. Molec. Struct., 599(177),2001 A. Cuisset, L. Nanobashvili, I. Smirnova, R. Bocquet, F. Hindle, G. Mouret, O. Pirali, P. Roy, D. Sadovskii, Chem. Phys. Lett., accepted for publication

  5. The development of high-resolution spectroscopic methods and their use in atomic structure studies

    International Nuclear Information System (INIS)

    Poulsen, O.

    1984-01-01

    This thesis discusses work performed during the last nine years in the field of atomic spectroscopy. Several high-resolution techniques, ranging from quantum beats, level crossings, rf-laser double resonances to nonlinear field atom interactions, have been employed. In particular, these methods have been adopted and developed to deal with fast accelerated atomic or ionic beams, allowing studies of problems in atomic-structure theory. Fine- and hyperfine-structure determinations in the He I and Li I isoelectronic sequences, in 51 V I, and in 235 U I, II have permitted a detailed comparison with ab initio calculations, demonstrating the change in problems when going towards heavier elements or higher ionization stage. The last part of the thesis is concerned with the fundamental question of obtaining very high optical resolution in the interaction between a fast accelerated atom or ion beam and a laser field, this problem being the core in the continuing development of atomic spectroscopy necessary to challenge the more precise and sophisticated theories advanced. (Auth.)

  6. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    Science.gov (United States)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  7. Extreme ultraviolet (EUV) degradation of poly(olefin sulfone)s: Towards applications as EUV photoresists

    International Nuclear Information System (INIS)

    Lawrie, Kirsten; Blakey, Idriss; Blinco, James; Gronheid, Roel; Jack, Kevin; Pollentier, Ivan; Leeson, Michael J.; Younkin, Todd R.; Whittaker, Andrew K.

    2011-01-01

    Poly(olefin sulfone)s, formed by the reaction of sulfur dioxide (SO 2 ) and an olefin, are known to be highly susceptible to degradation by radiation and thus have been identified as candidate materials for chain scission-based extreme ultraviolet lithography (EUVL) resist materials. In order to investigate this further, the synthesis and characterisation of two poly(olefin sulfone)s namely poly(1-pentene sulfone) (PPS) and poly(2-methyl-1-pentene sulfone) (PMPS), was achieved and the two materials were evaluated for possible chain scission EUVL resist applications. It was found that both materials possess high sensitivities to EUV photons; however; the rates of outgassing were extremely high. The only observed degradation products were found to be SO 2 and the respective olefin suggesting that depolymerisation takes place under irradiation in a vacuum environment. In addition to depolymerisation, a concurrent conversion of SO 2 moieties to a sulfide phase was observed using XPS.

  8. Detecting EUV transients in near real time with ALEXIS

    Energy Technology Data Exchange (ETDEWEB)

    Roussel-Dupre`, D.; Bloch, J.J.; Theiler, J.; Pfafman, T.; Beauchesne, B.

    1995-12-31

    The Array of Low Energy X-ray Imaging Sensors (ALEXIS) experiment consists of a mini-satellite containing six wide angle EUV/ultrasoft X-ray telescopes (Priedhorsky et al. 1989, and Bloch et al. 1994). Its scientific objective is to map out the sky in three narrow ({Delta}E/E {approx} 5%) bandpasses around 66, 71, and 93 eV. During each 50 second satellite rotation period the six telescopes, each with a 30{degrees} field, of:view and a spatial resolution of 0.25{degrees}, scan most of the antisolar hemisphere of the sky. The project is a collaborative effort between Los Alamos National Laboratory, Sandia National Laboratory, and the University of California-Berkeley Space Sciences Laboratory. It is controlled entirely from a small ground station located at Los Alamos. The mission was launched on a Pegasus Air Launched Vehicle on April 25, 1993. An incident at launch delayed our ability to properly analyze the data until November of 1994. In January of 1995, we brought on line automated software to routinely carry out the transient search. After the data is downlinked from the satellite, the software processes and transforms it into sky maps that are automatically searched for new sources. The software then sends the results of these searches by e-mail to the science team within two hours of the downlink. This system has successfully detected the Cataclysmic Variables VW Hyi, U Gem and AR UMa in outburst, and has detected at least two unidentified short duration EUV transients (Roussel-Dupre et al 1995, Roussel-Dupre 1995).

  9. Anti­-parallel Filament Flows and Bright Dots Observed in the EUV with Hi-­C

    Science.gov (United States)

    Alexander, Caroline E.; Regnier, Stephane; Walsh, Robert; Winebarger, Amy

    2013-01-01

    Hi-C obtained the highest spatial and temporal resolution observations ever taken in the solar EUV corona. Hi-C reveals dynamics and structure at the limit of its temporal and spatial resolution. Hi-C observed various fine-scale features that SDO/AIA could not pick out. For the first time in the corona, Hi-C revealed magnetic braiding and component reconnection consistent with coronal heating. Hi-C shows evidence of reconnection and heating in several different regions and magnetic configurations with plasma being heated to 0.3 - 8 x 10(exp 6) K temperatures. Surprisingly, many of the first results highlight plasma at temperatures that are not at the peak of the response functions.

  10. System integration and performance of the EUV engineering test stand

    International Nuclear Information System (INIS)

    Tichenor, Daniel A.; Ray-Chaudhuri, Avijit K.; Replogle, William C.; Stulen, Richard H.; Kubiak, Glenn D.; Rockett, Paul D.; Klebanoff, Leonard E.; Jefferson, Karen L.; Leung, Alvin H.; Wronosky, John B.; Hale, Layton C.; Chapman, Henry N.; Taylor, John S.; Folta, James A.; Montcalm, Claude; Soufli, Regina; Spiller, Eberhard; Blaedel, Kenneth; Sommargren, Gary E.; Sweeney, Donald W.; Naulleau, Patrick; Goldberg, Kenneth A.; Gullikson, Eric M.; Bokor, Jeffrey; Batson, Phillip J.; Attwood, David T.; Jackson, Keith H.; Hector, Scott D.; Gwyn, Charles W.; Yan, Pei-Yang; Yan, P.

    2001-01-01

    The Engineering Test Stand (ETS) is a developmental lithography tool designed to demonstrate full-field EUV imaging and provide data for commercial-tool development. In the first phase of integration, currently in progress, the ETS is configured using a developmental projection system, while fabrication of an improved projection system proceeds in parallel. The optics in the second projection system have been fabricated to tighter specifications for improved resolution and reduced flare. The projection system is a 4-mirror, 4x-reduction, ring-field design having a numeral aperture of 0.1, which supports 70 nm resolution at a k 1 of 0.52. The illuminator produces 13.4 nm radiation from a laser-produced plasma, directs the radiation onto an arc-shaped field of view, and provides an effective fill factor at the pupil plane of 0.7. The ETS is designed for full-field images in step-and-scan mode using vacuum-compatible, magnetically levitated, scanning stages. This paper describes system performance observed during the first phase of integration, including static resist images of 100 nm isolated and dense features

  11. Roughness characterization of EUV multilayer coatings and ultra-smooth surfaces by light scattering

    Science.gov (United States)

    Trost, M.; Schröder, S.; Lin, C. C.; Duparré, A.; Tünnermann, A.

    2012-09-01

    Optical components for the extreme ultraviolet (EUV) face stringent requirements for surface finish, because even small amounts of surface and interface roughness can cause significant scattering losses and impair image quality. In this paper, we investigate the roughness evolution of Mo/Si multilayers by analyzing the scattering behavior at a wavelength of 13.5 nm as well as taking atomic force microscopy (AFM) measurements before and after coating. Furthermore, a new approach to measure substrate roughness is presented, which is based on light scattering measurements at 405 nm. The high robustness and sensitivity to roughness of this method are illustrated using an EUV mask blank with a highspatial frequency roughness of as low as 0.04 nm.

  12. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  13. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Huadong; Zhang, Jun; Ma, Suli [Key Laboratory of Solar Activity, National Astronomical Observatories, Chinese Academy of Sciences, Beijing 100012 (China); Yan, Xiaoli [Yunnan Observatories, Chinese Academy of Sciences, Kunming 650011 (China); Xue, Jianchao, E-mail: hdchen@nao.cas.cn, E-mail: zjun@nao.cas.cn [Key Laboratory for Dark Matter and Space Science, Purple Mountain Observatory, Chinese Academy of Sciences, Nanjing 210008 (China)

    2017-05-20

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s{sup −1}. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  14. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    International Nuclear Information System (INIS)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-01-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s −1 . During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  15. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Science.gov (United States)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-05-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19-20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ˜280 km s-1. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ˜8 G.

  16. Studies of fission fragment yields via high-resolution γ-ray spectroscopy

    Science.gov (United States)

    Wilson, J. N.; Lebois, M.; Qi, L.; Amador-Celdran, P.; Bleuel, D.; Briz, J. A.; Carroll, R.; Catford, W.; Witte, H. De; Doherty, D. T.; Eloirdi, R.; Georgiev, G.; Gottardo, A.; Goasduff, A.; Hadyñska-Klek, K.; Hauschild, K.; Hess, H.; Ingeberg, V.; Konstantinopoulos, T.; Ljungvall, J.; Lopez-Martens, A.; Lorusso, G.; Lozeva, R.; Lutter, R.; Marini, P.; Matea, I.; Materna, T.; Mathieu, L.; Oberstedt, A.; Oberstedt, S.; Panebianco, S.; Podolyak, Zs.; Porta, A.; Regan, P. H.; Reiter, P.; Rezynkina, K.; Rose, S. J.; Sahin, E.; Seidlitz, M.; Serot, O.; Shearman, R.; Siebeck, B.; Siem, S.; Smith, A. G.; Tveten, G. M.; Verney, D.; Warr, N.; Zeiser, F.; Zielinska, M.

    2018-03-01

    Precise spectroscopic information on the fast neutron induced fission of the 238U(n,f) reaction was recently gained using a new technique which involved coupling of the Miniball high resolution y-ray spectrometer and the LICORNE directional neutron source. The experiment allowed measurement of the isotopic fission yields for around 40 even-even nuclei at an incident neutron energy of around 2 MeV where yield data are very sparse. In addition spectroscopic information on very neutron-rich fission products was obtained. Results were compared to models, both the JEFF-3.1.1 data base and the GEF code, and large discrepancies for the S1 fission mode in the Sn/Mo isotope pair were discovered. This suggests that current models are overestimating the role played by spherical shell effects in fast neutron induced fission. In late 2017 and 2018 the nu-ball hybrid spectrometer will be constructed at the IPN Orsay to perform further experimental investigations with directional neutrons coupled to a powerful hybrid Ge/LaBr3 detector array. This will open up new possibilities for measurements of fission yields for fast-neutron-induced fission using the spectroscopic technique and will be complimentary to other methods being developed.

  17. Studies of fission fragment yields via high-resolution γ-ray spectroscopy

    Directory of Open Access Journals (Sweden)

    Wilson J.N.

    2018-01-01

    Full Text Available Precise spectroscopic information on the fast neutron induced fission of the 238U(n,f reaction was recently gained using a new technique which involved coupling of the Miniball high resolution y-ray spectrometer and the LICORNE directional neutron source. The experiment allowed measurement of the isotopic fission yields for around 40 even-even nuclei at an incident neutron energy of around 2 MeV where yield data are very sparse. In addition spectroscopic information on very neutron-rich fission products was obtained. Results were compared to models, both the JEFF-3.1.1 data base and the GEF code, and large discrepancies for the S1 fission mode in the Sn/Mo isotope pair were discovered. This suggests that current models are overestimating the role played by spherical shell effects in fast neutron induced fission. In late 2017 and 2018 the nu-ball hybrid spectrometer will be constructed at the IPN Orsay to perform further experimental investigations with directional neutrons coupled to a powerful hybrid Ge/LaBr3 detector array. This will open up new possibilities for measurements of fission yields for fast-neutron-induced fission using the spectroscopic technique and will be complimentary to other methods being developed.

  18. Characterization of EUV induced carbon films using laser-generated surface acoustic waves

    NARCIS (Netherlands)

    Chen, Juequan; Lee, Christopher James; Louis, Eric; Bijkerk, Frederik; Kunze, Reinhard; Schmidt, Hagen; Schneider, Dieter; Moors, Roel

    2009-01-01

    The deposition of carbon layers on the surfaces of optics exposed to extreme ultraviolet (EUV) radiation has been observed in EUV lithography. It has become of critical importance to detect the presence of the carbon layer in the order of nanometer thickness due to carbon's extremely strong

  19. EUV stimulated emission from MgO pumped by FEL pulses

    Directory of Open Access Journals (Sweden)

    Philippe Jonnard

    2017-09-01

    Full Text Available Stimulated emission is a fundamental process in nature that deserves to be investigated and understood in the extreme ultra-violet (EUV and x-ray regimes. Today, this is definitely possible through high energy density free electron laser (FEL beams. In this context, we give evidence for soft-x-ray stimulated emission from a magnesium oxide solid target pumped by EUV FEL pulses formed in the regime of travelling-wave amplified spontaneous emission in backward geometry. Our results combine two effects separately reported in previous works: emission in a privileged direction and existence of a material-dependent threshold for the stimulated emission. We develop a novel theoretical framework, based on coupled rate and transport equations taking into account the solid-density plasma state of the target. Our model accounts for both observed mechanisms that are the privileged direction for the stimulated emission of the Mg L2,3 characteristic emission and the pumping threshold.

  20. Spectroscopic Characterization of GEO Satellites with Gunma LOW Resolution Spectrograph

    Science.gov (United States)

    Endo, T.; Ono, H.; Hosokawa, M.; Ando, T.; Takanezawa, T.; Hashimoto, O.

    The spectroscopic observation is potentially a powerful tool for understanding the Geostationary Earth Orbit (GEO) objects. We present here the results of an investigation of energy spectra of GEO satellites obtained from a groundbased optical telescope. The spectroscopic observations were made from April to June 2016 with the Gunma LOW resolution Spectrograph and imager (GLOWS) at the Gunma Astronomical Observatory (GAO) in JAPAN. The observation targets consist of eleven different satellites: two weather satellites, four communications satellites, and five broadcasting satellites. All the spectra of those GEO satellites are inferred to be solar-like. A number of well-known absorption features such as H-alpha, H-beta, Na-D,water vapor and oxygen molecules are clearly seen in thewavelength range of 4,000 - 8,000 Å. For comparison, we calculated the intensity ratio of the spectra of GEO satellites to that of the Moon which is the natural satellite of the earth. As a result, the following characteristics were obtained. 1) Some variations are seen in the strength of absorption features of water vapor and oxygen originated by the telluric atmosphere, but any other characteristic absorption features were not found. 2) For all observed satellites, the intensity ratio of the spectrum of GEO satellites decrease as a function of wavelength or to be flat. It means that the spectral reflectance of satellite materials is bluer than that of the Moon. 3) A characteristic dip at around 4,800 Å is found in all observed spectra of a weather satellite. Based on these observations, it is indicated that the characteristics of the spectrum are mainly derived from the solar panels because the apparent area of the solar cell is probably larger than that of the satellite body.

  1. A spectroscopic census in young stellar regions: the σ Orionis cluster

    Energy Technology Data Exchange (ETDEWEB)

    Hernández, Jesús; Perez, Alice; Hernan, Ramírez [Centro de Investigaciones de Astronomía, Apdo. Postal 264, Mérida 5101-A (Venezuela, Bolivarian Republic of); Calvet, Nuria; Hartmann, Lee [Department of Astronomy, University of Michigan, 500 Church Street, Ann Arbor, MI 48109 (United States); Briceño, Cesar [Cerro Tololo Interamerican Observatory, Casilla 603, La Serena (Chile); Olguin, Lorenzo [Depto. de Investigación en Física, Universidad de Sonora, Sonora (Mexico); Contreras, Maria E. [Instituto de Astronomía, Universidad Nacional Autónoma de México, Ensenada, BC (Mexico); Allen, Lori [National Optical Astronomy Observatory, 950 North Cherry Avenue, Tucson, AZ 85719 (United States); Espaillat, Catherine, E-mail: hernandj@cida.ve [Department of Astronomy, Boston University, 725 Commonwealth Avenue, Boston, MA 02215 (United States)

    2014-10-10

    We present a spectroscopic survey of the stellar population of the σ Orionis cluster. We have obtained spectral types for 340 stars. Spectroscopic data for spectral typing come from several spectrographs with similar spectroscopic coverage and resolution. More than half of the stars in our sample are members confirmed by the presence of lithium in absorption, strong Hα in emission or weak gravity-sensitive features. In addition, we have obtained high-resolution (R ∼ 34,000) spectra in the Hα region for 169 stars in the region. Radial velocities were calculated from this data set. The radial velocity distribution for members of the cluster is in agreement with previous work. Analysis of the profile of the Hα line and infrared observations reveals two binary systems or fast rotators that mimic the Hα width expected in stars with accretion disks. On the other hand, there are stars with optically thick disks and narrow Hα profiles not expected in stars with accretion disks. This contribution constitutes the largest homogeneous spectroscopic data set of the σ Orionis cluster to date.

  2. Coded aperture detector: an image sensor with sub 20-nm pixel resolution.

    Science.gov (United States)

    Miyakawa, Ryan; Mayer, Rafael; Wojdyla, Antoine; Vannier, Nicolas; Lesser, Ian; Aron-Dine, Shifrah; Naulleau, Patrick

    2014-08-11

    We describe the coded aperture detector, a novel image sensor based on uniformly redundant arrays (URAs) with customizable pixel size, resolution, and operating photon energy regime. In this sensor, a coded aperture is scanned laterally at the image plane of an optical system, and the transmitted intensity is measured by a photodiode. The image intensity is then digitally reconstructed using a simple convolution. We present results from a proof-of-principle optical prototype, demonstrating high-fidelity image sensing comparable to a CCD. A 20-nm half-pitch URA fabricated by the Center for X-ray Optics (CXRO) nano-fabrication laboratory is presented that is suitable for high-resolution image sensing at EUV and soft X-ray wavelengths.

  3. Absolute calibration of a SPRED [Spectrometer Recording Extended Domain] EUV [extreme ultraviolet] spectrograph for use on the DIII-D tokamak

    International Nuclear Information System (INIS)

    Wood, R.D.; Allen, S.L.

    1988-01-01

    We have performed an absolute intensity calibration of a SPRED multichannel EUV spectrograph using synchrotron radiation from the NBS SURF-II electron storage ring. The calibration procedure and results for both a survey grating (450 g/mm) and a high-resolution (2100 g/mm) grating are presented. The spectrograph is currently in use on the DIII-D tokamak with a tangential line-of-sight at the plasma midplane. Data is first acquired and processed by a microcomputer; the absolute line intensities are then sent to the DIII-D database for comparison with data from other diagnostics. Representative data from DIII-D plasma operations will be presented. 6 refs., 3 figs., 1 tab

  4. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    Science.gov (United States)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  5. Silicon drift detectors for high resolution room temperature X-ray spectroscopy

    International Nuclear Information System (INIS)

    Lechner, P.; Eckbauer, S.; Hauff, D.; Strueder, L.; Gatti, E.; Longoni, A.; Sampietro, M.

    1996-01-01

    New cylindrical silicon drift detectors have been designed, fabricated and tested. They comprise an integrated on-chip amplifier system with continuous reset, on-chip voltage divider, electron accumulation layer stabilizer, large area, homogeneous radiation entrance window and a drain for surface generated leakage current. The test of the 3.5 mm 2 large individual devices, which have also been grouped together to form a sensitive area up to 21 mm 2 have shown the following spectroscopic results: at room temperature (300 K) the devices have shown a full width at half maximum at the Mn Kα line of a radioactive 55 Fe source of 225 eV with shaping times of 250 to 500 ns. At -20 C the resolution improves to 152 eV at 2 μs Gaussian shaping. At temperatures below 200 K the energy resolution is below 140 eV. With the implementation of a digital filtering system the resolution approaches 130 eV. The system was operated with count rates up to 800 000 counts per second and per readout node, still conserving the spectroscopic qualities of the detector system. (orig.)

  6. High Resolution Infrared Spectroscopy in Astronomy Proceedings of an ESO Workshop Held at Garching, Germany, 18-21 November 2003

    CERN Document Server

    Käufl, Hans Ulrich; Moorwood, Alan F. M

    2005-01-01

    Two specialized new instruments for ESO's VLT, VISIR and CRIRES, spawned the idea for this workshop. CRIRES is a dedicated very high resolution infrared spectrograph; VISIR features a high resolution spectroscopic mode. Together, the instruments combine the sensitivity of an 8m-telescope with the now well-established reliability of VLT-facility instruments. High resolution here means that lines in cool stellar atmospheres and HII-regions can be resolved. The astrophysical topics discussed in this rather specialized workshop range from the inner solar system to active galactic nuclei. There are many possibilities for new discoveries with these instruments, but the unique capability, which becomes available through high-resolution infrared spectroscopy, is the observation of molecular rotational-vibrational transitions in many astrophysical environments. Particularly interesting and surprising in this context, many papers on modeling and laboratory spectroscopy at the workshop appear to indicate that astronomic...

  7. Studies of EUV contamination mitigation

    Science.gov (United States)

    Graham, Samual, Jr.; Malinowski, Michael E.; Steinhaus, Chip; Grunow, Philip A.; Klebanoff, Leonard E.

    2002-07-01

    Carbon contamination removal was investigated using remote RF-O2, RF-H2, and atomic hydrogen experiments. Samples consisted of silicon wafers coated with 100 Angstrom sputtered carbon, as well as bare Si-capped Mo/Si optics. Samples were exposed to atomic hydrogen or RF plasma discharges at 100 W, 200 W, and 300 W. Carbon removal rate, optic oxidation rate, at-wavelength (13.4 nm) peak reflectance, and optic surface roughness were characterized. Data show that RF- O2 removes carbon at a rate approximately 6 times faster RF- H2 for a given discharge power. However, both cleaning techniques induce Mo/Si optic degradation through the loss of reflectivity associated with surface oxide growth for RF-O2 and an unknown mechanism with hydrogen cleaning. Atomic hydrogen cleaning shows carbon removal rates sufficient for use as an in-situ cleaning strategy for EUVoptics with less risk of optic degradation from overexposures than RF-discharge cleaning. While hydrogen cleaning (RF and atomic) of EUV optics has proven effective in carbon removal, attempts to dissociate hydrogen in co-exposures with EUV radiation have resulted in no detectable removal of carbon contamination.

  8. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    Science.gov (United States)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  9. EUV-VUV photochemistry in the upper atmospheres of Titan and the early Earth

    Science.gov (United States)

    Imanaka, H.; Smith, M. A.

    2010-12-01

    Titan, the organic-rich moon of Saturn, possesses a thick atmosphere of nitrogen, globally covered with organic haze layers. The recent Cassini’s INMS and CAPS observations clearly demonstrate the importance of complex organic chemistry in the ionosphere. EUV photon radiation is the major driving energy source there. Our previous laboratory study of the EUV-VUV photolysis of N2/CH4 gas mixtures demonstrates a unique role of nitrogen photoionization in the catalytic formation of complex hydrocarbons in Titan’s upper atmosphere (Imanaka and Smith, 2007, 2009). Such EUV photochemistry could also have played important roles in the formation of complex organic molecules in the ionosphere of the early Earth. It has been suggested that the early Earth atmosphere may have contained significant amount of reduced species (CH4, H2, and CO) (Kasting, 1990, Pavlov et al., 2001, Tian et al., 2005). Recent experimental study, using photon radiation at wavelengths longer than 110 nm, demonstrates that photochemical organic haze could have been generated from N2/CO2 atmospheres with trace amounts of CH4 or H2 (Trainer et al., 2006, Dewitt et al., 2009). However, possible EUV photochemical processes in the ionosphere are not well understood. We have investigated the effect of CO2 in the possible EUV photochemical processes in simulated reduced early Earth atmospheres. The EUV-VUV photochemistry using wavelength-tunable synchrotron light between 50 - 150 nm was investigated for gas mixtures of 13CO2/CH4 (= 96.7/3.3) and N2/13CO2/CH4 (= 90/6.7/3.3). The onsets of unsaturated hydrocarbon formation were observed at wavelengths shorter than the ionization potentials of CO2 and N2, respectively. This correlation indicates that CO2 can play a similar catalytic role to N2 in the formation of heavy organic species, which implies that EUV photochemistry might have significant impact on the photochemical generation of organic haze layers in the upper atmosphere of the early Earth.

  10. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    Science.gov (United States)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  11. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing; Chakrabarty, Souvik; Yu, Mufei; Ober, Christopher K.

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have

  12. Design decisions from the history of the EUVE science payload

    Science.gov (United States)

    Marchant, W.

    1993-01-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  13. The inner-relationship of hard X-ray and EUV bursts during solar flares

    International Nuclear Information System (INIS)

    Emslie, A.G.; Brown, J.C.; Donnelly, R.F.

    1978-01-01

    A comparison is made between the flux-versus-time profile in the EUV band and the thick target electron flux profile as inferred from hard X-rays for a number of moderately large solar flares. This complements Kane and Donnelly's (1971) study of small flares. The hard X-ray data are from ESRO TD-1A and the EUV inferred from SFD observations. Use of a chi 2 minimising method shows that the best overall fit between the profile fine structures obtains for synchronism to < approximately 5 s which is within the timing accuracy. This suggests that neither conduction nor convection is fast enough as the primary mechanism of energy transport into the EUV flare and rather favours heating by the electrons themselves or by some MHD wave process much faster than acoustic waves. The electron power deposited, for a thick target model, is however far greater than the EUV luminosity for any reasonable assumptions about the area and depth over which EUV is emitted. This means that either most of the power deposited is conducted away to the optical flare or that only a fraction < approximately 1-10% of the X-ray emitting electrons are injected downwards. Recent work on Hα flare heating strongly favours the latter alternative - i.e. that electrons are mostly confined in the corona. (Auth.)

  14. THE PROMPT, HIGH-RESOLUTION SPECTROSCOPIC VIEW OF THE 'NAKED-EYE' GRB080319B

    International Nuclear Information System (INIS)

    D'Elia, V.; Fiore, F.; Nicastro, F.; Antonelli, L. A.; Guetta, D.; Perna, R.; Lazzati, D.; Krongold, Y.; Covino, S.; Fugazza, D.; Campana, S.; Chincarini, G.; D'Avanzo, P.; Guidorzi, C.; Molinari, E.; Valle, M. Della; Goldoni, P.; Meurs, E. J. A.; Mirabel, F.; Norci, L.

    2009-01-01

    GRB080319B reached fifth optical magnitude during the burst prompt emission. Thanks to the Very Large Telescope (VLT)/Ultraviolet and Visual Echelle Spectrograph (UVES) rapid response mode, we observed its afterglow just 8m:30s after the gamma-ray burst (GRB) onset when the magnitude was R ∼ 12. This allowed us to obtain the best signal-to-noise (S/N), high-resolution spectrum of a GRB afterglow ever (S/N per resolution element ∼50). The spectrum is rich of absorption features belonging to the main system at z = 0.937, divided in at least six components spanning a total velocity range of 100 km s -1 . The VLT/UVES observations caught the absorbing gas in a highly excited state, producing the strongest Fe II fine structure lines ever observed in a GRB. A few hours later, the optical depth of these lines was reduced by a factor of 4-20, and the optical/UV flux by a factor of ∼60. This proves that the excitation of the observed fine structure lines is due to 'pumping' by the GRB UV photons. A comparison of the observed ratio between the number of photons absorbed by the excited state and those in the Fe II ground state suggests that the six absorbers are ∼2-6 kpc from the GRB site, with component I ∼ 3 times closer to the GRB site than components III-VI. Component I is characterized also by the lack of Mg I absorption, unlike all other components. This may be both due to a closer distance and a lower density, suggesting a structured interstellar matter in this galaxy complex.

  15. Compact and Light-Weight Solar Spaceflight Instrument Designs Utilizing Newly Developed Miniature Free-Standing Zone Plates: EUV Radiometer and Limb-Scanning Monochromator

    Science.gov (United States)

    Seely, J. F.; McMullin, D. R.; Bremer, J.; Chang, C.; Sakdinawat, A.; Jones, A. R.; Vest, R.

    2014-12-01

    Two solar instrument designs are presented that utilize newly developed miniature free-standing zone plates having interconnected Au opaque bars and no support membrane resulting in excellent long-term stability in space. Both instruments are based on a zone plate having 4 mm outer diameter and 1 to 2 degree field of view. The zone plate collects EUV radiation and focuses a narrow bandpass through a pinhole aperture and onto a silicon photodiode detector. As a miniature radiometer, EUV irradiance is accurately determined from the zone plate efficiency and the photodiode responsivity that are calibrated at the NIST SURF synchrotron facility. The EUV radiometer is pointed to the Sun and measures the absolute solar EUV irradiance in high time cadence suitable for solar physics and space weather applications. As a limb-scanning instrument in low earth orbit, a miniature zone-plate monochromator measures the extinction of solar EUV radiation by scattering through the upper atmosphere which is a measure of the variability of the ionosphere. Both instruments are compact and light-weight and are attractive for CubeSats and other missions where resources are extremely limited.

  16. Enhanced performance of an EUV light source (λ = 84 nm) using short-pulse excitation of a windowless dielectric barrier discharge in neon

    International Nuclear Information System (INIS)

    Carman, R J; Kane, D M; Ward, B K

    2010-01-01

    The electrical and optical characteristics of a dielectric barrier discharge (DBD) based neon excimer lamp generating output in the extreme ultraviolet (EUV) spectral range (λ = 84 nm) have been investigated experimentally. We report a detailed comparison of lamp performance for both pulsed and sinusoidal voltage excitation waveforms, using otherwise identical operating conditions. The results show that pulsed voltage excitation yields a ∼50% increase in the overall electrical to EUV conversion efficiency compared with sinusoidal waveforms, when operating in the pressure range 500-900 mbar. Pulsed operation allows greater control of parameters associated with the temporal evolution of the EUV pulse shapes (risetime, instantaneous peak power). The Ne DBD based source is also found to be highly monochromatic with respect to its spectral output from the second continuum band at λ ∼ 84 nm (5 nm FWHM). This continuum band dominates the spectral emission over the wavelength range 30-550 nm. Lamp performance; as measured by the overall EUV output energy, electrical to EUV conversion efficiency and spectral purity at λ ∼ 84 nm; improves with increasing gas pressure up to p = 900 mbar.

  17. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    Science.gov (United States)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  18. High-Resolution Infrared Spectroscopic Measurements of Comet 2PlEncke: Unusual Organic Composition and Low Rotational Temperatures

    Science.gov (United States)

    Radeva, Yana L.; Mumma, Michael J.; Villanueva, Geronimo L.; Bonev, Boncho P.; DiSanti, Michael A.; A'Hearn, Michael F.; Dello Russo, Neil

    2013-01-01

    We present high-resolution infrared spectroscopic measurements of the ecliptic comet 2P/Encke, observed on 4-6 Nov. 2003 during its close approach to the Earth, using the Near Infrared Echelle Spectrograph on the Keck II telescope. We present flux-calibrated spectra, production rates, and mixing ratios for H2O, CH3OH, HCN, H2CO, C2H2, C2H6, CH4 and CO. Comet 2P/Encke is a dynamical end-member among comets because of its short period of 3.3 years. Relative to "organics-normal" comets, we determined that 2PlEncke is depleted in HCN, H2CO, C2H2, C2H6, CH4 and CO, but it is enriched in CH3OH. We compared mixing ratios of these organic species measured on separate dates, and we see no evidence of macroscopic chemical heterogeneity in the nucleus of 2P/Encke, however, this conclusion is limited by sparse temporal sampling. The depleted abundances of most measured species suggest that 2P/Encke may have formed closer to the young Sun, before its insertion to the Kuiper belt, compared with "organics-normal" comets - as was previously suggested for other depleted comets (e.g. C/1999 S4 (LINEAR)). We measured very low rotational temperatures of 20 - 30 K for H2O, CH3OH and HCN in the near nucleus region of 2P/Encke, which correlate with one of the lowest cometary gas production rates (approx. 2.6 x 10(exp 27) molecules/s) measured thus far in the infrared. This suggests that we are seeing the effects of more efficient radiative cooling, insufficient collisional excitation, and/or inefficient heating by fast H-atoms (and icy grains) in the observed region of the coma. Its extremely short orbital period, very low gas production rate, and classification as an ecliptic comet, make 2PlEncke an important addition to our growing database, and contribute significantly to the establishment of a chemical taxonomy of comets.

  19. SU-F-J-93: Automated Segmentation of High-Resolution 3D WholeBrain Spectroscopic MRI for Glioblastoma Treatment Planning

    Energy Technology Data Exchange (ETDEWEB)

    Schreibmann, E; Shu, H [Department of Radiation Oncology, Winship Cancer Institute of Emory University, Atlanta, GA (United States); Cordova, J; Gurbani, S; Holder, C; Cooper, L; Shim, H [Department of Radiology and Imaging Sciences, Emory University, Atlanta, GA (United States)

    2016-06-15

    Purpose: We report on an automated segmentation algorithm for defining radiation therapy target volumes using spectroscopic MR images (sMRI) acquired at nominal voxel resolution of 100 microliters. Methods: Wholebrain sMRI combining 3D echo-planar spectroscopic imaging, generalized auto-calibrating partially-parallel acquisitions, and elliptical k-space encoding were conducted on 3T MRI scanner with 32-channel head coil array creating images. Metabolite maps generated include choline (Cho), creatine (Cr), and N-acetylaspartate (NAA), as well as Cho/NAA, Cho/Cr, and NAA/Cr ratio maps. Automated segmentation was achieved by concomitantly considering sMRI metabolite maps with standard contrast enhancing (CE) imaging in a pipeline that first uses the water signal for skull stripping. Subsequently, an initial blob of tumor region is identified by searching for regions of FLAIR abnormalities that also display reduced NAA activity using a mean ratio correlation and morphological filters. These regions are used as starting point for a geodesic level-set refinement that adapts the initial blob to the fine details specific to each metabolite. Results: Accuracy of the segmentation model was tested on a cohort of 12 patients that had sMRI datasets acquired pre, mid and post-treatment, providing a broad range of enhancement patterns. Compared to classical imaging, where heterogeneity in the tumor appearance and shape across posed a greater challenge to the algorithm, sMRI’s regions of abnormal activity were easily detected in the sMRI metabolite maps when combining the detail available in the standard imaging with the local enhancement produced by the metabolites. Results can be imported in the treatment planning, leading in general increase in the target volumes (GTV60) when using sMRI+CE MRI compared to the standard CE MRI alone. Conclusion: Integration of automated segmentation of sMRI metabolite maps into planning is feasible and will likely streamline acceptance of this

  20. Optimized qualification protocol on particle cleanliness for EUV mask infrastructure

    Science.gov (United States)

    van der Donck, J. C. J.; Stortelder, J. K.; Derksen, G. B.

    2011-11-01

    test may requires an unrealistic high testing effort or that equipment can only pass for a test when it meets unrealistic high cleanliness levels. TNO developed a testing model which enables setting up a qualification test on particle cleanliness for EUV mask infrastructure. It is based on particle deposition models with a Poisson statistics and an acceptance sampling test method. The test model combines the single contribution of the routing outside the equipment and contribution of multiple cycling in the equipment. This model enables designing a test with minimal testing effort that proves that equipment meets a required cleanliness level. Furthermore, it gives insight in other equipment requirements on reliability.

  1. High-resolution X-ray television and high-resolution video recorders

    International Nuclear Information System (INIS)

    Haendle, J.; Horbaschek, H.; Alexandrescu, M.

    1977-01-01

    The improved transmission properties of the high-resolution X-ray television chain described here make it possible to transmit more information per television image. The resolution in the fluoroscopic image, which is visually determined, depends on the dose rate and the inertia of the television pick-up tube. This connection is discussed. In the last few years, video recorders have been increasingly used in X-ray diagnostics. The video recorder is a further quality-limiting element in X-ray television. The development of function patterns of high-resolution magnetic video recorders shows that this quality drop may be largely overcome. The influence of electrical band width and number of lines on the resolution in the X-ray television image stored is explained in more detail. (orig.) [de

  2. Oxidation and metal contamination of EUV optics

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Liu, Feng; Pachecka, Malgorzata; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) for printing smaller features on chips. One of the hallenges is to optimally control the contamination of the multilayer mirrors used in the imaging system. The aim of this project is generating fundamental understanding

  3. Reconstruction of the solar EUV irradiance from 1996 to 2010 based on SOHO/EIT images

    Directory of Open Access Journals (Sweden)

    Haberreiter Margit

    2014-01-01

    Full Text Available The solar Extreme UltraViolet (EUV spectrum has important effects on the Earth’s upper atmosphere. For a detailed investigation of these effects it is important to have a consistent data series of the EUV spectral irradiance available. We present a reconstruction of the solar EUV irradiance based on SOHO/EIT images, along with synthetic spectra calculated using different coronal features which represent the brightness variation of the solar atmosphere. The EIT images are segmented with the SPoCA2 tool which separates the features based on a fixed brightness classification scheme. With the SOLMOD code we then calculate intensity spectra for the 10–100 nm wavelength range and each of the coronal features. Weighting the intensity spectra with the area covered by each of the features yields the temporal variation of the EUV spectrum. The reconstructed spectrum is then validated against the spectral irradiance as observed with SOHO/SEM. Our approach leads to good agreement between the reconstructed and the observed spectral irradiance. This study is an important step toward understanding variations in the solar EUV spectrum and ultimately its effect on the Earth’s upper atmosphere.

  4. Characterizing dusty argon-acetylene plasmas as a first step to understand dusty EUV environments

    NARCIS (Netherlands)

    Wetering, van de F.M.J.H.; Nijdam, S.; Kroesen, G.M.W.

    2012-01-01

    In extreme ultraviolet (EUV) lithography, ionic and particulate debris coming from the plasma source plays an important role. We started up a project looking at the principles of particle formation in plasmas and the interaction with EUV radiation. To this end, we study a low-pressure (10 Pa)

  5. Fitting-free curve resolution of spectroscopic data: Chemometric and physical chemical viewpoints.

    Science.gov (United States)

    Rajkó, Róbert; Beyramysoltan, Samira; Abdollahi, Hamid; Eőri, János; Pongor, Gábor

    2015-08-12

    In this paper the authors have investigated spectroscopic data analysis according to a recent development, i.e. the Direct Inversion in the Spectral Subspace (DISS) procedure. DISS is a supervised curve resolution technique, consequently it can be used once the spectra of the potential pure components are known and the experimental spectrum of a chemical mixture is also presented; hence the task is to determine the composition of the unknown chemical mixture. In this paper, the original algorithm of DISS is re-examined and some further critical reasoning and essential developments are provided, including the detailed explanations of the constrained minimization task based on Lagrange multiplier regularization approach. The main conclusion is that the regularization used for DISS is needed because of the possible shifted spectra effect instead of collinearity; and this new property, i.e. treating the mild shifted spectra effect, of DISS can be considered as its main scientific advantage. Copyright © 2015 Elsevier B.V. All rights reserved.

  6. Coronal magnetic fields inferred from IR wavelength and comparison with EUV observations

    Directory of Open Access Journals (Sweden)

    Y. Liu

    2009-07-01

    Full Text Available Spectropolarimetry using IR wavelength of 1075 nm has been proved to be a powerful tool for directly mapping solar coronal magnetic fields including transverse component directions and line-of-sight component intensities. Solar tomography, or stereoscopy based on EUV observations, can supply 3-D information for some magnetic field lines in bright EUV loops. In a previous paper \\citep{liu08} the locations of the IR emission sources in the 3-D coordinate system were inferred from the comparison between the polarization data and the potential-field-source-surface (PFSS model, for one of five west limb regions in the corona (Lin et al., 2004. The paper shows that the region with the loop system in the active region over the photospheric area with strong magnetic field intensity is the region with a dominant contribution to the observed Stokes signals. So, the inversion of the measured Stokes parameters could be done assuming that most of the signals come from a relatively thin layer over the area with a large photospheric magnetic field strength. Here, the five limb coronal regions are studied together in order to study the spatial correlation between the bright EUV loop features and the inferred IR emission sources. It is found that, for the coronal regions above the stronger photospheric magnetic fields, the locations of the IR emission sources are closer to or more consistent with the bright EUV loop locations than those above weaker photospheric fields. This result suggests that the structures of the coronal magnetic fields observed at IR and EUV wavelengths may be different when weak magnetic fields present there.

  7. Spatially and temporally resolved EUV emissions from SATURN z-pinches

    International Nuclear Information System (INIS)

    Nash, T.J.; Breeze, S.; Mock, R.; Jobe, D.

    1995-01-01

    EUV emissions can be used to measure several z-pinch parameters. The authors have measured implosion velocity from Doppler splitting of lines and estimated electron temperature during run-in from the mean ionization state of line emissions. In an argon pinch they measure an electron temperature of 100 eV before stagnation. To date Doppler split lines have measured implosion velocities less than 40 cm/microsecond. They are presently attempting to measure magnetic field or load current from Zeeman splitting and it may be possible to measure electron density from a Stark-broadened line. Opacity and ion thermal broadening may also contribute to line width information. The spectrometer utilizes a variable line space grating to give a flat focal field. Spectral resolution with a 60 micron detector resolution is up to 3,000 and generally increases with wavelength. This is sufficient to detect several plasma line broadening mechanisms. The spectrometer may detect lines above 100 angstrom and below 1,400 angstrom. Spectral range across a microchannel plate stripline detector decreases with increasing wavelength setting. The authors may gate two striplines with 1 to 12 nsec gates at any time during the pinch discharge. Each stripline spatially images the pinch diameter perpendicular to the direction of dispersion. Spatial resolution in the pinch diameter is 1 mm. Spatial acquisition along the z axis is also 1 mm. Data are presented from argon, krypton, and aluminum z-pinch discharges on the SATURN accelerator

  8. Spectroscopic properties of highly Nd-doped lead phosphate glass

    Energy Technology Data Exchange (ETDEWEB)

    Novais, A.L.F. [Instituto de Física, Universidade Federal de Alagoas, Grupo de Fotônica e Fluidos Complexos, 57072-970 Maceió, AL (Brazil); Dantas, N.O. [Laboratório de Novos Materiais Isolantes e Semicondutores (LNMIS), Instituto de Física, Universidade Federal de Uberlândia, 38400-902 Uberlândia, MG (Brazil); Guedes, I. [Departamento de Física, Universidade Federal do Ceará, Campus do PICI, Caixa Postal 6030, 60455-760 Fortaleza, CE (Brazil); Vermelho, M.V.D., E-mail: vermelho@fis.ufal.br [Instituto de Física, Universidade Federal de Alagoas, Grupo de Fotônica e Fluidos Complexos, 57072-970 Maceió, AL (Brazil)

    2015-11-05

    The spectroscopic characteristics of highly Nd{sup 3+}-doped lead phosphate glasses (xNd:Pb{sub 3}(PO{sub 4}){sub 2}) have been investigated. The X-ray spectra show that the matrices are glassy up to 25 wt% of Nd{sup 3+} doping. From the Judd–Ofelt analysis we observe that while the Ω{sub (2)} parameter remains constant indicating that the 4f{sup N} and 4f{sup N−1}5 d{sup 1} configurations are not affected by the Nd{sup 3+} doping, the behavior of both Ω{sub (4)} and Ω{sub (6)} changes for 15 wt% of Nd{sup 3+} doping. The reduction of the Ω{sub (6)} parameter is related to the increase of the covalence bonding between the ligands and the Nd{sup 3+} ions. At this particular concentration, the radiative lifetime has a four-fold enhancement. Such behaviors are likely to be related to a modification in the glass structure for high Nd{sup 3+} concentrations. - Graphical abstract: Highly doped lead-phosphate glass matrix, with nominal concentration of up to 25 wt%, maintain the spectroscopic properties without deterioration. The analysis concerning the point of view of Nd{sup 3+} ions showed that high concentrations only affects the rare earth electronic charge density distribution. - Highlights: • Spectroscopic characterization of Nd{sub 2}O{sub 3} highly doped lead phosphate glasses. • Phosphate glass doped with Nd{sup 3+} for applications in photonic devices. • Judd–Ofelt analysis in phosphate glasses doped with Neodymium.

  9. High-resolution Spectroscopic Abundances of Red Giant Branch Stars in NGC 6681

    Energy Technology Data Exchange (ETDEWEB)

    O’Malley, Erin M.; Chaboyer, Brian [Department of Physics and Astronomy, Dartmouth College, Hanover, NH 03784 (United States); Knaizev, Alexei [South African Astronomical Observatory, Cape Town (South Africa); McWilliam, Andrew [Observatories of the Carnegie Institution for Science, 813 Santa Barbara Street, Pasadena, CA 91101 (United States)

    2017-09-01

    We obtain high-resolution spectra of nine red giant branch stars in NGC 6681 and perform the first detailed abundance analysis of stars in this cluster. We confirm cluster membership for these stars based on consistent radial velocities of 214.5 ± 3.7 km s{sup −1} and find a mean [Fe/H] = −1.63 ± 0.07 dex and [ α /Fe] = 0.42 ± 0.11 dex. Additionally, we confirm the existence of a Na–O anti-correlation in NGC 6681 and identify two populations of stars with unique abundance trends. With the use of HST photometry from Sarajedini et al. and Piotto et al. we are able to identify these two populations as discrete sequences in the cluster CMD. Although we cannot confirm the nature of the polluter stars responsible for the abundance differences in these populations, these results do help put constraints on possible polluter candidates.

  10. High resolution X-ray spectromicroscopy of laser produced plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Faenov, A.Ya. [Multi-charged Ions Spectra Data Center of VNIIFTRI (MISDC), Mendeleevo, Moscow region, (Russian Federation)

    2000-01-01

    In recent years new classes of X-ray spectroscopic instruments possessing both dispersive and focusing properties have been manufactured. Their principal advantage over more traditional instruments is that they combine very high luminosity with high spatial resolution, while preserving the highest possible spectral resolution of their dispersive elements. These instruments opened up the registration of plasmas in new regimes and surroundings. The measurements delivered new information about the properties of even previously studied traditional plasma objects (e.g. ns-laser produced plasmas). Also the detailed investigation of relatively new plasma laboratory sources with very small dimensions and low energy content (e.g. mJ fs-laser pulses) became possible. The purpose of this report is to give a short review of the experimental and theoretical results obtained in the past few years by MISDC (Multi-charged Ions Spectra Data Center) research team in the field of X-ray spectroscopy of a laser-produced plasma. Experimental spectra have been obtained at various laser installations with nanosecond, sub-nanosecond, picosecond and sub-picosecond pulses interacting with solid, gaseous or cluster targets in collaborations with research teams from Russia, USA, Germany, France, Poland, Belgium, Italy, China and Israel. Practically all results have been obtained with the help of spectrographs with spherically bent mica crystals operating in FSSR-1D, 2D schemes. (author)

  11. Analysis of Ozone (O3 and Erythemal UV (EUV measured by TOMS in the equatorial African belt

    Directory of Open Access Journals (Sweden)

    Øyvind Frette

    2010-03-01

    Full Text Available We presented time series of total ozone column amounts (TOCAs and erythemal UV (EUV doses derived from measurements by TOMS (Total Ozone Mapping Spectrometer instruments on board the Nimbus-7 (N7 and the Earth Probe (EP satellites for three locations within the equatorial African belt for the period 1979 to 2000. The locations were Dar-es-Salaam (6.8° S, 39.26° E in Tanzania, Kampala (0.19° N, 32.34° E in Uganda, and Serrekunda (13.28° N, 16.34° W in Gambia. Equatorial Africa has high levels of UV radiation, and because ozone shields UV radiation from reaching the Earth’s surface, there is a need to monitor TOCAs and EUV doses. In this paper we investigated the trend of TOCAs and EUV doses, the effects of annual and solar cycles on TOCAs, as well as the link between lightning and ozone production in the equatorial African belt. We also compared clear-sky simulated EUV doses with the corresponding EUV doses derived from TOMS measurements. The TOCAs were found to vary in the ranges 243 DU − 289 DU, 231 DU − 286 DU, and 236 DU − 296 DU, with mean values of 266.9 DU, 260.9 DU, and 267.8 DU for Dar-es-Salaam, Kampala and Serrekunda, respectively. Daily TOCA time series indicated that Kampala had the lowest TOCA values, which we attributed to the altitude effect. There were two annual ozone peaks in Dar-es-Salaam and Kampala, and one annual ozone peak in Serrekunda. The yearly TOCA averages showed an oscillation within a five-year period. We also found that the EUV doses were stable at all three locations for the period 1979−2000, and that Kampala and Dar-es-Salaam were mostly cloudy throughout the year, whereas Serrekunda was mostly free from clouds. It was also found that clouds were among the major factors determining the level of EUV reaching the Earth´s surface. Finally, we noted that during rainy seasons, horizontal advection effects augmented by lightning activity may be responsible for enhanced ozone production in the tropics.

  12. High throughput assessment of cells and tissues: Bayesian classification of spectral metrics from infrared vibrational spectroscopic imaging data.

    Science.gov (United States)

    Bhargava, Rohit; Fernandez, Daniel C; Hewitt, Stephen M; Levin, Ira W

    2006-07-01

    Vibrational spectroscopy allows a visualization of tissue constituents based on intrinsic chemical composition and provides a potential route to obtaining diagnostic markers of diseases. Characterizations utilizing infrared vibrational spectroscopy, in particular, are conventionally low throughput in data acquisition, generally lacking in spatial resolution with the resulting data requiring intensive numerical computations to extract information. These factors impair the ability of infrared spectroscopic measurements to represent accurately the spatial heterogeneity in tissue, to incorporate robustly the diversity introduced by patient cohorts or preparative artifacts and to validate developed protocols in large population studies. In this manuscript, we demonstrate a combination of Fourier transform infrared (FTIR) spectroscopic imaging, tissue microarrays (TMAs) and fast numerical analysis as a paradigm for the rapid analysis, development and validation of high throughput spectroscopic characterization protocols. We provide an extended description of the data treatment algorithm and a discussion of various factors that may influence decision-making using this approach. Finally, a number of prostate tissue biopsies, arranged in an array modality, are employed to examine the efficacy of this approach in histologic recognition of epithelial cell polarization in patients displaying a variety of normal, malignant and hyperplastic conditions. An index of epithelial cell polarization, derived from a combined spectral and morphological analysis, is determined to be a potentially useful diagnostic marker.

  13. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    Science.gov (United States)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  14. Development of a THz spectroscopic imaging system

    International Nuclear Information System (INIS)

    Usami, M; Iwamoto, T; Fukasawa, R; Tani, M; Watanabe, M; Sakai, K

    2002-01-01

    We have developed a real-time THz imaging system based on the two-dimensional (2D) electro-optic (EO) sampling technique. Employing the 2D EO-sampling technique, we can obtain THz images using a CCD camera at a video rate of up to 30 frames per second. A spatial resolution of 1.4 mm was achieved. This resolution was reasonably close to the theoretical limit determined by diffraction. We observed not only static objects but also moving ones. To acquire spectroscopic information, time-domain images were collected. By processing these images on a computer, we can obtain spectroscopic images. Spectroscopy for silicon wafers was demonstrated

  15. Estimation and control of large-scale systems with an application to adaptive optics for EUV lithography

    NARCIS (Netherlands)

    Haber, A.

    2014-01-01

    Extreme UltraViolet (EUV) lithography is a new technology for production of integrated circuits. In EUV lithographic machines, optical elements are heated by absorption of exposure energy. Heating induces thermoelastic deformations of optical elements and consequently, it creates wavefront

  16. The Israeli EA-FEL Upgrade Towards Long Pulse Operation for Ultra-High Resolution Single Pulse Coherent Spectroscopy

    CERN Document Server

    Gover, A; Kanter, M; Kapilevich, B; Litvak, B; Peleg, S; Socol, Y; Volshonok, M

    2005-01-01

    The Israeli Electrostatic Accelerator FEL (EA-FEL) is now being upgraded towards long pulse (1005s) operation and ultra-high resolution (10(-6)) single pulse coherent spectroscopy. We present quantitative estimations regarding the applications of controlled radiation chirp for spectroscopic applications with pulse-time Fourier Transform limited spectral resolution. Additionally, we describe a novel extraction-efficiency-improving scheme based on increase of accelerating voltage (boosting) after saturation is achieved. The efficiency of the proposed scheme is confirmed by theoretical and numerical calculations. The latter are performed using software, based on 3D space-frequency domain model. The presentation provides an overview of the upgrade status: the high-voltage terminal is being reconfigured to accept the accelerating voltage boost system; a new broad band low-loss resonator is being manufactured; multi-stage depressed collector is assembled.

  17. MARVEL analysis of the measured high-resolution spectra of 14NH3

    International Nuclear Information System (INIS)

    Al Derzi, Afaf R.; Furtenbacher, Tibor; Tennyson, Jonathan; Yurchenko, Sergei N.; Császár, Attila G.

    2015-01-01

    Accurate, experimental rotational–vibrational energy levels and line positions, with associated labels and uncertainties, are reported for the ground electronic state of the symmetric-top 14 NH 3 molecule. All levels and lines are based on critically reviewed and validated high-resolution experimental spectra taken from 56 literature sources. The transition data are in the 0.7–17 000 cm −1 region, with a large gap between 7000 and 15 000 cm −1 . The MARVEL (Measured Active Rotational–Vibrational Energy Levels) algorithm is used to determine the energy levels. Out of the 29 450 measured transitions 10 041 and 18 947 belong to ortho- and para- 14 NH 3 , respectively. A careful analysis of the related experimental spectroscopic network (SN) allows 28 530 of the measured transitions to be validated, 18 178 of these are unique, while 462 transitions belong to floating components. Despite the large number of spectroscopic measurements published over the last 80 years, the transitions determine only 30 vibrational band origins of 14 NH 3 , 8 for ortho- and 22 for para- 14 NH 3 . The highest J value, where J stands for the rotational quantum number, for which an energy level is validated is 31. The number of experimental-quality ortho- and para- 14 NH 3 rovibrational energy levels is 1724 and 3237, respectively. The MARVEL energy levels are checked against ones in the BYTe first-principles database, determined previously. The lists of validated lines and levels for 14 NH 3 are deposited in the Supporting Information to this paper. Combination of the MARVEL energy levels with first-principles absorption intensities yields a huge number of experimental-quality rovibrational lines, which should prove to be useful for the understanding of future complex high-resolution spectroscopy on 14 NH 3 ; these lines are also deposited in the Supporting Information to this paper

  18. MARVEL analysis of the measured high-resolution spectra of 14NH3

    Science.gov (United States)

    Al Derzi, Afaf R.; Furtenbacher, Tibor; Tennyson, Jonathan; Yurchenko, Sergei N.; Császár, Attila G.

    2015-08-01

    Accurate, experimental rotational-vibrational energy levels and line positions, with associated labels and uncertainties, are reported for the ground electronic state of the symmetric-top 14NH3 molecule. All levels and lines are based on critically reviewed and validated high-resolution experimental spectra taken from 56 literature sources. The transition data are in the 0.7-17 000 cm-1 region, with a large gap between 7000 and 15 000 cm-1. The MARVEL (Measured Active Rotational-Vibrational Energy Levels) algorithm is used to determine the energy levels. Out of the 29 450 measured transitions 10 041 and 18 947 belong to ortho- and para-14NH3, respectively. A careful analysis of the related experimental spectroscopic network (SN) allows 28 530 of the measured transitions to be validated, 18 178 of these are unique, while 462 transitions belong to floating components. Despite the large number of spectroscopic measurements published over the last 80 years, the transitions determine only 30 vibrational band origins of 14NH3, 8 for ortho- and 22 for para-14NH3. The highest J value, where J stands for the rotational quantum number, for which an energy level is validated is 31. The number of experimental-quality ortho- and para-14NH3 rovibrational energy levels is 1724 and 3237, respectively. The MARVEL energy levels are checked against ones in the BYTe first-principles database, determined previously. The lists of validated lines and levels for 14NH3 are deposited in the Supporting Information to this paper. Combination of the MARVEL energy levels with first-principles absorption intensities yields a huge number of experimental-quality rovibrational lines, which should prove to be useful for the understanding of future complex high-resolution spectroscopy on 14NH3; these lines are also deposited in the Supporting Information to this paper.

  19. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    International Nuclear Information System (INIS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Guersel, Selmiye Alkan; Scherer, Guenther G.; Wokaun, Alexander

    2007-01-01

    Nanostructures of the thermoresponsive poly(N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 deg. C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures

  20. ANL high resolution injector

    International Nuclear Information System (INIS)

    Minehara, E.; Kutschera, W.; Hartog, P.D.; Billquist, P.

    1985-01-01

    The ANL (Argonne National Laboratory) high-resolution injector has been installed to obtain higher mass resolution and higher preacceleration, and to utilize effectively the full mass range of ATLAS (Argonne Tandem Linac Accelerator System). Preliminary results of the first beam test are reported briefly. The design and performance, in particular a high-mass-resolution magnet with aberration compensation, are discussed. 7 refs., 5 figs., 2 tabs

  1. Review on the solar spectral variability in the EUV for space weather purposes

    Directory of Open Access Journals (Sweden)

    J. Lilensten

    2008-02-01

    Full Text Available The solar XUV-EUV flux is the main energy source in the terrestrial diurnal thermosphere: it produces ionization, dissociation, excitation and heating. Accurate knowledge of this flux is of prime importance for space weather. We first list the space weather applications that require nowcasting and forecasting of the solar XUV-EUV flux. We then review present models and discuss how they account for the variability of the solar spectrum. We show why the measurement of the full spectrum is difficult, and why it is illusory to retrieve it from its atmospheric effects. We then address the problem of determining a set of observations that are adapted for space weather purposes, in the frame of ionospheric studies. Finally, we review the existing and future space experiments that are devoted to the observation of the solar XUV-EUV spectrum.

  2. The EUV chromospheric network in the quiet Sun

    International Nuclear Information System (INIS)

    Reeves, E.M.

    1976-01-01

    Investigations on the structure and intensity of the chromospheric network from quiet solar regions have been carried out with EUV data obtained from the Harvard spectroheliometer on the Apollo Telescope Mount of Skylab. The distribution of intensities within supergranulation cell interiors follows a near normal function, where the standard deviation exceeds the value expected from the counting rate, which indicates fine-scale structure below the 5 arc sec resolution of the data. The intensities from the centers of supergranulation cells appear to be the same in both quiet regions and coronal holes, although the network is significantly different in the two types of regions. The average halfwidth of the network elements was measured as 10 arc sec, and was independent of the temperature of formation of the observing line for 3.8< logTsub(e)<5.8. The contrast between the network and the centers of cells is greatest for lines with logTsub(e)approximately5.2, where the network contributes approximately 75% of the intensity of quiet solar regions. The contrast and fractional intensity contributions decrease to higher and lower temperatures characteristic of the corona and chromosphere. (Auth.)

  3. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  4. Raman spectroscopic studies on CeVO4 at high pressures

    International Nuclear Information System (INIS)

    Rao, Rekha; Garg, Alka B.; Wani, B.N.

    2011-01-01

    Raman scattering investigations of CeVO 4 at high pressures is reported. Polycrystalline CeVO 4 was prepared by solid state reaction of CeO 2 and V 2 O 5 . High pressure Raman spectroscopic measurements were carried out as per experimental details given

  5. Using synchrotron light to accelerate EUV resist and mask materials learning

    Science.gov (United States)

    Naulleau, Patrick; Anderson, Christopher N.; Baclea-an, Lorie-Mae; Denham, Paul; George, Simi; Goldberg, Kenneth A.; Jones, Gideon; McClinton, Brittany; Miyakawa, Ryan; Mochi, Iacopo; Montgomery, Warren; Rekawa, Seno; Wallow, Tom

    2011-03-01

    As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT). Utilizing Lawrence Berkeley National Laboratory's Advanced Light Source facility as the light source, these tools benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible with commercial tools. The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained. Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the CAR champion from 2008 remains as the highest performing CAR tested to date. To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm half pitch.

  6. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    Science.gov (United States)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  7. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Banine, V Y; Osorio, E A

    2015-01-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure. (fast track communication)

  8. High-resolution spectroscopic search for the thermal emission of the extrasolar planet HD 217107 b

    OpenAIRE

    Cubillos, Patricio E.; Rojo, Patricio; Fortney, Jonathan J.

    2011-01-01

    We analyzed the combined near-infrared spectrum of a star-planet system with thermal emission atmospheric models, based on the composition and physical parameters of the system. The main objective of this work is to obtain the inclination of the orbit, the mass of the exoplanet, and the planet-to-star flux ratio. We present the results of our routines on the planetary system HD 217107, which was observed with the high-resolution spectrograph Phoenix at 2.14 microns. We revisited and tuned a c...

  9. Low resolution spectroscopy of selected Algol systems

    Science.gov (United States)

    Devarapalli, Shanti Priya; Jagirdar, Rukmini; Parthasarathy, M.; Sahu, D. K.; Mohan, Vijay; Bhatt, B. C.; Thomas, Vineet S.

    2018-04-01

    The analysis of spectroscopic data for 30 Algol-type binaries is presented. All these systems are short period Algols having primaries with spectral types B and A. Dominant spectral lines were identified for the spectra collected and their equivalent widths were calculated. All the spectra were examined to understand presence of mass transfer, a disk or circumstellar matter and chromospheric emission. We also present first spectroscopic and period study for few Algols and conclude that high resolution spectra within and outside the primary minimum are needed for better understanding of these Algol type close binaries.

  10. 3D high spectral and spatial resolution imaging of ex vivo mouse brain

    International Nuclear Information System (INIS)

    Foxley, Sean; Karczmar, Gregory S.; Domowicz, Miriam; Schwartz, Nancy

    2015-01-01

    Purpose: Widely used MRI methods show brain morphology both in vivo and ex vivo at very high resolution. Many of these methods (e.g., T 2 * -weighted imaging, phase-sensitive imaging, or susceptibility-weighted imaging) are sensitive to local magnetic susceptibility gradients produced by subtle variations in tissue composition. However, the spectral resolution of commonly used methods is limited to maintain reasonable run-time combined with very high spatial resolution. Here, the authors report on data acquisition at increased spectral resolution, with 3-dimensional high spectral and spatial resolution MRI, in order to analyze subtle variations in water proton resonance frequency and lineshape that reflect local anatomy. The resulting information compliments previous studies based on T 2 * and resonance frequency. Methods: The proton free induction decay was sampled at high resolution and Fourier transformed to produce a high-resolution water spectrum for each image voxel in a 3D volume. Data were acquired using a multigradient echo pulse sequence (i.e., echo-planar spectroscopic imaging) with a spatial resolution of 50 × 50 × 70 μm 3 and spectral resolution of 3.5 Hz. Data were analyzed in the spectral domain, and images were produced from the various Fourier components of the water resonance. This allowed precise measurement of local variations in water resonance frequency and lineshape, at the expense of significantly increased run time (16–24 h). Results: High contrast T 2 * -weighted images were produced from the peak of the water resonance (peak height image), revealing a high degree of anatomical detail, specifically in the hippocampus and cerebellum. In images produced from Fourier components of the water resonance at −7.0 Hz from the peak, the contrast between deep white matter tracts and the surrounding tissue is the reverse of the contrast in water peak height images. This indicates the presence of a shoulder in the water resonance that is not

  11. Analysis of euv limb-brightening observations from ATM. I. Model for the transition layer and the corona

    Energy Technology Data Exchange (ETDEWEB)

    Mariska, J T; Withbroe, G L [Harvard Coll. Observatory, Cambridge, Mass. (USA)

    1975-09-01

    Limb-brightening curves for euv resonance lines of O VI and Mg X have been constructed from spectroheliograms (5 sec resolution) of quiet limb regions observed with the Harvard experiment on Skylab. The observations are interpreted with a simple model for the transition layer and the corona. A comparison of theoretical and observed limb-brightening curves indicates that the lower boundary of the corona, where T/sub e/ = 10/sup 6/K, is at a height of about 8000 km in typical quiet areas. For 1.01 R(sun) approximately = to or < r < 1.25(sun), the corona can be represented by a homogeneous model in hydrostatic equilibrium with a temperature of 10/sup 6/K for 1.01 R(sun) approximately = to or < r < 1.1 R(sun) and 1.1x10/sup 6/K for r > approximately = to 1.1 R(sun). The model for the transition layer is inhomogeneous, with the temperature gradient a factor of 3 shallower in the network than in the intranetwork regions. It appears that spicules should be included in the model in order to account for the penetration into the corona of cool (T/sub e/ < 10/sup 6/K) euv-emitting material to heights up to 20000 km above the limb.

  12. Recovering the colour-dependent albedo of exoplanets with high-resolution spectroscopy: from ESPRESSO to the ELT.

    Science.gov (United States)

    Martins, J. H. C.; Figueira, P.; Santos, N. C.; Melo, C.; Garcia Muñoz, A.; Faria, J.; Pepe, F.; Lovis, C.

    2018-05-01

    The characterization of planetary atmospheres is a daunting task, pushing current observing facilities to their limits. The next generation of high-resolution spectrographs mounted on large telescopes - such as ESPRESSO@VLT and HIRES@ELT - will allow us to probe and characterize exoplanetary atmospheres in greater detail than possible to this point. We present a method that permits the recovery of the colour-dependent reflectivity of exoplanets from high-resolution spectroscopic observations. Determining the wavelength-dependent albedo will provide insight into the chemical properties and weather of the exoplanet atmospheres. For this work, we simulated ESPRESSO@VLT and HIRES@ELT high-resolution observations of known planetary systems with several albedo configurations. We demonstrate how the cross correlation technique applied to theses simulated observations can be used to successfully recover the geometric albedo of exoplanets over a range of wavelengths. In all cases, we were able to recover the wavelength dependent albedo of the simulated exoplanets and distinguish between several atmospheric models representing different atmospheric configurations. In brief, we demonstrate that the cross correlation technique allows for the recovery of exoplanetary albedo functions from optical observations with the next generation of high-resolution spectrographs that will be mounted on large telescopes with reasonable exposure times. Its recovery will permit the characterization of exoplanetary atmospheres in terms of composition and dynamics and consolidates the cross correlation technique as a powerful tool for exoplanet characterization.

  13. Feasibility of compensating for EUV field edge effects through OPC

    Science.gov (United States)

    Maloney, Chris; Word, James; Fenger, Germain L.; Niroomand, Ardavan; Lorusso, Gian F.; Jonckheere, Rik; Hendrickx, Eric; Smith, Bruce W.

    2014-04-01

    As EUV Lithography (EUVL) continues to evolve, it offers a possible solution to the problems of additional masks and lithography steps that drive up the cost and complexity of 193i multiple patterning. EUVL requires a non-telecentric reflective optical system for operation. This requirement causes EUV specific effects such as shadowing. The absorber physically shadows the reflective multilayer (ML) on an EUV reticle resulting in pattern fidelity degradation. To reduce this degradation, a thinner absorber may help. Yet, as the absorber thickness decreases, reflectivity increases in the `dark' region around the image field, resulting in a loss of contrast. The region around the edge of the die on the mask of unpatterned absorber material deposited on top of ML, known as the image border, is also susceptible to undesirable reflections in an ideally dark region. For EUVL to be enabled for high-volume manufacturing (HVM), reticle masking (REMA) blades are used to shield light from the image border to allow for the printing of densely spaced die. When die are printed densely, the image border of each neighboring die will overlap with the edge of a given die resulting in an increase of dose that overexposes features at the edge of the field. This effect is convolved with a fingerprint from the edge of the REMA blades. This phenomenon will be referred to as a field edge effect. One such mitigation strategy that has been investigated to reduce the field edge effect is to fully remove the ML along the image border to ensure that no actinic-EUV radiation can be reflected onto neighboring die. This has proven to suppress the effect, but residual out-of-band radiation still provides additional dose to features near the image border, especially in the corners where three neighboring fields overlap. Measurements of dense contact holes (CHs) have been made along the image border with and without a ML-etched border at IMEC in collaboration with Micron using the ASML NXE:3100. The

  14. Time Variabilities of Solar Wind Ion Fluxes and of X-ray and EUV Emissions from Comet Hyakutake

    Science.gov (United States)

    Neugebauer, M.; Cravens, T.; Lisse, C.; Ipavich, F.; von Steiger, R.; Shah, P.; Armstrong, T.

    1999-01-01

    Observations of X-ray and extreme ultraviolet (EUV) emissions from comet C/Hyakutake 1996 B2 made by the Rontgen X-ray satellite (ROSAT) and the Extreme Ultraviolet Explorer (EUVE) revealed a total X-ray luminosity of about 500 MW.

  15. Simulation of the oxidation pathway on Si(100) using high-resolution EELS

    Energy Technology Data Exchange (ETDEWEB)

    Hogan, Conor [Consiglio Nazionale delle Ricerche, Istituto di Struttura della Materia (CNR-ISM), Rome (Italy); Dipartimento di Fisica, Universita di Roma ' ' Tor Vergata' ' , Roma (Italy); European Theoretical Spectroscopy Facility (ETSF), Roma (Italy); Caramella, Lucia; Onida, Giovanni [Dipartimento di Fisica, Universita degli Studi di Milano (Italy); European Theoretical Spectroscopy Facility (ETSF), Milano (Italy)

    2012-06-15

    We compute high-resolution electron energy loss spectra (HREELS) of possible structural motifs that form during the dynamic oxidation process on Si(100), including the important metastable precursor silanone and an adjacent-dimer bridge (ADB) structure that may seed oxide formation. Spectroscopic fingerprints of single site, silanone, and ''seed'' structures are identified and related to changes in the surface bandstructure of the clean surface. Incorporation of oxygen into the silicon lattice through adsorption and dissociation of water is also examined. Results are compared to available HREELS spectra and surface optical data, which are closely related. Our simulations confirm that HREELS offers complementary evidence to surface optical spectroscopy, and show that its high sensitivity allows it to distinguish between energetically and structurally similar oxidation models. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. TH-A-BRF-09: Integration of High-Resolution MRSI Into Glioblastoma Treatment Planning

    Energy Technology Data Exchange (ETDEWEB)

    Schreibmann, E; Cordova, J; Shu, H; Crocker, I; Curran, W; Holder, C; Shim, H [Department of Radiation Oncology and Winship Cancer Institute, Emory University, Atlanta, GA (United States)

    2014-06-15

    Purpose: Identification of a metabolite signature that shows significant tumor cell infiltration into normal brain in regions that do not appear abnormal on standard MRI scans would be extremely useful for radiation oncologists to choose optimal regions of brain to treat, and to quantify response beyond the MacDonald criteria. We report on integration of high-resolution magnetic resonance spectroscopic imaging (HR-MRSI) with radiation dose escalation treatment planning to define and target regions at high risk for recurrence. Methods: We propose to supplement standard MRI with a special technique performed on an MRI scanner to measure the metabolite levels within defined volumes. Metabolite imaging was acquired using an advanced MRSI technique combining 3D echo-planar spectroscopic imaging (EPSI) with parallel acquisition (GRAPPA) using a multichannel head coil that allows acquisition of whole brain metabolite maps with 108 μl resolution in 12 minutes implemented on a 3T MR scanner. Elevation in the ratio of two metabolites, choline (Cho, elevated in proliferating high-grade gliomas) and N-acetyl aspartate (NAA, a normal neuronal metabolite), was used to image infiltrating high-grade glioma cells in vivo. Results: The metabolite images were co-registered with standard contrast-enhanced T1-weighted MR images using in-house registration software and imported into the treatment-planning system. Regions with tumor infiltration are identified on the metabolic images and used to create adaptive IMRT plans that deliver a standard dose of 60 Gy to the standard target volume and an escalated dose of 75 Gy (or higher) to the most suspicious regions, identified as areas with elevated Cho/NAA ratio. Conclusion: We have implemented a state-of-the-art HR-MRSI technology that can generate metabolite maps of the entire brain in a clinically acceptable scan time, coupled with introduction of an imaging co-registration/ analysis program that combines MRSI data with standard imaging

  17. TH-A-BRF-09: Integration of High-Resolution MRSI Into Glioblastoma Treatment Planning

    International Nuclear Information System (INIS)

    Schreibmann, E; Cordova, J; Shu, H; Crocker, I; Curran, W; Holder, C; Shim, H

    2014-01-01

    Purpose: Identification of a metabolite signature that shows significant tumor cell infiltration into normal brain in regions that do not appear abnormal on standard MRI scans would be extremely useful for radiation oncologists to choose optimal regions of brain to treat, and to quantify response beyond the MacDonald criteria. We report on integration of high-resolution magnetic resonance spectroscopic imaging (HR-MRSI) with radiation dose escalation treatment planning to define and target regions at high risk for recurrence. Methods: We propose to supplement standard MRI with a special technique performed on an MRI scanner to measure the metabolite levels within defined volumes. Metabolite imaging was acquired using an advanced MRSI technique combining 3D echo-planar spectroscopic imaging (EPSI) with parallel acquisition (GRAPPA) using a multichannel head coil that allows acquisition of whole brain metabolite maps with 108 μl resolution in 12 minutes implemented on a 3T MR scanner. Elevation in the ratio of two metabolites, choline (Cho, elevated in proliferating high-grade gliomas) and N-acetyl aspartate (NAA, a normal neuronal metabolite), was used to image infiltrating high-grade glioma cells in vivo. Results: The metabolite images were co-registered with standard contrast-enhanced T1-weighted MR images using in-house registration software and imported into the treatment-planning system. Regions with tumor infiltration are identified on the metabolic images and used to create adaptive IMRT plans that deliver a standard dose of 60 Gy to the standard target volume and an escalated dose of 75 Gy (or higher) to the most suspicious regions, identified as areas with elevated Cho/NAA ratio. Conclusion: We have implemented a state-of-the-art HR-MRSI technology that can generate metabolite maps of the entire brain in a clinically acceptable scan time, coupled with introduction of an imaging co-registration/ analysis program that combines MRSI data with standard imaging

  18. Diagnostic system for EUV radiation measurements from dense xenon plasma generated by MPC

    International Nuclear Information System (INIS)

    Petrov, Yu.V.; Garkusha, I.E.; Solyakov, D.G.; Marchenko, A.K.; Chebotarev, V.V.; Ladygina, M.S.; Staltsov, V.V.; Yelisyeyev, D.V.; Hassanein, A.

    2011-01-01

    Magnetoplasma compressor (MPC) of compact geometry has been designed and tested as a source of EUV radiation. In present paper diagnostic system for registration of EUV radiation is described. It was applied for radiation measurements in different operation modes of MPC. The registration system was designed on the base of combination of different types of AXUV photodiodes. Possibility to minimize the influence of electrons and ions flows from dense plasma stream on AXUV detector performance and results of the measurements has been discussed.

  19. Stellar observations with the Voyager EUV objective grating spectrograph

    International Nuclear Information System (INIS)

    Holberg, J.B.; Polidan, R.S.; Barry, D.C.

    1986-01-01

    During the periods of interplanetary cruise the Voyager ultraviolet spectrometers are used to provide unique and otherwise unobtainable observations in the extreme ultraviolet (EUV, 500 to 1200) and the far ultraviolet (FUV, 912 to 1220 A). These observations include the spectra of hot stellar sources as well as emission from the interplanetary medium. Recent results of note include: (1) extensive spectrophotometric coverage of a superoutburst of the dwarf nova VW Hydri, which showed a clear 1/2 day delay in the outburst at 1000 A relative to that observed in the optical and a curious dip in the FUV light curve near maximum light. The Voyager observations were part of a comprehensive and highly successful campaign involving EXOSAT, IUE and ground based observations of this dwarf nova; (2) a comprehensive study of Be star spectra and variability. These results show the critical importance of FUV observations in the study of the effects of stellar rotation in hot stars; (3) the detection of a strong O VI absorption feature in the spectrum of the PG 1159-like object H1504+65. This detection along with the optical identification of weak O IV lines was a key to the interpretation of this object; which is of extremely high (>150,000K) temperature and appears to be a unique example of a stellar atmosphere devoid of H and He; (4) an analysis of an extremely long duration spectrum of the EUV and FUV sky background, which establishes important new upper limits on both continuum and line emission. This result also provide the first detection of interplanetary Lyman gamma

  20. High temperature and high pressure gas cell for quantitative spectroscopic measurements

    DEFF Research Database (Denmark)

    Christiansen, Caspar; Stolberg-Rohr, Thomine; Fateev, Alexander

    2016-01-01

    A high temperature and high pressure gas cell (HTPGC) has been manufactured for quantitative spectroscopic measurements in the pressure range 1-200 bar and temperature range 300-1300 K. In the present work the cell was employed at up to 100 bar and 1000 K, and measured absorption coefficients...... of a CO2-N2 mixture at 100 bar and 1000 K are revealed for the first time, exceeding the high temperature and pressure combinations previously reported. This paper discusses the design considerations involved in the construction of the cell and presents validation measurements compared against simulated...

  1. A problem to be solved for tungsten diagnostics through EUV spectroscopy in fusion devices

    International Nuclear Information System (INIS)

    Morita, S.; Murakami, I.; Sakaue, H.A.; Dong, C.F.; Goto, M.; Kato, D.; Oishi, T.; Huang, X.L.; Wang, E.H.

    2013-01-01

    Tungsten spectra have been observed from Large Helical Device (LHD) in extreme ultraviolet (EUV) wavelength ranges of 10-650Å. When the electron temperature is less than 2keV, the EUV spectra from plasma core are dominated by unresolved transition array (UTA) composing of a lot of spectral lines, e.g., 6g-4f, 5g-4f, 5f-4d and 5p-4d transitions for W"+"2"4"-"+"3"3 in 15-35Å. In order to understand the UTA spectrum, the EUV spectra measured from LHD plasmas are compared to those measured from Compact electron Beam Ion Trap (CoBIT), in which the electron beam is operated with monoenergetic energy of E_e ≤ 2keV. The tungsten spectra from LHD are well analyzed based on the knowledge from CoBIT tungsten spectra. The collisional-radiative (C-R) model has been developed to explain the UTA spectra from LHD in details. Radial profiles of EUV spectra from highly ionized tungsten ions have been measured and analyzed by impurity transport simulation code with ADPAK atomic database to examine the ionization balance determined by ionization and recombination rate coefficients. If the electron temperature is higher than 2keV, Zn-like WXLV (W"4"4"+) and Cu-like WXLVI (W"4"5"+) spectra can be observed in LHD. Such ions of W"4"4"+ and W"4"5"+ can exhibit much simpler atomic configuration compared to other ionization stages of tungsten. Quantitative analysis of the tungsten density is attempted for the first time on the radial profile of Zn-like WXLV (W"4"4"+) 4p-4s transition measured at 60.9Å, based on the emission rate coefficient calculated with HULLAC code. As a result, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center of LHD is reasonably obtained. Finally, the present problem for tungsten diagnostics in fusion plasmas is summarized. (author)

  2. Evaluation of heterogeneous metabolic profile in an orthotopic human glioblastoma xenograft model using compressed sensing hyperpolarized 3D 13C magnetic resonance spectroscopic imaging.

    Science.gov (United States)

    Park, Ilwoo; Hu, Simon; Bok, Robert; Ozawa, Tomoko; Ito, Motokazu; Mukherjee, Joydeep; Phillips, Joanna J; James, C David; Pieper, Russell O; Ronen, Sabrina M; Vigneron, Daniel B; Nelson, Sarah J

    2013-07-01

    High resolution compressed sensing hyperpolarized (13)C magnetic resonance spectroscopic imaging was applied in orthotopic human glioblastoma xenografts for quantitative assessment of spatial variations in (13)C metabolic profiles and comparison with histopathology. A new compressed sensing sampling design with a factor of 3.72 acceleration was implemented to enable a factor of 4 increase in spatial resolution. Compressed sensing 3D (13)C magnetic resonance spectroscopic imaging data were acquired from a phantom and 10 tumor-bearing rats following injection of hyperpolarized [1-(13)C]-pyruvate using a 3T scanner. The (13)C metabolic profiles were compared with hematoxylin and eosin staining and carbonic anhydrase 9 staining. The high-resolution compressed sensing (13)C magnetic resonance spectroscopic imaging data enabled the differentiation of distinct (13)C metabolite patterns within abnormal tissues with high specificity in similar scan times compared to the fully sampled method. The results from pathology confirmed the different characteristics of (13)C metabolic profiles between viable, non-necrotic, nonhypoxic tumor, and necrotic, hypoxic tissue. Copyright © 2012 Wiley Periodicals, Inc.

  3. Negating HIO-induced metal and carbide EUV surface contamination

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Gleeson, Michael; van de Kruijs, Robbert Wilhelmus Elisabeth; Lee, Christopher James; Kleyn, A.W.; Bijkerk, Frederik

    2011-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) light in order to reduce feature sizes in semiconductor manufactoring. Lens materials for this wavelength do not exist: image projection requires multilayer mirrors that act as an artificial Bragg crystal.

  4. High-Resolution Infrared Spectroscopic Observations of the Upper Scorpius Eclipsing Binary EPIC 203868608

    Science.gov (United States)

    Johnson, Marshall C.; Mace, Gregory N.; Kim, Hwihyun; Kaplan, Kyle; McLane, Jacob; Sokal, Kimberly R.

    2017-06-01

    EPIC 203868608 is a source in the ~10 Myr old Upper Scorpius OB association. Using K2 photometry and ground-based follow-up observations, David et al. (2016) found that it consists of two brown dwarfs with a tertiary object at a projected separation of ~20 AU; the former objects appear to be a double-lined eclipsing binary with a period of 4.5 days. This is one of only two known eclipsing SB2s where both components are below the hydrogen-burning limit. We present additional follow-up observations of this system from the IGRINS high-resolution near-infrared spectrograph at McDonald Observatory. Our measured radial velocities do not follow the orbital solution presented by David et al. (2016). Instead, our combined IGRINS plus literature radial velocity dataset appears to indicate a period significantly different than that of the eclipsing binary obvious from the K2 light curve. We will discuss possible scenarios to account for the conflicting observations of this system.

  5. Photoionization of Ar2 at high resolution

    International Nuclear Information System (INIS)

    Dehmer, P.M.

    1982-01-01

    The relative photoionization cross section of Ar 2 was determined at a resolution of 0.07 A in the wavelength region from 800 to 850 A using a new photoionization mass spectrometer that combines a high intensity helium continuum lamp with a free supersonic molecular beam source. In the region studied, the photoionization cross section is dominated by autoionization of molecular Rydberg states, and the structure is diffuse owing to the combined effects of autoionization and predissociation. The molecular photoionization spectrum is extremely complex and shows little resemblence either to the corresponding atomic spectrum (indicating that the spectrum of the dimer is not simply a perturbed atomic spectrum) or to the molecular absorption spectrum at longer wavelengths. The regular vibrational progressions seen at longer wavelengths are absent above the first ionization potential. Detailed spectroscopic analysis is possible for only a small fraction of the observed features; however, vibrational intervals of 50--100 cm -1 suggest that some of the Rydberg states have B 2 Pi/sub 3/2g/ ionic cores. A comparison of the absorption and photoionization spectra shows that, at wavelengths shorter than approx.835 A, many of the excited states decay via mechanisms other than autoionization

  6. Spectroscopic monitoring of bright A-F type candidate hybrid stars discovered by the Kepler mission

    Science.gov (United States)

    Lampens, Patricia; Frémat, Y.; Vermeylen, Lore; De Cat, Peter; Dumortier, Louis; Sódor, Ádám; Sharka, Marek; Bognár, Zsófia

    2018-04-01

    We report on a study of 250 optical spectra for 50 bright A/F-type candidate hybrid pulsating stars from the Kepler field. Most of the spectra have been collected with the high-resolution spectrograph HERMES attached to the Mercator telescope, La Palma. We determined the radial velocities (RVs), projected rotational velocities, fundamental atmospheric parameters and provide a classification based on the appearance of the cross-correlation profiles and the behaviour of the RVs with time in order to find true hybrid pulsators. Additionally, we also detected new spectroscopic binary and multiple systems in our sample and determined the fraction of spectroscopic systems. In order to be able to extend this investigation to the fainter A-F type candidate hybrid stars, various high-quality spectra collected with 3-4 m sized telescopes suitably equipped with a high-resolution spectrograph and furthermore located in the Northern hemisphere would be ideal. This programme could be done using the new instruments installed at the Devasthal Observatory.

  7. The HITRAN2016 molecular spectroscopic database

    Energy Technology Data Exchange (ETDEWEB)

    Gordon, I. E.; Rothman, L. S.; Hill, C.; Kochanov, R. V.; Tan, Y.; Bernath, P. F.; Birk, M.; Boudon, V.; Campargue, A.; Chance, K. V.; Drouin, B. J.; Flaud, J. -M.; Gamache, R. R.; Hodges, J. T.; Jacquemart, D.; Perevalov, V. I.; Perrin, A.; Shine, K. P.; Smith, M. -A. H.; Tennyson, J.; Toon, G. C.; Tran, H.; Tyuterev, V. G.; Barbe, A.; Császár, A. G.; Devi, V. M.; Furtenbacher, T.; Harrison, J. J.; Hartmann, J. -M.; Jolly, A.; Johnson, T. J.; Karman, T.; Kleiner, I.; Kyuberis, A. A.; Loos, J.; Lyulin, O. M.; Massie, S. T.; Mikhailenko, S. N.; Moazzen-Ahmadi, N.; Müller, H. S. P.; Naumenko, O. V.; Nikitin, A. V.; Polyansky, O. L.; Rey, M.; Rotger, M.; Sharpe, S. W.; Sung, K.; Starikova, E.; Tashkun, S. A.; Auwera, J. Vander; Wagner, G.; Wilzewski, J.; Wcisło, P.; Yu, S.; Zak, E. J.

    2017-12-01

    This paper describes the contents of the 2016 edition of the HITRAN molecular spectroscopic compilation. The new edition replaces the previous HITRAN edition of 2012 and its updates during the intervening years. The HITRAN molecular absorption compilation is comprised of five major components: the traditional line-by-line spectroscopic parameters required for high-resolution radiative-transfer codes, infrared absorption cross-sections for molecules not yet amenable to representation in a line-by-line form, collision-induced absorption data, aerosol indices of refraction, and general tables such as partition sums that apply globally to the data. The new HITRAN is greatly extended in terms of accuracy, spectral coverage, additional absorption phenomena, added line-shape formalisms, and validity. Moreover, molecules, isotopologues, and perturbing gases have been added that address the issues of atmospheres beyond the Earth. Of considerable note, experimental IR cross-sections for almost 200 additional significant molecules have been added to the database.

  8. 3D high spectral and spatial resolution imaging of ex vivo mouse brain

    Energy Technology Data Exchange (ETDEWEB)

    Foxley, Sean, E-mail: sean.foxley@ndcn.ox.ac.uk; Karczmar, Gregory S. [Department of Radiology, University of Chicago, Chicago, Illinois 60637 (United States); Domowicz, Miriam [Department of Pediatrics, University of Chicago, Chicago, Illinois 60637 (United States); Schwartz, Nancy [Department of Pediatrics, Department of Biochemistry and Molecular Biology, University of Chicago, Chicago, Illinois 60637 (United States)

    2015-03-15

    Purpose: Widely used MRI methods show brain morphology both in vivo and ex vivo at very high resolution. Many of these methods (e.g., T{sub 2}{sup *}-weighted imaging, phase-sensitive imaging, or susceptibility-weighted imaging) are sensitive to local magnetic susceptibility gradients produced by subtle variations in tissue composition. However, the spectral resolution of commonly used methods is limited to maintain reasonable run-time combined with very high spatial resolution. Here, the authors report on data acquisition at increased spectral resolution, with 3-dimensional high spectral and spatial resolution MRI, in order to analyze subtle variations in water proton resonance frequency and lineshape that reflect local anatomy. The resulting information compliments previous studies based on T{sub 2}{sup *} and resonance frequency. Methods: The proton free induction decay was sampled at high resolution and Fourier transformed to produce a high-resolution water spectrum for each image voxel in a 3D volume. Data were acquired using a multigradient echo pulse sequence (i.e., echo-planar spectroscopic imaging) with a spatial resolution of 50 × 50 × 70 μm{sup 3} and spectral resolution of 3.5 Hz. Data were analyzed in the spectral domain, and images were produced from the various Fourier components of the water resonance. This allowed precise measurement of local variations in water resonance frequency and lineshape, at the expense of significantly increased run time (16–24 h). Results: High contrast T{sub 2}{sup *}-weighted images were produced from the peak of the water resonance (peak height image), revealing a high degree of anatomical detail, specifically in the hippocampus and cerebellum. In images produced from Fourier components of the water resonance at −7.0 Hz from the peak, the contrast between deep white matter tracts and the surrounding tissue is the reverse of the contrast in water peak height images. This indicates the presence of a shoulder in

  9. HIGH RESOLUTION OPTICAL AND NIR SPECTRA OF HBC 722

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jeong-Eun; Park, Sunkyung [School of Space Research, Kyung Hee University, 1732 Deogyeong-daero, Giheung-gu, Yongin-si, Gyeonggi-do 446-701 (Korea, Republic of); Green, Joel D.; Cochran, William D. [Department of Astronomy, University of Texas at Austin, TX (United States); Kang, Wonseok; Lee, Sang-Gak [National Youth Space Center, 200 Deokheungyangjjok-gil, Dongil-myeon, Goheung-gun, Jeollanam-do 548-951 (Korea, Republic of); Sung, Hyun-Il, E-mail: jeongeun.lee@khu.ac.kr, E-mail: sunkyung@khu.ac.kr, E-mail: joel@astro.as.utexas.edu, E-mail: wdc@astro.as.utexas.edu, E-mail: wskang@kywa.or.kr, E-mail: sanggak@kywa.or.kr, E-mail: hisung@kasi.re.kr [Korea Astronomy and Space Science Institute, 776 Daedeok-daero, Yuseong-gu, Daejeon 305-348 (Korea, Republic of)

    2015-07-01

    We present the results of high resolution (R ≥ 30,000) optical and near-IR spectroscopic monitoring observations of HBC 722, a recent FU Orionis object that underwent an accretion burst in 2010. We observed HBC 722 in the optical/near-IR with the Bohyunsan Optical Echelle Spectrograph, Hobby–Eberly Telescope-HRS, and Immersion Grating Infrared Spectrograph, at various points in the outburst. We found atomic lines with strongly blueshifted absorption features or P Cygni profiles, both evidence of a wind driven by the accretion. Some lines show a broad double-peaked absorption feature, evidence of disk rotation. However, the wind-driven and disk-driven spectroscopic features are anti-correlated in time; the disk features became strong as the wind features disappeared. This anti-correlation might indicate that the rebuilding of the inner disk was interrupted by the wind pressure during the first 2 years. The half-width at half-depth of the double-peaked profiles decreases with wavelength, indicative of the Keplerian rotation; the optical spectra with the disk feature are fitted by a G5 template stellar spectrum convolved with a rotation velocity of 70 km s{sup −1} while the near-IR disk features are fitted by a K5 template stellar spectrum convolved with a rotation velocity of 50 km s{sup −1}. Therefore, the optical and near-IR spectra seem to trace the disk at 39 and 76 R{sub ⊙}, respectively. We fit a power-law temperature distribution in the disk, finding an index of 0.8, comparable to optically thick accretion disk models.

  10. Complex EUV imaging reflectometry: spatially resolved 3D composition determination and dopant profiling with a tabletop 13nm source

    Science.gov (United States)

    Porter, Christina L.; Tanksalvala, Michael; Gerrity, Michael; Miley, Galen P.; Esashi, Yuka; Horiguchi, Naoto; Zhang, Xiaoshi; Bevis, Charles S.; Karl, Robert; Johnsen, Peter; Adams, Daniel E.; Kapteyn, Henry C.; Murnane, Margaret M.

    2018-03-01

    With increasingly 3D devices becoming the norm, there is a growing need in the semiconductor industry and in materials science for high spatial resolution, non-destructive metrology techniques capable of determining depth-dependent composition information on devices. We present a solution to this problem using ptychographic coherent diffractive imaging (CDI) implemented using a commercially available, tabletop 13 nm source. We present the design, simulations, and preliminary results from our new complex EUV imaging reflectometer, which uses coherent 13 nm light produced by tabletop high harmonic generation. This tool is capable of determining spatially-resolved composition vs. depth profiles for samples by recording ptychographic images at multiple incidence angles. By harnessing phase measurements, we can locally and nondestructively determine quantities such as device and thin film layer thicknesses, surface roughness, interface quality, and dopant concentration profiles. Using this advanced imaging reflectometer, we can quantitatively characterize materials-sciencerelevant and industry-relevant nanostructures for a wide variety of applications, spanning from defect and overlay metrology to the development and optimization of nano-enhanced thermoelectric or spintronic devices.

  11. Ultra-high resolution protein crystallography

    International Nuclear Information System (INIS)

    Takeda, Kazuki; Hirano, Yu; Miki, Kunio

    2010-01-01

    Many protein structures have been determined by X-ray crystallography and deposited with the Protein Data Bank. However, these structures at usual resolution (1.5< d<3.0 A) are insufficient in their precision and quantity for elucidating the molecular mechanism of protein functions directly from structural information. Several studies at ultra-high resolution (d<0.8 A) have been performed with synchrotron radiation in the last decade. The highest resolution of the protein crystals was achieved at 0.54 A resolution for a small protein, crambin. In such high resolution crystals, almost all of hydrogen atoms of proteins and some hydrogen atoms of bound water molecules are experimentally observed. In addition, outer-shell electrons of proteins can be analyzed by the multipole refinement procedure. However, the influence of X-rays should be precisely estimated in order to derive meaningful information from the crystallographic results. In this review, we summarize refinement procedures, current status and perspectives for ultra high resolution protein crystallography. (author)

  12. The first detection of ionized helium in the local ISM - EUVE and IUE spectroscopy of the hot DA white dwarf GD 246

    Science.gov (United States)

    Vennes, Stephane; Dupuis, Jean; Rumph, Todd; Drake, Jeremy; Bowyer, Stuart; Chayer, Pierre; Fontaine, Gilles

    1993-01-01

    We report observations of the extreme ultraviolet spectrum of the hot degenerate star GD 246 obtained with the EUVE. Our initial attempt at modeling the photospheric emission from the white dwarf reveals a relatively uncontaminated pure H spectrum in the range above 200 A, allowing a study of interstellar continuum absorption features in the line of sight of GD 246. Modeling of the He I autoionization transition discussed by Rumph et al. (1993), and the EUV continuum using the white dwarf as a source of background radiation provides measurements of both neutral and, for the first time, singly ionized He column densities in the local ISM (LISM). We estimate the He ionization fraction He II/(He I + He II) at roughly 25 percent with a total He column of 1.40-1.65 x 10 exp 18/sq cm. We have measured and compared H I column densities from the saturated Ly-alpha ISM absorption in IUE high-dispersion spectroscopy and from EUV continuum absorption: the two measurements are in good agreement with a total H column of 1.2-1.6 x 10 exp 19/sq cm. We discuss some implications for the nature of the LISM, particularly in the context of current models of the EUV radiation field.

  13. Sub 20nm particle inspection on EUV mask blanks

    NARCIS (Netherlands)

    Bussink, P.G.W.; Volatier, J.B.; Walle, P. van der; Fritz, E.C.; Donck, J.C.J. van der

    2016-01-01

    The Rapid Nano is a particle inspection system developed by TNO for the qualification of EUV reticle handling equipment. The detection principle of this system is dark-field microscopy. The performance of the system has been improved via model-based design. Through our model of the scattering

  14. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  15. Enhancement of EUV emission from a liquid microjet target by use of dual laser pulses

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Koga, Masato; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi; Kikuchi, Takashi; Yugami, Noboru; Kawata, Shigeo; Andreev, Alexander A.

    2005-03-01

    Extreme ultraviolet (EUV) radiation at the wavelength of around 13nm waws observed from a laser-produced plasma using continuous water-jet. Strong dependence of the conversion efficiency (CE) on the laser focal spot size and jet diameter was observed. The EUV CE at a given laser spot size and jet diameter was further enhanced using double laser pulses, where a pre-pulse was used for initial heating of the plasma.

  16. TIMED solar EUV experiment: preflight calibration results for the XUV photometer system

    Science.gov (United States)

    Woods, Thomas N.; Rodgers, Erica M.; Bailey, Scott M.; Eparvier, Francis G.; Ucker, Gregory J.

    1999-10-01

    The Solar EUV Experiment (SEE) on the NASA Thermosphere, Ionosphere, and Mesosphere Energetics and Dynamics (TIMED) mission will measure the solar vacuum ultraviolet (VUV) spectral irradiance from 0.1 to 200 nm. To cover this wide spectral range two different types of instruments are used: a grating spectrograph for spectra between 25 and 200 nm with a spectral resolution of 0.4 nm and a set of silicon soft x-ray (XUV) photodiodes with thin film filters as broadband photometers between 0.1 and 35 nm with individual bandpasses of about 5 nm. The grating spectrograph is called the EUV Grating Spectrograph (EGS), and it consists of a normal- incidence, concave diffraction grating used in a Rowland spectrograph configuration with a 64 X 1024 array CODACON detector. The primary calibrations for the EGS are done using the National Institute for Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF-III) in Gaithersburg, Maryland. In addition, detector sensitivity and image quality, the grating scattered light, the grating higher order contributions, and the sun sensor field of view are characterized in the LASP calibration laboratory. The XUV photodiodes are called the XUV Photometer System (XPS), and the XPS includes 12 photodiodes with thin film filters deposited directly on the silicon photodiodes' top surface. The sensitivities of the XUV photodiodes are calibrated at both the NIST SURF-III and the Physikalisch-Technische Bundesanstalt (PTB) electron storage ring called BESSY. The other XPS calibrations, namely the electronics linearity and field of view maps, are performed in the LASP calibration laboratory. The XPS and solar sensor pre-flight calibration results are primarily discussed as the EGS calibrations at SURF-III have not yet been performed.

  17. Low resolution spectroscopic investigation of Am stars using Automated method

    Science.gov (United States)

    Sharma, Kaushal; Joshi, Santosh; Singh, Harinder P.

    2018-04-01

    The automated method of full spectrum fitting gives reliable estimates of stellar atmospheric parameters (Teff, log g and [Fe/H]) for late A, F, G, and early K type stars. Recently, the technique was further improved in the cooler regime and the validity range was extended up to a spectral type of M6 - M7 (Teff˜ 2900 K). The present study aims to explore the application of this method on the low-resolution spectra of Am stars, a class of chemically peculiar stars, to examine its robustness for these objects. We use ULySS with the Medium-resolution INT Library of Empirical Spectra (MILES) V2 spectral interpolator for parameter determination. The determined Teff and log g values are found to be in good agreement with those obtained from high-resolution spectroscopy.

  18. Proxy-to-proxy calibration: Increasing the temporal resolution of quantitative climate reconstructions

    OpenAIRE

    von Gunten, Lucien; D'Andrea, William J.; Bradley, Raymond S.; Huang, Yongsong

    2012-01-01

    High-resolution paleoclimate reconstructions are often restricted by the difficulties of sampling geologic archives in great detail and the analytical costs of processing large numbers of samples. Using sediments from Lake Braya Sø, Greenland, we introduce a new method that provides a quantitative high-resolution paleoclimate record by combining measurements of the alkenone unsaturation index ( ) with non-destructive scanning reflectance spectroscopic measurements in the visible range (VIS-RS...

  19. Massive Young Stellar Objects in the Galactic Center. 1; Spectroscopic Identification from Spitzer/IRS Observations

    Science.gov (United States)

    An, Deokkeun; Ramirez, Solange V.; Sellgren, Kris; Arendt, Richard G.; Boogert, A. C. Adwin; Robitaille, Thomas P.; Schultheis, Mathias; Cotera, Angela S.; Smith, Howard A.; Stolovy, Susan R.

    2011-01-01

    We present results from our spectroscopic study, using the Infrared Spectrograph (IRS) onboard the Spitzer Space Telescope, designed to identify massive young stellar objects (YSOs) in the Galactic Center (GC). Our sample of 107 YSO candidates was selected based on IRAC colors from the high spatial resolution, high sensitivity Spitzer/IRAC images in the Central Molecular Zone (CMZ), which spans the central approximately 300 pc region of the Milky Way Galaxy. We obtained IRS spectra over 5 micron to 35 micron using both high- and low-resolution IRS modules. We spectroscopically identify massive YSOs by the presence of a 15.4 micron shoulder on the absorption profile of 15 micron CO2 ice, suggestive of CO2 ice mixed with CH30H ice on grains. This 15.4 micron shoulder is clearly observed in 16 sources and possibly observed in an additional 19 sources. We show that 9 massive YSOs also reveal molecular gas-phase absorption from C02, C2H2, and/or HCN, which traces warm and dense gas in YSOs. Our results provide the first spectroscopic census of the massive YSO population in the GC. We fit YSO models to the observed spectral energy distributions and find YSO masses of 8 - 23 solar Mass, which generally agree with the masses derived from observed radio continuum emission. We find that about 50% of photometrically identified YSOs are confirmed with our spectroscopic study. This implies a preliminary star formation rate of approximately 0.07 solar mass/yr at the GC.

  20. Mission Concepts for High-Resolution Solar Imaging with a Photon Sieve

    Science.gov (United States)

    Rabin, Douglas M.; Davila, Joseph; Daw, Adrian N.; Denis, Kevin L.; Novo-Gradac, Anne-Marie; Shah, Neerav; Widmyer, Thomas R.

    2017-08-01

    The best EUV coronal imagers are unable to probe the expected energy dissipation scales of the solar corona (rocket, a single spacecraft with a deployed boom, and two spacecraft flying in precise formation.

  1. THE GALACTIC O-STAR SPECTROSCOPIC SURVEY (GOSSS). II. BRIGHT SOUTHERN STARS

    International Nuclear Information System (INIS)

    Sota, A.; Apellániz, J. Maíz; Alfaro, E. J.; Morrell, N. I.; Barbá, R. H.; Arias, J. I.; Walborn, N. R.; Gamen, R. C.

    2014-01-01

    We present the second installment of GOSSS, a massive spectroscopic survey of Galactic O stars, based on new homogeneous, high signal-to-noise ratio, R ∼ 2500 digital observations from both hemispheres selected from the Galactic O-Star Catalog (GOSC). In this paper we include bright stars and other objects drawn mostly from the first version of GOSC, all of them south of δ = –20°, for a total number of 258 O stars. We also revise the northern sample of Paper I to provide the full list of spectroscopically classified Galactic O stars complete to B = 8, bringing the total number of published GOSSS stars to 448. Extensive sequences of exceptional objects are given, including the early Of/WN, O Iafpe, Ofc, ON/OC, Onfp, Of?p, and Oe types, as well as double/triple-lined spectroscopic binaries. The new spectral subtype O9.2 is also discussed. The magnitude and spatial distributions of the observed sample are analyzed. We also present new results from OWN, a multi-epoch high-resolution spectroscopic survey coordinated with GOSSS that is assembling the largest sample of Galactic spectroscopic massive binaries ever attained. The OWN data combined with additional information on spectroscopic and visual binaries from the literature indicate that only a very small fraction (if any) of the stars with masses above 15-20 M ☉ are born as single systems. In the future we will publish the rest of the GOSSS survey, which is expected to include over 1000 Galactic O stars

  2. 4-D modeling of CME expansion and EUV dimming observed with STEREO/EUVI

    Directory of Open Access Journals (Sweden)

    M. J. Aschwanden

    2009-08-01

    Full Text Available This is the first attempt to model the kinematics of a CME launch and the resulting EUV dimming quantitatively with a self-consistent model. Our 4-D-model assumes self-similar expansion of a spherical CME geometry that consists of a CME front with density compression and a cavity with density rarefaction, satisfying mass conservation of the total CME and swept-up corona. The model contains 14 free parameters and is fitted to the 25 March 2008 CME event observed with STEREO/A and B. Our model is able to reproduce the observed CME expansion and related EUV dimming during the initial phase from 18:30 UT to 19:00 UT. The CME kinematics can be characterized by a constant acceleration (i.e., a constant magnetic driving force. While the observations of EUVI/A are consistent with a spherical bubble geometry, we detect significant asymmetries and density inhomogeneities with EUVI/B. This new forward-modeling method demonstrates how the observed EUV dimming can be used to model physical parameters of the CME source region, the CME geometry, and CME kinematics.

  3. Spectroscopic studies of pulsed-power plasmas

    International Nuclear Information System (INIS)

    Maron, Y.; Arad, R.; Dadusc, G.; Davara, G.; Duvall, R.E.; Fisher, V.; Foord, M.E.; Fruchtman, A.; Gregorian, L.; Krasik, Ya.

    1993-01-01

    Recently developed spectroscopic diagnostic techniques are used to investigate the plasma behavior in a Magnetically Insulated Ion Diode, a Plasma Opening Switch, and a gas-puffed Z-pinch. Measurements with relatively high spectral, temporal, and spatial resolutions are performed. The particle velocity and density distributions within a few tens of microns from the dielectric-anode surface are observed using laser spectroscopy. Collective fluctuating electric fields in the plasma are inferred from anisotropic Stark broadening. For the Plasma Opening Switch experiment, a novel gaseous plasma source was developed which is mounted inside the high-voltage inner conductor. The properties of this source, together with spectroscopic observations of the electron density and particle velocities of the injected plasma, are described. Emission line intensities and spectral profiles give the electron kinetic energies during the switch operation and the ion velocity distributions. Secondary plasma ejection from the electrodes is also studied. In the Z-pinch experiment, spectral emission-line profiles are studied during the implosion phase. Doppler line shifts and widths yield the radial velocity distributions for various charge states in various regions of the plasma. Effects of plasma ejection from the cathode are also studied

  4. Study of EUV induced defects on few-layer graphene

    NARCIS (Netherlands)

    Gao, An; Rizo, P.J.; Zoethout, E.; Scaccabarozzi, L.; Lee, Christopher James; Banine, V.; Bijkerk, Frederik

    2012-01-01

    Defects in graphene greatly affect its properties1-3. Radiation induced-defects may reduce the long-term survivability of graphene-based nano-devices. Here, we expose few-layer graphene to extreme ultraviolet (EUV, 13.5nm) radiation and show there is a power-dependent increase in defect density. We

  5. Formation dynamics of UV and EUV induced hydrogen plasma

    NARCIS (Netherlands)

    Dolgov, A.A.; Lee, Christopher James; Yakushev, O.; Lopaev, D.V.; Abrikosov, A.; Krivtsun, V.M.; Zotovich, A.; Bijkerk, F.

    2014-01-01

    The comparative study of the dynamics of ultraviolet (UV) and extreme ultraviolet (EUV) induced hydrogen plasma was performed. It was shown that for low H2 pressures and bias voltages, the dynamics of the two plasmas are significantly different. In the case of UV radiation, the plasma above the

  6. Response of inorganic materials to laser - plasma EUV radiation focused with a lobster eye collector

    Science.gov (United States)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Szczurek, Miroslaw; Havlikova, Radka; Pína, Ladislav; Švéda, Libor; Inneman, Adolf

    2007-05-01

    A single photon of EUV radiation carries enough energy to break any chemical bond or excite electrons from inner atomic shells. It means that the radiation regardless of its intensity can modify chemical structure of molecules. It is the reason that the radiation even with low intensity can cause fragmentation of long chains of organic materials and desorption of small parts from their surface. In this work interaction of EUV radiation with inorganic materials was investigated. Different inorganic samples were irradiated with a 10 Hz laser - plasma EUV source based on a gas puff target. The radiation was focused on a sample surface using a lobster eye collector. Radiation fluence at the surface reached 30 mJ/cm2 within a wavelength range 7 - 20 nm. In most cases there was no surface damage even after several minutes of irradiation. In some cases there could be noticed discolouration of an irradiated surface or evidences of thermal effects. In most cases however luminescent and scattered radiation was observed. The luminescent radiation was emitted in different wavelength ranges. It was recorded in a visible range of radiation and also in a wide wavelength range including UV, VUV and EUV. The radiation was especially intense in a case of non-metallic chemical compounds.

  7. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  8. High resolution solar observations

    International Nuclear Information System (INIS)

    Title, A.

    1985-01-01

    Currently there is a world-wide effort to develop optical technology required for large diffraction limited telescopes that must operate with high optical fluxes. These developments can be used to significantly improve high resolution solar telescopes both on the ground and in space. When looking at the problem of high resolution observations it is essential to keep in mind that a diffraction limited telescope is an interferometer. Even a 30 cm aperture telescope, which is small for high resolution observations, is a big interferometer. Meter class and above diffraction limited telescopes can be expected to be very unforgiving of inattention to details. Unfortunately, even when an earth based telescope has perfect optics there are still problems with the quality of its optical path. The optical path includes not only the interior of the telescope, but also the immediate interface between the telescope and the atmosphere, and finally the atmosphere itself

  9. High speed, High resolution terahertz spectrometers

    International Nuclear Information System (INIS)

    Kim, Youngchan; Yee, Dae Su; Yi, Miwoo; Ahn, Jaewook

    2008-01-01

    A variety of sources and methods have been developed for terahertz spectroscopy during almost two decades. Terahertz time domain spectroscopy (THz TDS)has attracted particular attention as a basic measurement method in the fields of THz science and technology. Recently, asynchronous optical sampling (AOS)THz TDS has been demonstrated, featuring rapid data acquisition and a high spectral resolution. Also, terahertz frequency comb spectroscopy (TFCS)possesses attractive features for high precision terahertz spectroscopy. In this presentation, we report on these two types of terahertz spectrometer. Our high speed, high resolution terahertz spectrometer is demonstrated using two mode locked femtosecond lasers with slightly different repetition frequencies without a mechanical delay stage. The repetition frequencies of the two femtosecond lasers are stabilized by use of two phase locked loops sharing the same reference oscillator. The time resolution of our terahertz spectrometer is measured using the cross correlation method to be 270 fs. AOS THz TDS is presented in Fig. 1, which shows a time domain waveform rapidly acquired on a 10ns time window. The inset shows a zoom into the signal with 100ps time window. The spectrum obtained by the fast Fourier Transformation (FFT)of the time domain waveform has a frequency resolution of 100MHz. The dependence of the signal to noise ratio (SNR)on the measurement time is also investigated

  10. a Thz Photomixing Synthesizer Based on a Fiber Frequency Comb for High Resolution Rotational Spectroscopy

    Science.gov (United States)

    Hindle, Francis; Mouret, Gael; Cuisset, Arnaud; Yang, Chun; Eliet, Sophie; Bocquet, Robin

    2010-06-01

    To date the principal application for photomixing sources has been for high resolution spectroscopy of gases due to the large tuning range and spectral purity. New Developments of the Opto-Electronic THz Spectrometer have been performed in order to obtain a powerful tool for High-Resolution Spectroscopy. The combination of two extended cavity laser diodes and fast charge carrier lifetime semiconductor materials has allowed a continuous-wave THz spectrometer to be constructed based on optical heterodyning. Unlike many THz sources, this instrument gives access to all frequencies in the range 0.3 to 3.5 THz with a resolution of 1 MHz. The main spectroscopic applications of this spectrometer were dedicated to line profile analysis of rotational transitions referenced in the spectroscopic databases. One limitation of the THz spectrometer was accuracy with which the generated frequency is known. Recently, this obstacle has been circled with the construction of a photomixing spectrometer where the two pump lasers are phase locked to two modes of a repetition rate stabilized frequency doubled fiber laser frequency comb. In order to achieve a tuning range in excess to 100 MHz a third cw laser was required in the new configuration of the THz spectrometer. To assess the performances of this instrument, the frequencies of the pure rotational transitions of OCS molecules have been measured between 0,8 to 1,2 THz. A rms inferior to 100 kHz, deduced from the frequencies measured, demonstrates that the THz photomixing synthesizer is now able to be competitive with microwave and submillimeter techniques. S. Matton, F. Rohart, R. Bocquet, D. Bigourd, A. Cuisset, F. Hindle, G. Mouret, J. Mol. Spectrosc., 2006, 239: 182. C. Yang, J. Buldyreva, I. E. Gordon, F. Rohart, A. Cuisset, G. Mouret, R. Bocquet, F. Hindle, J. Quant. Spectrosc. Radiat. Transfer, 2008, 109: 2857. G. Mouret, F. Hindle, A. Cuisset, C. Yang, R. Bocquet, M. Lours, D. Rovera, Opt. Express, 2009, 17: 22031.

  11. Immunocytochemistry by electron spectroscopic imaging using a homogeneously boronated peptide.

    Science.gov (United States)

    Kessels, M M; Qualmann, B; Klobasa, F; Sierralta, W D

    1996-05-01

    A linear all-L-oligopeptide containing five carboranyl amino acids (corresponding to 50 boron atoms) was synthesized and specifically attached to the free thiol group of monovalent antibody fragments F(ab)'. The boronated immunoreagent was used for the direct post-embedding detection of somatotrophic hormone in ultrathin sections of porcine pituitary embedded in Spurr resin. The specific boron-labelling of secretory vesicles in somatotrophs was detected by electron spectroscopic imaging and confirmed by conventional immunogold labelling run in parallel. In comparison with immunogold, boron-labelled F(ab)'-fragments showed higher tagging frequencies, as was expected; the small uncharged immunoreagents have an elongated shape and carry the antigen-combining structure and the detection tag at opposite ends, thus allowing for high spatial resolution in electron spectroscopic imaging.

  12. CHROMOSPHERIC EVAPORATION IN AN X1.0 FLARE ON 2014 MARCH 29 OBSERVED WITH IRIS AND EIS

    Energy Technology Data Exchange (ETDEWEB)

    Li, Y.; Ding, M. D. [School of Astronomy and Space Science, Nanjing University, Nanjing 210093 (China); Qiu, J. [Department of Physics, Montana State University, Bozeman, MT 59717 (United States); Cheng, J. X., E-mail: yingli@nju.edu.cn [Shanghai Astronomical Observatory, Chinese Academy of Sciences, Shanghai 200030 (China)

    2015-09-20

    Chromospheric evaporation refers to dynamic mass motions in flare loops as a result of rapid energy deposition in the chromosphere. These motions have been observed as blueshifts in X-ray and extreme-ultraviolet (EUV) spectral lines corresponding to upward motions at a few tens to a few hundreds of km s{sup −1}. Past spectroscopic observations have also revealed a dominant stationary component, in addition to the blueshifted component, in emission lines formed at high temperatures (∼10 MK). This is contradictory to evaporation models predicting predominant blueshifts in hot lines. The recently launched Interface Region Imaging Spectrograph (IRIS) provides high-resolution imaging and spectroscopic observations that focus on the chromosphere and transition region in the UV passband. Using the new IRIS observations, combined with coordinated observations from the EUV Imaging Spectrometer, we study the chromospheric evaporation process from the upper chromosphere to the corona during an X1.0 flare on 2014 March 29. We find evident evaporation signatures, characterized by Doppler shifts and line broadening, at two flare ribbons that are separating from each other, suggesting that chromospheric evaporation takes place in successively formed flaring loops throughout the flare. More importantly, we detect dominant blueshifts in the high-temperature Fe xxi line (∼10 MK), in agreement with theoretical predictions. We also find that, in this flare, gentle evaporation occurs at some locations in the rise phase of the flare, while explosive evaporation is detected at some other locations near the peak of the flare. There is a conversion from gentle to explosive evaporation as the flare evolves.

  13. High-Resolution Sonars: What Resolution Do We Need for Target Recognition?

    Directory of Open Access Journals (Sweden)

    Pailhas Yan

    2010-01-01

    Full Text Available Target recognition in sonar imagery has long been an active research area in the maritime domain, especially in the mine-counter measure context. Recently it has received even more attention as new sensors with increased resolution have been developed; new threats to critical maritime assets and a new paradigm for target recognition based on autonomous platforms have emerged. With the recent introduction of Synthetic Aperture Sonar systems and high-frequency sonars, sonar resolution has dramatically increased and noise levels decreased. Sonar images are distance images but at high resolution they tend to appear visually as optical images. Traditionally algorithms have been developed specifically for imaging sonars because of their limited resolution and high noise levels. With high-resolution sonars, algorithms developed in the image processing field for natural images become applicable. However, the lack of large datasets has hampered the development of such algorithms. Here we present a fast and realistic sonar simulator enabling development and evaluation of such algorithms.We develop a classifier and then analyse its performances using our simulated synthetic sonar images. Finally, we discuss sensor resolution requirements to achieve effective classification of various targets and demonstrate that with high resolution sonars target highlight analysis is the key for target recognition.

  14. High-resolution UV-visible spectroscopy of lunar red spots

    Science.gov (United States)

    Bruno, B. C.; Lucey, P. G.; Hawke, B. R.

    1991-01-01

    A spectral reflectance study of selected lunar 'red spots', highland areas characterized by an absorption in the ultraviolet relative to the visible was conducted. Some red spots were suggested to be the sites of ancient highland volcanism. High-resolution spectral data of eight red spots on the western portion of the moon over the wavelength region 0.39-0.82 micron were obtained. Much spectral variation among these red spots in the magnitude as well as the wavelength position of the ultraviolet absorption were found. Spectral structure at visible and near-infrared wavelength were also identified. These spectral differences indicate that red spots do not have a single mineralogical composition, which in turn suggests that red spots may have multiple origins. Additional imaging spectroscopic observations were taken of the Herigonius red spot, a morphologically complex region northeast of Mare Humorum. These data reveal significant spectral differences among the various morphological units within the Herigonius red spot. Although some of these are likely due to the effects of the maturation process, others appear to reflect differences in mineral abundances and composition.

  15. A high-resolution photoelectron imaging and theoretical study of CP- and C2P.

    Science.gov (United States)

    Czekner, Joseph; Cheung, Ling Fung; Johnson, Eric L; Fortenberry, Ryan C; Wang, Lai-Sheng

    2018-01-28

    The discovery of interstellar anions has been a milestone in astrochemistry. In the search for new interstellar anions, CP - and C 2 P - are viable candidates since their corresponding neutrals have already been detected astronomically. However, scarce data exist for these negatively charged species. Here we report the electron affinities of CP and C 2 P along with the vibrational frequencies of their anions using high-resolution photoelectron imaging. These results along with previous spectroscopic data of the neutral species are used further to benchmark very accurate quartic force field quantum chemical methods that are applied to CP, CP - , C 2 P, and two electronic states of C 2 P - . The predicted electron affinities, vibrational frequencies, and rotational constants are in excellent agreement with the experimental data. The electron affinities of CP (2.8508 ± 0.0007 eV) and C 2 P (2.6328 ± 0.0006 eV) are measured accurately and found to be quite high, suggesting that the CP - and C 2 P - anions are thermodynamically stable and possibly observable. The current study suggests that the combination of high-resolution photoelectron imaging and quantum chemistry can be used to determine accurate molecular constants for exotic radical species of astronomical interest.

  16. The EUV Spectrum of Sunspot Plumes Observed by SUMER on ...

    Indian Academy of Sciences (India)

    tribpo

    Abstract. We present results from sunspot observations obtained by. SUMER on SOHO. In sunspot plumes the EUV spectrum differs from the quiet Sun; continua are observed with different slopes and intensities; emission lines from molecular hydrogen and many unidentified species indicate unique plasma conditions ...

  17. Analysis of corrosion layers in ancient Roman silver coins with high resolution surface spectroscopic techniques

    International Nuclear Information System (INIS)

    Keturakis, Christopher J.; Notis, Ben; Blenheim, Alex; Miller, Alfred C.; Pafchek, Rob; Notis, Michael R.; Wachs, Israel E.

    2016-01-01

    Highlights: • Five ancient silver alloy coins (225 BCE–244 CE) were analyzed using surface characterization techniques. • Both destructive and non-destructive surface characterization methods were developed. • Alloying with copper, even in small amounts, leads to the formation of an outer Cu 2 O corrosion layer. - Abstract: Determination of the microchemistry of surface corrosion layers on ancient silver alloy coins is important both in terms of understanding the nature of archaeological environmental conditions to which these ancient coins were exposed and also to help in their conservation. In this present study, five ancient silver alloy coins (225 BCE–244 CE) were used as test vehicles to measure their immediate surface microchemistry and evaluate the appropriateness and limitations of High Sensitivity-Low Energy Ion Scattering Spectroscopy (HS-LEIS, 0.3 nm depth analysis), High Resolution-X-ray Photoelectron Spectroscopy (HR-XPS, 1–3 nm depth analysis) and High Resolution-Raman Spectroscopy (HR-Raman, ∼1000 nm depth analysis). Additional information about the deeper corrosion layers, up to ∼300–1000 nm, was provided by dynamic HS-LEIS and HR-Raman spectroscopy. While not archeologically significant, the use of these coins of small commercial value provides data that is more representative of the weaker signals typically obtained from ancient corroded objects, which can be in stark contrast to pristine data often obtained from carefully prepared alloys of known composition. The oldest coins, from 225 to 214 BCE, possessed an outermost surface layer containing Cu 2 O, Na, Al, Pb, and adsorbed hydrocarbons, while the more recent coins, from 98 to 244 CE, contained Cu 2 O, Ag, N, F, Na, Al, S, Cl, and adsorbed hydrocarbons in similar corresponding surface layers. It thus appears that alloying with copper, even in small amounts, leads to the formation of an outer Cu 2 O layer. Depth profiling revealed the presence of K, Na, Cl, and S as key

  18. EUV-driven ionospheres and electron transport on extrasolar giant planets orbiting active stars

    Science.gov (United States)

    Chadney, J. M.; Galand, M.; Koskinen, T. T.; Miller, S.; Sanz-Forcada, J.; Unruh, Y. C.; Yelle, R. V.

    2016-03-01

    The composition and structure of the upper atmospheres of extrasolar giant planets (EGPs) are affected by the high-energy spectrum of their host stars from soft X-rays to the extreme ultraviolet (EUV). This emission depends on the activity level of the star, which is primarily determined by its age. In this study, we focus upon EGPs orbiting K- and M-dwarf stars of different ages - ɛ Eridani, AD Leonis, AU Microscopii - and the Sun. X-ray and EUV (XUV) spectra for these stars are constructed using a coronal model. These spectra are used to drive both a thermospheric model and an ionospheric model, providing densities of neutral and ion species. Ionisation - as a result of stellar radiation deposition - is included through photo-ionisation and electron-impact processes. The former is calculated by solving the Lambert-Beer law, while the latter is calculated from a supra-thermal electron transport model. We find that EGP ionospheres at all orbital distances considered (0.1-1 AU) and around all stars selected are dominated by the long-lived H+ ion. In addition, planets with upper atmospheres where H2 is not substantially dissociated (at large orbital distances) have a layer in which H3+ is the major ion at the base of the ionosphere. For fast-rotating planets, densities of short-lived H3+ undergo significant diurnal variations, with the maximum value being driven by the stellar X-ray flux. In contrast, densities of longer-lived H+ show very little day/night variability and the magnitude is driven by the level of stellar EUV flux. The H3+ peak in EGPs with upper atmospheres where H2 is dissociated (orbiting close to their star) under strong stellar illumination is pushed to altitudes below the homopause, where this ion is likely to be destroyed through reactions with heavy species (e.g. hydrocarbons, water). The inclusion of secondary ionisation processes produces significantly enhanced ion and electron densities at altitudes below the main EUV ionisation peak, as

  19. The comparative effect of FUV, EUV and X-ray disc photoevaporation on gas giant separations

    Science.gov (United States)

    Jennings, Jeff; Ercolano, Barbara; Rosotti, Giovanni P.

    2018-04-01

    Gas giants' early (≲ 5 Myr) orbital evolution occurs in a disc losing mass in part to photoevaporation driven by high energy irradiance from the host star. This process may ultimately overcome viscous accretion to disperse the disc and halt migrating giants by starving their orbits of gas, imprinting on giant planet separations in evolved systems. Inversion of this distribution could then give insight into whether stellar FUV, EUV or X-ray flux dominates photoevaporation, constraining planet formation and disc evolution models. We use a 1D hydrodynamic code in population syntheses for gas giants undergoing Type II migration in a viscously evolving disc subject to either a primarily FUV, EUV or X-ray flux from a pre-solar T Tauri star. The photoevaporative mass loss profile's unique peak location and width in each energetic regime produces characteristic features in the distribution of giant separations: a severe dearth of ≲ 2 MJ planets interior to 5 AU in the FUV scenario, a sharp concentration of ≲ 3 MJ planets between ≈1.5 - 2 AU in the EUV case, and a relative abundance of ≈2 - 3.5 MJ giants interior to 0.5 AU in the X-ray model. These features do not resemble the observational sample of gas giants with mass constraints, though our results do show some weaker qualitative similarities. We thus assess how the differing photoevaporative profiles interact with migrating giants and address the effects of large model uncertainties as a step to better connect disc models with trends in the exoplanet population.

  20. NiO as a test case for high resolution resonant inelastic soft x-ray scattering

    International Nuclear Information System (INIS)

    Ghiringhelli, G; Matsubara, M; Dallera, C; Fracassi, F; Gusmeroli, R; Piazzalunga, A; Tagliaferri, A; Brookes, N B; Kotani, A; Braicovich, L

    2005-01-01

    Resonant inelastic x-ray scattering (RIXS) at the L 2,3 edges of 3d transition metal compounds has recently become a high resolution spectroscopic technique thanks to improvements in the instrumentation. We have chosen the prototypical case of NiO to explore the various levels of interpretation applicable to L 3 RIXS spectra of strongly correlated electron systems. Starting from a set of experimental data measured across the Ni L 3 absorption edge with 550 meV combined energy resolution, we analyse the rich spectral structure within an atomic framework. The spectra can be separated into dd and charge transfer excitation regions. The dd excitations can be interpreted and well reproduced within a crystal field model. The charge transfer excitations are analysed through the comparison with calculations made in the Anderson impurity model. A series of parameters belonging to the proposed models (crystal field strength, charge transfer energy, hybridization integrals) can thus be extracted in a very direct and unambiguous way

  1. Effect of solar UV/EUV heating on the intensity and spatial distribution of Jupiter's synchrotron radiation

    Science.gov (United States)

    Kita, H.; Misawa, H.; Tsuchiya, F.; Tao, C.; Morioka, A.

    2013-10-01

    We analyzed the Very Large Array archived data observed in 2000 to determine whether solar ultraviolet (UV)/extreme ultraviolet (EUV) heating of the Jovian thermosphere causes variations in the total flux density and dawn-dusk asymmetry (the characteristic differences between the peak emissions at dawn and dusk) of Jupiter's synchrotron radiation (JSR). The total flux density varied by 10% over 6 days of observations and accorded with theoretical expectations. The average dawn-dusk peak emission ratio indicated that the dawn side emissions were brighter than those on the dusk side and this was expected to have been caused by diurnal wind induced by the solar UV/EUV. The daily variations in the dawn-dusk ratio did not correspond to the solar UV/EUV, and this finding did not support the theoretical expectation that the dawn-dusk ratio and diurnal wind velocity varies in correspondence with the solar UV/EUV. We tried to determine whether the average dawn-dusk ratio could be explained by a reasonable diurnal wind velocity. We constructed an equatorial brightness distribution model of JSR using the revised Divine-Garrett particle distribution model and used it to derive a relation between the dawn-dusk ratio and diurnal wind velocity. The estimated diurnal wind velocity reasonably corresponded to a numerical simulation of the Jovian thermosphere. We also found that realistic changes in the diurnal wind velocity could not cause the daily variations in the dawn-dusk ratio. Hence, we propose that the solar UV/EUV related variations were below the detection limit and some other processes dominated the daily variations in the dawn-dusk ratio.

  2. High-resolution electron spectroscopy of the 1s23lnl' Be-like series in oxygen and neon. Test of theoretical data: I. Experimental method and theoretical background

    International Nuclear Information System (INIS)

    Bordenave-Montesquieu, A; Moretto-Capelle, P; Bordenave-Montesquieu, D

    2003-01-01

    A complete and accurate experimental test of theoretical spectroscopic data sets (state positions, lifetimes) available for the n = 3-5 terms of the 1s 2 3lnl' Rydberg series of oxygen and neon ions is presented in a series of two papers. This result was achieved by fitting our high resolution electron spectra with post-collisional lineshapes calculated with the help of these spectroscopic data. In this paper the method which has been developed for this fitting procedure is explained. In addition, as a first test, a comparison of all the available calculated spectroscopic data is presented and discussed. Strong deviations of transition energies and decay lifetimes are observed in many cases. Best data are selected in the following companion paper through a quantitative comparison with our experimental electron spectra

  3. Validation of the Earth atmosphere models using the EUV solar occultation data from the CORONAS and PROBA 2 instruments

    Science.gov (United States)

    Slemzin, Vladimir; Kuzin, Sergey; Berghmans, David; Pertsov, Andrey; Dominique, Marie; Ulyanov, Artyom; Gaikovich, Konstantin

    Absorption in the atmosphere below 500 km results in attenuation of the solar EUV flux, variation of its spectra and distortion of solar images acquired by solar EUV instruments operating on LEO satellites even on solar synchronous orbits. Occultation measurements are important for planning of solar observations from these satellites, and can be used for monitoring the upper atmosphere as well as for studying its response to the solar activity. We present the results of the occultation measurements of the solar EUV radiation obtained by the CORONAS-F/SPIRIT telescope at high solar activity (2002), by the CORONAS-Photon/TESIS telescope at low activity (2009), and by the SWAP telescope and LYRA radiometer onboard the PROBA 2 satellite at moderate activity (2010). The measured attenuation profiles and the retrieved linear extinction coefficients at the heights 200-500 km are compared with simulations by the NRLMSIS-00 and DTM2013 atmospheric models. It was shown that the results of simulations by the DTM2013 model are well agreed with the data of measurements at all stages of solar activity and in presence of the geomagnetic storm, whereas the results of the NRLMSISE-00 model significantly diverge from the measurements, in particular, at high and low activity. The research leading to these results has received funding from the European Union’s Seventh Programme for Research, Technological Development and Demonstration under Grant Agreement “eHeroes” (project No.284461, www.eheroes.eu).

  4. High performance imaging of relativistic soft X-ray harmonics by sub-micron resolution LiF film detectors

    Energy Technology Data Exchange (ETDEWEB)

    Pikuz, Tatiana; Faenov, Anatoly [Quantum Beam Science Directorate, Japan Atomic Energy Agency, Kizugawa, Kyoto 619-0215 (Japan); Joint Institute for High Temperatures, Russian Academy of Sciences, Moscow 125412 (Russian Federation); Pirozhkov, Alexander; Esirkepov, Timur; Koga, James; Nakamura, Tatsufumi; Bulanov, Sergei; Fukuda, Yuji; Hayashi, Yukio; Kotaki, Hideyuki; Kando, Masaki [Quantum Beam Science Directorate, Japan Atomic Energy Agency, Kizugawa, Kyoto 619-0215 (Japan); Astapov, Artem; Pikuz, Sergey Jr. [Joint Institute for High Temperatures, Russian Academy of Sciences, Moscow 125412 (Russian Federation); Klushin, Georgy [Joint Institute for High Temperatures, Russian Academy of Sciences, Moscow 125412 (Russian Federation); International Laser Center of M.V. Lomonosov Moscow State University, Moscow (Russian Federation); Nagorskiy, Nikolai; Magnitskiy, Sergei [International Laser Center of M.V. Lomonosov Moscow State University, Moscow (Russian Federation); Kato, Yoshiaki [The Graduate School for the Creation of New Photonics Industries, Hamamatsu, Shizuoka (Japan)

    2012-12-15

    The spectrum variation and the coherent properties of the high-order harmonics (HOH) generated by an oscillating electron spikes formed at the joint of the boundaries of a cavity and a bow wave, which are created by a relativistically self-focusing laser in underdense gas jet plasma, are investigated. This new mechanism for HOH generation efficiently produces emission from ultraviolet up to the XUV ''water window'' spectral range. To characterize such source in the wide spectral range a diffraction imaging technique is applied. High spatial resolution EUV and soft X-ray LiF film detector have been used for precise measurements of diffraction patterns. The measurements under observation angle of 8 to the axis of laser beam propagation have been performed. The diffraction patterns were observed on the detector clearly, when the square mesh was placed at the distance of 500 mm from the output of plasma and at the distance of 27.2 mm in front of the detector. It is shown that observed experimental patterns are well consistent with modeled ones for theoretical HOH spectrum, provided by particle-in-cell simulations of a relativistic-irradiance laser pulse interaction with underdense plasma (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. The Diagnostics of the kappa-Distributions from EUV Spectra

    Czech Academy of Sciences Publication Activity Database

    Dzifčáková, Elena; Kulinová, Alena

    2010-01-01

    Roč. 263, 1-2 (2010), s. 25-41 ISSN 0038-0938 R&D Projects: GA ČR GA205/09/1705 Grant - others:VEGA(SK) 1/0069/08 Institutional research plan: CEZ:AV0Z10030501 Keywords : EUV spectra * non- thermal distributions * plasma diagnostics Subject RIV: BN - Astronomy, Celestial Mechanics, Astrophysics Impact factor: 3.386, year: 2010

  6. Driving down defect density in composite EUV patterning film stacks

    Science.gov (United States)

    Meli, Luciana; Petrillo, Karen; De Silva, Anuja; Arnold, John; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex; Durrant, Danielle; Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Kawakami, Shinichiro; Matsunaga, Koichi

    2017-03-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.

  7. Marvel Analysis of the Measured High-resolution Rovibronic Spectra of TiO

    Science.gov (United States)

    McKemmish, Laura K.; Masseron, Thomas; Sheppard, Samuel; Sandeman, Elizabeth; Schofield, Zak; Furtenbacher, Tibor; Császár, Attila G.; Tennyson, Jonathan; Sousa-Silva, Clara

    2017-02-01

    Accurate, experimental rovibronic energy levels, with associated labels and uncertainties, are reported for 11 low-lying electronic states of the diatomic {}48{{Ti}}16{{O}} molecule, determined using the Marvel (Measured Active Rotational-Vibrational Energy Levels) algorithm. All levels are based on lines corresponding to critically reviewed and validated high-resolution experimental spectra taken from 24 literature sources. The transition data are in the 2-22,160 cm-1 region. Out of the 49,679 measured transitions, 43,885 are triplet-triplet, 5710 are singlet-singlet, and 84 are triplet-singlet transitions. A careful analysis of the resulting experimental spectroscopic network (SN) allows 48,590 transitions to be validated. The transitions determine 93 vibrational band origins of {}48{{Ti}}16{{O}}, including 71 triplet and 22 singlet ones. There are 276 (73) triplet-triplet (singlet-singlet) band-heads derived from Marvel experimental energies, 123(38) of which have never been assigned in low- or high-resolution experiments. The highest J value, where J stands for the total angular momentum, for which an energy level is validated is 163. The number of experimentally derived triplet and singlet {}48{{Ti}}16{{O}} rovibrational energy levels is 8682 and 1882, respectively. The lists of validated lines and levels for {}48{{Ti}}16{{O}} are deposited in the supporting information to this paper.

  8. Berkeley High-Resolution Ball

    International Nuclear Information System (INIS)

    Diamond, R.M.

    1984-10-01

    Criteria for a high-resolution γ-ray system are discussed. Desirable properties are high resolution, good response function, and moderate solid angle so as to achieve not only double- but triple-coincidences with good statistics. The Berkeley High-Resolution Ball involved the first use of bismuth germanate (BGO) for anti-Compton shield for Ge detectors. The resulting compact shield permitted rather close packing of 21 detectors around a target. In addition, a small central BGO ball gives the total γ-ray energy and multiplicity, as well as the angular pattern of the γ rays. The 21-detector array is nearly complete, and the central ball has been designed, but not yet constructed. First results taken with 9 detector modules are shown for the nucleus 156 Er. The complex decay scheme indicates a transition from collective rotation (prolate shape) to single- particle states (possibly oblate) near spin 30 h, and has other interesting features

  9. High-Resolution Spectroscopic Observations of Potassium Emissions in the Lunar Exosphere

    Science.gov (United States)

    Robertson, Sarena D.; Oliversen, Ronald J.; Mierkiewicz, Edwin J.; Kuruppuaratchi, Dona Chathuni P.; Derr, Nicholas James; Gallant, Margaret A.; McFarland, Christina G.; Sarantos, Menelaos

    2018-01-01

    We investigate lunar exospheric potassium D1 emissions (7698.9646 Å) using high-resolution (R = 180,000 or 1.7 km/s) spectroscopy with our dual-etalon Fabry-Perot instrument to measure line widths and radial velocities. The Field of View (FOV) is 2 arcmins (~224 km at the mean lunar distance of 384,400 km) positioned tangent to the sunlit limb. The FOV placements are at cardinal directions from a variety of reference craters. All observations are collected at the National Solar Observatory McMath-Pierce Telescope in Kitt Peak, Arizona. The data are from several observations from 2014 through 2017 at various times of the year. Results are produced via a newly created automated data reduction using Python. Python was chosen as an open-source alternative to the previously used IDL and MATLAB scripts to decrease the cost of software licenses and maintenance. The potassium spectral line profiles provide a direct method to track exospheric effective temperatures and velocities. By monitoring the state of the potassium emissions over different lunar phases, solar activity, and the influx of meteor streams, we can constrain physical processes of sources and sinks at the lunar surface. Mechanisms that create the exosphere include photon-stimulated desorption, thermal evaporation, meteoroid impact vaporization, and ion sputtering via solar wind. In contrast, the exosphere is diminished due to the low lunar escape velocity, solar radiation pressure, and neutral gas being ionized and swept away by the interplanetary and terrestrial magnetic field. Preliminary analysis of 2017 data (January through June, excluding February) indicates an average potassium temperature of 1140 K but varying over the range of 550 K to 2000 K. Preliminary results from 2014 data depict a similar range of temperatures to that of 2017. Further analysis is expected for additional data from 2014 to later observations in 2017 that were not included in the initial set of models.

  10. Extracting the redox orbitals in Li battery materials with high-resolution x-ray compton scattering spectroscopy.

    Science.gov (United States)

    Suzuki, K; Barbiellini, B; Orikasa, Y; Go, N; Sakurai, H; Kaprzyk, S; Itou, M; Yamamoto, K; Uchimoto, Y; Wang, Yung Jui; Hafiz, H; Bansil, A; Sakurai, Y

    2015-02-27

    We present an incisive spectroscopic technique for directly probing redox orbitals based on bulk electron momentum density measurements via high-resolution x-ray Compton scattering. Application of our method to spinel Li_{x}Mn_{2}O_{4}, a lithium ion battery cathode material, is discussed. The orbital involved in the lithium insertion and extraction process is shown to mainly be the oxygen 2p orbital. Moreover, the manganese 3d states are shown to experience spatial delocalization involving 0.16±0.05 electrons per Mn site during the battery operation. Our analysis provides a clear understanding of the fundamental redox process involved in the working of a lithium ion battery.

  11. High and ultra-high resolution metabolite mapping of the human brain using 1H FID MRSI at 9.4T.

    Science.gov (United States)

    Nassirpour, Sahar; Chang, Paul; Henning, Anke

    2018-03-01

    Magnetic resonance spectroscopic imaging (MRSI) is a promising technique for mapping the spatial distribution of multiple metabolites in the human brain. These metabolite maps can be used as a diagnostic tool to gain insight into several biochemical processes and diseases in the brain. In comparison to lower field strengths, MRSI at ultra-high field strengths benefits from a higher signal to noise ratio (SNR) as well as higher chemical shift dispersion, and hence spectral resolution. This study combines the benefits of an ultra-high field magnet with the advantages of an ultra-short TE and TR single-slice FID-MRSI sequence (such as negligible J-evolution and loss of SNR due to T 2 relaxation effects) and presents the first metabolite maps acquired at 9.4T in the healthy human brain at both high (voxel size of 97.6µL) and ultra-high (voxel size of 24.4µL) spatial resolutions in a scan time of 11 and 46min respectively. In comparison to lower field strengths, more anatomically-detailed maps with higher SNR from a larger number of metabolites are shown. A total of 12 metabolites including glutamate (Glu), glutamine (Gln), N-acetyl-aspartyl-glutamate (NAAG), Gamma-aminobutyric acid (GABA) and glutathione (GSH) are reliably mapped. Comprehensive description of the methodology behind these maps is provided. Copyright © 2016 Elsevier Inc. All rights reserved.

  12. How spectroscopic x-ray imaging benefits from inter-pixel communication

    CERN Document Server

    Koenig, Thomas; Hamann, Elias; Cecilia, Angelica; Ballabriga, Rafael; Campbell, Michael; Ruat, Marie; Tlustos, Lukas; Fauler, Alex; Fiederle, Michael; Baumbach, Tilo

    2014-01-01

    Spectroscopic x-ray imaging based on pixellated semiconductor detectors can be sensitive to charge sharing and K-fluorescence, depending on the sensor material used, its thickness and the pixel pitch employed. As a consequence, spectroscopic resolution is partially lost. In this paper, we study a new detector ASIC, the Medipix3RX, that offers a novel feature called charge summing, which is established by making adjacent pixels communicate with each other. Consequently, single photon interactions resulting in multiple hits are almost completely avoided. We investigate this charge summing mode with respect to those of its imaging properties that are of interest in medical physics and benchmark them against the case without charge summing. In particular, we review its influence on spectroscopic resolution and find that the low energy bias normally present when recording energy spectra is dramatically reduced. Furthermore, we show that charge summing provides a modulation transfer function which is almost indepen...

  13. Magnetic resonance spectroscopic imaging at superresolution: Overview and perspectives.

    Science.gov (United States)

    Kasten, Jeffrey; Klauser, Antoine; Lazeyras, François; Van De Ville, Dimitri

    2016-02-01

    The notion of non-invasive, high-resolution spatial mapping of metabolite concentrations has long enticed the medical community. While magnetic resonance spectroscopic imaging (MRSI) is capable of achieving the requisite spatio-spectral localization, it has traditionally been encumbered by significant resolution constraints that have thus far undermined its clinical utility. To surpass these obstacles, research efforts have primarily focused on hardware enhancements or the development of accelerated acquisition strategies to improve the experimental sensitivity per unit time. Concomitantly, a number of innovative reconstruction techniques have emerged as alternatives to the standard inverse discrete Fourier transform (DFT). While perhaps lesser known, these latter methods strive to effect commensurate resolution gains by exploiting known properties of the underlying MRSI signal in concert with advanced image and signal processing techniques. This review article aims to aggregate and provide an overview of the past few decades of so-called "superresolution" MRSI reconstruction methodologies, and to introduce readers to current state-of-the-art approaches. A number of perspectives are then offered as to the future of high-resolution MRSI, with a particular focus on translation into clinical settings. Copyright © 2015 Elsevier Inc. All rights reserved.

  14. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    NARCIS (Netherlands)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Louis, Eric; Bijkerk, Frederik

    2017-01-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV

  15. The SOLAR-C Mission

    Science.gov (United States)

    Suematsu, Y.

    2015-12-01

    The Solar-C is a Japan-led international solar mission planned to be launched in mid2020. It is designed to investigate the magnetic activities of the Sun, focusing on the study in heating and dynamical phenomena of the chromosphere and corona, and also to develop an algorithm for predicting short and long term solar evolution. Since it has been revealed that the different parts of the magnetized solar atmosphere are essentially coupled, the SOLAR-C should tackle the spatial scales and temperature regimes that need to be observed in order to achieve a comprehensive physical understanding of this coupling. The science of Solar-C will greatly advance our understanding of the Sun, of basic physical processes operating throughout the universe. To dramatically improve the situation, SOLAR-C will carry three dedicated instruments; the Solar UV-Vis-IR Telescope (SUVIT), the EUV Spectroscopic Telescope (EUVST) and the High Resolution Coronal Imager (HCI), to jointly observe the entire visible solar atmosphere with essentially the same high spatial resolution (0.1-0.3 arcsec), performing high resolution spectroscopic measurements over all atmospheric regions and spectro-polarimetric measurements from the photosphere through the upper chromosphere. In addition, Solar-C will contribute to our understanding on the influence of the Sun-Earth environments with synergetic wide-field observations from ground-based and other space missions. Some leading science objectives and the mission concept, including designs of the three instruments aboard SOLAR-C will be presented.

  16. High-Resolution PET Detector. Final report

    International Nuclear Information System (INIS)

    Karp, Joel

    2014-01-01

    The objective of this project was to develop an understanding of the limits of performance for a high resolution PET detector using an approach based on continuous scintillation crystals rather than pixelated crystals. The overall goal was to design a high-resolution detector, which requires both high spatial resolution and high sensitivity for 511 keV gammas. Continuous scintillation detectors (Anger cameras) have been used extensively for both single-photon and PET scanners, however, these instruments were based on NaI(Tl) scintillators using relatively large, individual photo-multipliers. In this project we investigated the potential of this type of detector technology to achieve higher spatial resolution through the use of improved scintillator materials and photo-sensors, and modification of the detector surface to optimize the light response function.We achieved an average spatial resolution of 3-mm for a 25-mm thick, LYSO continuous detector using a maximum likelihood position algorithm and shallow slots cut into the entrance surface

  17. The CARMENES search for exoplanets around M dwarfs. High-resolution optical and near-infrared spectroscopy of 324 survey stars

    Science.gov (United States)

    Reiners, A.; Zechmeister, M.; Caballero, J. A.; Ribas, I.; Morales, J. C.; Jeffers, S. V.; Schöfer, P.; Tal-Or, L.; Quirrenbach, A.; Amado, P. J.; Kaminski, A.; Seifert, W.; Abril, M.; Aceituno, J.; Alonso-Floriano, F. J.; Ammler-von Eiff, M.; Antona, R.; Anglada-Escudé, G.; Anwand-Heerwart, H.; Arroyo-Torres, B.; Azzaro, M.; Baroch, D.; Barrado, D.; Bauer, F. F.; Becerril, S.; Béjar, V. J. S.; Benítez, D.; Berdinas˜, Z. M.; Bergond, G.; Blümcke, M.; Brinkmöller, M.; del Burgo, C.; Cano, J.; Cárdenas Vázquez, M. C.; Casal, E.; Cifuentes, C.; Claret, A.; Colomé, J.; Cortés-Contreras, M.; Czesla, S.; Díez-Alonso, E.; Dreizler, S.; Feiz, C.; Fernández, M.; Ferro, I. M.; Fuhrmeister, B.; Galadí-Enríquez, D.; Garcia-Piquer, A.; García Vargas, M. L.; Gesa, L.; Galera, V. Gómez; González Hernández, J. I.; González-Peinado, R.; Grözinger, U.; Grohnert, S.; Guàrdia, J.; Guenther, E. W.; Guijarro, A.; Guindos, E. de; Gutiérrez-Soto, J.; Hagen, H.-J.; Hatzes, A. P.; Hauschildt, P. H.; Hedrosa, R. P.; Helmling, J.; Henning, Th.; Hermelo, I.; Hernández Arabí, R.; Hernández Castaño, L.; Hernández Hernando, F.; Herrero, E.; Huber, A.; Huke, P.; Johnson, E. N.; Juan, E. de; Kim, M.; Klein, R.; Klüter, J.; Klutsch, A.; Kürster, M.; Lafarga, M.; Lamert, A.; Lampón, M.; Lara, L. M.; Laun, W.; Lemke, U.; Lenzen, R.; Launhardt, R.; López del Fresno, M.; López-González, J.; López-Puertas, M.; López Salas, J. F.; López-Santiago, J.; Luque, R.; Magán Madinabeitia, H.; Mall, U.; Mancini, L.; Mandel, H.; Marfil, E.; Marín Molina, J. A.; Maroto Fernández, D.; Martín, E. L.; Martín-Ruiz, S.; Marvin, C. J.; Mathar, R. J.; Mirabet, E.; Montes, D.; Moreno-Raya, M. E.; Moya, A.; Mundt, R.; Nagel, E.; Naranjo, V.; Nortmann, L.; Nowak, G.; Ofir, A.; Oreiro, R.; Pallé, E.; Panduro, J.; Pascual, J.; Passegger, V. M.; Pavlov, A.; Pedraz, S.; Pérez-Calpena, A.; Medialdea, D. Pérez; Perger, M.; Perryman, M. A. C.; Pluto, M.; Rabaza, O.; Ramón, A.; Rebolo, R.; Redondo, P.; Reffert, S.; Reinhart, S.; Rhode, P.; Rix, H.-W.; Rodler, F.; Rodríguez, E.; Rodríguez-López, C.; Rodríguez Trinidad, A.; Rohloff, R.-R.; Rosich, A.; Sadegi, S.; Sánchez-Blanco, E.; Sánchez Carrasco, M. A.; Sánchez-López, A.; Sanz-Forcada, J.; Sarkis, P.; Sarmiento, L. F.; Schäfer, S.; Schmitt, J. H. M. M.; Schiller, J.; Schweitzer, A.; Solano, E.; Stahl, O.; Strachan, J. B. P.; Stürmer, J.; Suárez, J. C.; Tabernero, H. M.; Tala, M.; Trifonov, T.; Tulloch, S. M.; Ulbrich, R. G.; Veredas, G.; Vico Linares, J. I.; Vilardell, F.; Wagner, K.; Winkler, J.; Wolthoff, V.; Xu, W.; Yan, F.; Zapatero Osorio, M. R.

    2018-04-01

    The CARMENES radial velocity (RV) survey is observing 324 M dwarfs to search for any orbiting planets. In this paper, we present the survey sample by publishing one CARMENES spectrum for each M dwarf. These spectra cover the wavelength range 520-1710 nm at a resolution of at least R >80 000, and we measure its RV, Hα emission, and projected rotation velocity. We present an atlas of high-resolution M-dwarf spectra and compare the spectra to atmospheric models. To quantify the RV precision that can be achieved in low-mass stars over the CARMENES wavelength range, we analyze our empirical information on the RV precision from more than 6500 observations. We compare our high-resolution M-dwarf spectra to atmospheric models where we determine the spectroscopic RV information content, Q, and signal-to-noise ratio. We find that for all M-type dwarfs, the highest RV precision can be reached in the wavelength range 700-900 nm. Observations at longer wavelengths are equally precise only at the very latest spectral types (M8 and M9). We demonstrate that in this spectroscopic range, the large amount of absorption features compensates for the intrinsic faintness of an M7 star. To reach an RV precision of 1 m s-1 in very low mass M dwarfs at longer wavelengths likely requires the use of a 10 m class telescope. For spectral types M6 and earlier, the combination of a red visual and a near-infrared spectrograph is ideal to search for low-mass planets and to distinguish between planets and stellar variability. At a 4 m class telescope, an instrument like CARMENES has the potential to push the RV precision well below the typical jitter level of 3-4 m s-1.

  18. Analysis of corrosion layers in ancient Roman silver coins with high resolution surface spectroscopic techniques

    Energy Technology Data Exchange (ETDEWEB)

    Keturakis, Christopher J. [Operando Molecular Spectroscopy and Catalysis Research Laboratory, Department of Chemical Engineering, Lehigh University, Bethlehem, PA 18015 (United States); Notis, Ben [Brandeis University, Waltham, MA 02453 (United States); Blenheim, Alex [Department of Mechanical Engineering, Pennsylvania State University, College Park, PA 16802 (United States); Miller, Alfred C.; Pafchek, Rob [Zettlemoyer Center for Surface Studies, Lehigh University, Bethlehem, PA 18015 (United States); Notis, Michael R., E-mail: mrn1@lehigh.edu [Department of Materials Science and Engineering, Lehigh University, Bethlehem, PA 18015 (United States); Wachs, Israel E., E-mail: iew0@lehigh.edu [Operando Molecular Spectroscopy and Catalysis Research Laboratory, Department of Chemical Engineering, Lehigh University, Bethlehem, PA 18015 (United States)

    2016-07-15

    Highlights: • Five ancient silver alloy coins (225 BCE–244 CE) were analyzed using surface characterization techniques. • Both destructive and non-destructive surface characterization methods were developed. • Alloying with copper, even in small amounts, leads to the formation of an outer Cu{sub 2}O corrosion layer. - Abstract: Determination of the microchemistry of surface corrosion layers on ancient silver alloy coins is important both in terms of understanding the nature of archaeological environmental conditions to which these ancient coins were exposed and also to help in their conservation. In this present study, five ancient silver alloy coins (225 BCE–244 CE) were used as test vehicles to measure their immediate surface microchemistry and evaluate the appropriateness and limitations of High Sensitivity-Low Energy Ion Scattering Spectroscopy (HS-LEIS, 0.3 nm depth analysis), High Resolution-X-ray Photoelectron Spectroscopy (HR-XPS, 1–3 nm depth analysis) and High Resolution-Raman Spectroscopy (HR-Raman, ∼1000 nm depth analysis). Additional information about the deeper corrosion layers, up to ∼300–1000 nm, was provided by dynamic HS-LEIS and HR-Raman spectroscopy. While not archeologically significant, the use of these coins of small commercial value provides data that is more representative of the weaker signals typically obtained from ancient corroded objects, which can be in stark contrast to pristine data often obtained from carefully prepared alloys of known composition. The oldest coins, from 225 to 214 BCE, possessed an outermost surface layer containing Cu{sub 2}O, Na, Al, Pb, and adsorbed hydrocarbons, while the more recent coins, from 98 to 244 CE, contained Cu{sub 2}O, Ag, N, F, Na, Al, S, Cl, and adsorbed hydrocarbons in similar corresponding surface layers. It thus appears that alloying with copper, even in small amounts, leads to the formation of an outer Cu{sub 2}O layer. Depth profiling revealed the presence of K, Na, Cl, and

  19. High-cadence Imaging and Imaging Spectroscopy at the GREGOR Solar Telescope—A Collaborative Research Environment for High-resolution Solar Physics

    Science.gov (United States)

    Denker, Carsten; Kuckein, Christoph; Verma, Meetu; González Manrique, Sergio J.; Diercke, Andrea; Enke, Harry; Klar, Jochen; Balthasar, Horst; Louis, Rohan E.; Dineva, Ekaterina

    2018-05-01

    In high-resolution solar physics, the volume and complexity of photometric, spectroscopic, and polarimetric ground-based data significantly increased in the last decade, reaching data acquisition rates of terabytes per hour. This is driven by the desire to capture fast processes on the Sun and the necessity for short exposure times “freezing” the atmospheric seeing, thus enabling ex post facto image restoration. Consequently, large-format and high-cadence detectors are nowadays used in solar observations to facilitate image restoration. Based on our experience during the “early science” phase with the 1.5 m GREGOR solar telescope (2014–2015) and the subsequent transition to routine observations in 2016, we describe data collection and data management tailored toward image restoration and imaging spectroscopy. We outline our approaches regarding data processing, analysis, and archiving for two of GREGOR’s post-focus instruments (see http://gregor.aip.de), i.e., the GREGOR Fabry–Pérot Interferometer (GFPI) and the newly installed High-Resolution Fast Imager (HiFI). The heterogeneous and complex nature of multidimensional data arising from high-resolution solar observations provides an intriguing but also a challenging example for “big data” in astronomy. The big data challenge has two aspects: (1) establishing a workflow for publishing the data for the whole community and beyond and (2) creating a collaborative research environment (CRE), where computationally intense data and postprocessing tools are colocated and collaborative work is enabled for scientists of multiple institutes. This requires either collaboration with a data center or frameworks and databases capable of dealing with huge data sets based on virtual observatory (VO) and other community standards and procedures.

  20. Extreme ultra-violet movie camera for imaging microsecond time scale magnetic reconnection

    International Nuclear Information System (INIS)

    Chai, Kil-Byoung; Bellan, Paul M.

    2013-01-01

    An ultra-fast extreme ultra-violet (EUV) movie camera has been developed for imaging magnetic reconnection in the Caltech spheromak/astrophysical jet experiment. The camera consists of a broadband Mo:Si multilayer mirror, a fast decaying YAG:Ce scintillator, a visible light block, and a high-speed visible light CCD camera. The camera can capture EUV images as fast as 3.3 × 10 6 frames per second with 0.5 cm spatial resolution. The spectral range is from 20 eV to 60 eV. EUV images reveal strong, transient, highly localized bursts of EUV radiation when magnetic reconnection occurs

  1. Extreme ultra-violet movie camera for imaging microsecond time scale magnetic reconnection

    Energy Technology Data Exchange (ETDEWEB)

    Chai, Kil-Byoung; Bellan, Paul M. [Applied Physics, Caltech, 1200 E. California Boulevard, Pasadena, California 91125 (United States)

    2013-12-15

    An ultra-fast extreme ultra-violet (EUV) movie camera has been developed for imaging magnetic reconnection in the Caltech spheromak/astrophysical jet experiment. The camera consists of a broadband Mo:Si multilayer mirror, a fast decaying YAG:Ce scintillator, a visible light block, and a high-speed visible light CCD camera. The camera can capture EUV images as fast as 3.3 × 10{sup 6} frames per second with 0.5 cm spatial resolution. The spectral range is from 20 eV to 60 eV. EUV images reveal strong, transient, highly localized bursts of EUV radiation when magnetic reconnection occurs.

  2. RapidNano: towards 20nm Particle Detection on EUV Mask Blanks

    NARCIS (Netherlands)

    Donck, J.C.J. van der; Bussink, P.G.W.; Fritz, E.C.; Walle, P. van der

    2016-01-01

    Cleanliness is a prerequisite for obtaining economically feasible yield levels in the semiconductor industry. For the next generation of lithographic equipment, EUV lithography, the size of yield-loss inducing particles for the masks will be smaller than 20 nm. Consequently, equipment for handling

  3. Uncovering New Thermal and Elastic Properties of Nanostructured Materials Using Coherent EUV Light

    Science.gov (United States)

    Hernandez Charpak, Jorge Nicolas

    Advances in nanofabrication have pushed the characteristic dimensions of nanosystems well below 100nm, where physical properties are often significantly different from their bulk counterparts, and accurate models are lacking. Critical technologies such as thermoelectrics for energy harvesting, nanoparticle-mediated thermal therapy, nano-enhanced photovoltaics, and efficient thermal management in integrated circuits depend on our increased understanding of the nanoscale. However, traditional microscopic characterization tools face fundamental limits at the nanoscale. Theoretical efforts to build a fundamental picture of nanoscale thermal dynamics lack experimental validation and still struggle to account for newly reported behaviors. Moreover, precise characterization of the elastic behavior of nanostructured systems is needed for understanding the unique physics that become apparent in small-scale systems, such as thickness-dependent or fabrication-dependent elastic properties. In essence, our ability to fabricate nanosystems has outstripped our ability to understand and characterize them. In my PhD thesis, I present the development and refinement of coherent extreme ultraviolet (EUV) nanometrology, a novel tool used to probe material properties at the intrinsic time- and length-scales of nanoscale dynamics. By extending ultrafast photoacoustic and thermal metrology techniques to very short probing wavelengths using tabletop coherent EUV beams from high-harmonic upconversion (HHG) of femtosecond lasers, coherent EUV nanometrology allows for a new window into nanoscale physics, previously unavailable with traditional techniques. Using this technique, I was able to probe both thermal and acoustic dynamics in nanostructured systems with characteristic dimensions below 50nm with high temporal (sub-ps) and spatial (size and spacing of the nanoscale heat sources with the phonon spectrum of a material. This makes our technique one of the only experimental routes to

  4. Field-widened Michelson interferometer for spectral discrimination in high-spectral-resolution lidar: practical development.

    Science.gov (United States)

    Cheng, Zhongtao; Liu, Dong; Zhang, Yupeng; Yang, Yongying; Zhou, Yudi; Luo, Jing; Bai, Jian; Shen, Yibing; Wang, Kaiwei; Liu, Chong; Su, Lin; Yang, Liming

    2016-04-04

    A field-widened Michelson interferometer (FWMI), which is intended as the spectroscopic discriminator in ground-based high-spectral-resolution lidar (HSRL) for atmospheric aerosol detection, is described in this paper. The structure, specifications and design of the developed prototype FWMI are introduced, and an experimental approach is proposed to optimize the FWMI assembly and evaluate its comprehensive characteristic simultaneously. Experimental results show that, after optimization process, the peak-to-valley (PV) value and root-mean-square (RMS) value of measured OPD variation for the FWMI are 0.04λ and 0.008λ respectively among the half divergent angle range of 1.5 degree. Through an active locking technique, the frequency of the FWMI can be locked to the laser transmitter with accuracy of 27 MHz for more than one hour. The practical spectral discrimination ratio (SDR) for the developed FWMI is evaluated to be larger than 86 if the divergent angle of incident beam is smaller than 0.5 degree. All these results demonstrate the great potential of the developed FWMI as the spectroscopic discriminator for HSRLs, as well as the feasibility of the proposed design and optimization process. This paper is expected to provide a good entrance for the lidar community in future HSRL developments using the FWMI technique.

  5. Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering

    Science.gov (United States)

    Soltwisch, Victor; Wernecke, Jan; Haase, Anton; Probst, Jürgen; Schoengen, Max; Krumrey, Michael; Scholze, Frank; Pomplun, Jan; Burger, Sven

    2014-09-01

    Non-imaging techniques like X-ray scattering are supposed to play an important role in the further development of CD metrology for the semiconductor industry. Grazing Incidence Small Angle X-ray Scattering (GISAXS) provides directly assessable information on structure roughness and long-range periodic perturbations. The disadvantage of the method is the large footprint of the X-ray beam on the sample due to the extremely shallow angle of incidence. This can be overcome by using wavelengths in the extreme ultraviolet (EUV) spectral range, EUV small angle scattering (EUVSAS), which allows for much steeper angles of incidence but preserves the range of momentum transfer that can be observed. Generally, the potentially higher momentum transfer at shorter wavelengths is counterbalanced by decreasing diffraction efficiency. This results in a practical limit of about 10 nm pitch for which it is possible to observe at least the +/- 1st diffraction orders with reasonable efficiency. At the Physikalisch-Technische Bundesanstalt (PTB), the available photon energy range extends from 50 eV up to 10 keV at two adjacent beamlines. PTB commissioned a new versatile Ellipso-Scatterometer which is capable of measuring 6" square substrates in a clean, hydrocarbon-free environment with full flexibility regarding the direction of the incident light polarization. The reconstruction of line profiles using a geometrical model with six free parameters, based on a finite element method (FEM) Maxwell solver and a particle swarm based least-squares optimization yielded consistent results for EUV-SAS and GISAXS. In this contribution we present scatterometry data for line gratings and consistent reconstruction results of the line geometry for EUV-SAS and GISAXS.

  6. High resolution collinear resonance ionization spectroscopy of neutron-rich $^{76,77,78}$Cu isotopes

    CERN Document Server

    AUTHOR|(CDS)2083035

    In this work, nuclear magnetic dipole moments, electric quadrupole moments, nuclear spins and changes in the mean-squared charge radii of radioactive copper isotopes are presented. Reaching up to $^{78}$Cu ($Z=29$, $N=49$), produced at rates of only 10 particles per second, these measurements represent the most exotic laser spectroscopic investigations near the doubly-magic and very exotic $^{78}$Ni ($Z=28$,$N=50$) to date. This thesis outlines the technical developments and investigations of laser-atom interactions that were performed during this thesis. These developments were crucial for establishing a high-resolution, high sensitivity collinear resonance ionization spectroscopy experiment at ISOLDE, CERN. This thesis furthermore provides a detailed description of the analysis tools that were implemented and applied to extract the nuclear observables from the experimental data. The results were compared to several large-scale shell model calculations, and provide deep insight into the structure of $^{78}$N...

  7. Observations and predictions of EUV emission from classical novae

    International Nuclear Information System (INIS)

    Starrfield, S.; Truran, J.W.; Sparks, W.M.; Krautter, J.

    1989-01-01

    Theoretical modeling of novae in outburst predicts that they should be active emitters of radiation both in the EUV and soft X-ray wavelengths twice during the outburst. The first time is very early in the outburst when only an all sky survey can detect them. This period lasts only a few hours. They again become bright EUV and soft X-ray emitters late in the outburst when the remnant object becomes very hot and is still luminous. The predictions imply both that a nova can remain very hot for months to years and that the peak temperature at this time strongly depends upon the mass of the white dwarf. It is important to observe novae at these late times because a measurement of both the flux and temperature can provide information about the mass of the white dwarf, the tun-off time scale, and the energy budget of the outburst. We review the existing observations of novae in late stages of their outburst and present some newly obtained data for GQ Mus 1983. We then provide results of new hydrodynamic simulations of novae in outburst and compare the predictions to the observations. 43 refs., 6 figs

  8. High-Energy Spectroscopic Astrophysics Swiss Society for Astrophysics and Astronomy

    CERN Document Server

    Kahn, Steven M; von Ballmoos, Peter

    2005-01-01

    After three decades of intense research in X-ray and gamma-ray astronomy, the time was ripe to summarize basic knowledge on X-ray and gamma-ray spectroscopy for interested students and researchers ready to become involved in new high-energy missions. This volume exposes both the scientific basics and modern methods of high-energy spectroscopic astrophysics. The emphasis is on physical principles and observing methods rather than a discussion of particular classes of high-energy objects, but many examples and new results are included in the three chapters as well.

  9. Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method

    Science.gov (United States)

    Shao, Feng; Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas

    2009-10-01

    This paper employs the Waveguide decomposition method as an efficient rigorous electromagnetic field (EMF) solver to investigate three dimensional mask-induced imaging artifacts in EUV lithography. The major mask diffraction induced imaging artifacts are first identified by applying the Zernike analysis of the mask nearfield spectrum of 2D lines/spaces. Three dimensional mask features like 22nm semidense/dense contacts/posts, isolated elbows and line-ends are then investigated in terms of lithographic results. After that, the 3D mask-induced imaging artifacts such as feature orientation dependent best focus shift, process window asymmetries, and other aberration-like phenomena are explored for the studied mask features. The simulation results can help lithographers to understand the reasons of EUV-specific imaging artifacts and to devise illumination and feature dependent strategies for their compensation in the optical proximity correction (OPC) for EUV masks. At last, an efficient approach using the Zernike analysis together with the Waveguide decomposition technique is proposed to characterize the impact of mask properties for the future OPC process.

  10. A serendipitous observation of the gamma-ray burst GRB 921013b field with EUVE

    DEFF Research Database (Denmark)

    Castro-Tirado, A.J.; Gorosabel, J.; Bowyer, S.

    1999-01-01

    hours after the burst is 1.8 x10(-16) erg s(-1) cm(-2) after correction for absorption by the Galactic interstellar medium. Even if we exclude an intrinsic absorption, this is well below the detection limit of the EUVE measurement. Although it is widely accepted that gamma-ray bursts are at cosmological......We report a serendipitous extreme ultraviolet observation by EUVE of the field containing GRB 921013b, similar to 11 hours after its occurrence. This burst was detected on 1992 October 13 by the WATCH and PHEBUS on Granat, and by the GRB experiment on Ulysses. The lack of any transient (or...

  11. High resolution sequence stratigraphy in China

    International Nuclear Information System (INIS)

    Zhang Shangfeng; Zhang Changmin; Yin Yanshi; Yin Taiju

    2008-01-01

    Since high resolution sequence stratigraphy was introduced into China by DENG Hong-wen in 1995, it has been experienced two development stages in China which are the beginning stage of theory research and development of theory research and application, and the stage of theoretical maturity and widely application that is going into. It is proved by practices that high resolution sequence stratigraphy plays more and more important roles in the exploration and development of oil and gas in Chinese continental oil-bearing basin and the research field spreads to the exploration of coal mine, uranium mine and other strata deposits. However, the theory of high resolution sequence stratigraphy still has some shortages, it should be improved in many aspects. The authors point out that high resolution sequence stratigraphy should be characterized quantitatively and modelized by computer techniques. (authors)

  12. Development of AMS high resolution injector system

    International Nuclear Information System (INIS)

    Bao Yiwen; Guan Xialing; Hu Yueming

    2008-01-01

    The Beijing HI-13 tandem accelerator AMS high resolution injector system was developed. The high resolution energy achromatic system consists of an electrostatic analyzer and a magnetic analyzer, which mass resolution can reach 600 and transmission is better than 80%. (authors)

  13. Resolution enhancement of low quality videos using a high-resolution frame

    NARCIS (Netherlands)

    Pham, T.Q.; Van Vliet, L.J.; Schutte, K.

    2006-01-01

    This paper proposes an example-based Super-Resolution (SR) algorithm of compressed videos in the Discrete Cosine Transform (DCT) domain. Input to the system is a Low-Resolution (LR) compressed video together with a High-Resolution (HR) still image of similar content. Using a training set of

  14. PEPSI: the Potsdam Echelle Polarimetric and Spectroscopic Instrument for the LBT

    Science.gov (United States)

    Strassmeier, K. G.; Woche, M.; Ilyin, I.; Popow, E.; Bauer, S.-M.; Dionies, F.; Fechner, T.; Weber, M.; Hofmann, A.; Storm, J.; Materne, R.; Bittner, W.; Bartus, J.; Granzer, T.; Denker, C.; Carroll, T.; Kopf, M.; DiVarano, I.; Beckert, E.; Lesser, M.

    2008-07-01

    We present the status of PEPSI, the bench-mounted fibre-fed and stabilized "Potsdam Echelle Polarimetric and Spectroscopic Instrument" for the 2×8.4m Large Binocular Telescope in southern Arizona. PEPSI is under construction at AIP and is scheduled for first light in 2009/10. Its ultra-high-resolution mode will deliver an unprecedented spectral resolution of approximately R=310,000 at high efficiency throughout the entire optical/red wavelength range 390-1050nm without the need for adaptive optics. Besides its polarimetric Stokes IQUV mode, the capability to cover the entire optical range in three exposures at resolutions of 40,000, 130,000 and 310,000 will surpass all existing facilities in terms of light-gathering-power times spectral-coverage product. A solar feed will make use of the spectrograph also during day time. As such, we hope that PEPSI will be the most powerful spectrometer of its kind for the years to come.

  15. High resolution, high speed ultrahigh vacuum microscopy

    International Nuclear Information System (INIS)

    Poppa, Helmut

    2004-01-01

    The history and future of transmission electron microscopy (TEM) is discussed as it refers to the eventual development of instruments and techniques applicable to the real time in situ investigation of surface processes with high resolution. To reach this objective, it was necessary to transform conventional high resolution instruments so that an ultrahigh vacuum (UHV) environment at the sample site was created, that access to the sample by various in situ sample modification procedures was provided, and that in situ sample exchanges with other integrated surface analytical systems became possible. Furthermore, high resolution image acquisition systems had to be developed to take advantage of the high speed imaging capabilities of projection imaging microscopes. These changes to conventional electron microscopy and its uses were slowly realized in a few international laboratories over a period of almost 40 years by a relatively small number of researchers crucially interested in advancing the state of the art of electron microscopy and its applications to diverse areas of interest; often concentrating on the nucleation, growth, and properties of thin films on well defined material surfaces. A part of this review is dedicated to the recognition of the major contributions to surface and thin film science by these pioneers. Finally, some of the important current developments in aberration corrected electron optics and eventual adaptations to in situ UHV microscopy are discussed. As a result of all the path breaking developments that have led to today's highly sophisticated UHV-TEM systems, integrated fundamental studies are now possible that combine many traditional surface science approaches. Combined investigations to date have involved in situ and ex situ surface microscopies such as scanning tunneling microscopy/atomic force microscopy, scanning Auger microscopy, and photoemission electron microscopy, and area-integrating techniques such as x-ray photoelectron

  16. The nuclear spectroscopic telescope array (NuSTAR) high-energy X-ray mission

    DEFF Research Database (Denmark)

    Harrison, Fiona A.; Craig, William W.; Christensen, Finn Erland

    2013-01-01

    The Nuclear Spectroscopic Telescope Array (NuSTAR) mission, launched on 2012 June 13, is the first focusing high-energy X-ray telescope in orbit. NuSTAR operates in the band from 3 to 79 keV, extending the sensitivity of focusing far beyond the ~10 keV high-energy cutoff achieved by all previous X...

  17. A high resolution solar atlas for fluorescence calculations

    Science.gov (United States)

    Hearn, M. F.; Ohlmacher, J. T.; Schleicher, D. G.

    1983-01-01

    The characteristics required of a solar atlas to be used for studying the fluorescence process in comets are examined. Several sources of low resolution data were combined to provide an absolutely calibrated spectrum from 2250 A to 7000A. Three different sources of high resolution data were also used to cover this same spectral range. The low resolution data were then used to put each high resolution spectrum on an absolute scale. The three high resolution spectra were then combined in their overlap regions to produce a single, absolutely calibrated high resolution spectrum over the entire spectral range.

  18. High-resolution electron spectroscopy of the 1s{sup 2}3lnl' Be-like series in oxygen and neon. Test of theoretical data: I. Experimental method and theoretical background

    Energy Technology Data Exchange (ETDEWEB)

    Bordenave-Montesquieu, A; Moretto-Capelle, P; Bordenave-Montesquieu, D [Laboratoire CAR-IRSAMC, UMR 5589 CNRS - Universite Paul Sabatier, 31062 Toulouse (France)

    2003-01-14

    A complete and accurate experimental test of theoretical spectroscopic data sets (state positions, lifetimes) available for the n = 3-5 terms of the 1s{sup 2}3lnl' Rydberg series of oxygen and neon ions is presented in a series of two papers. This result was achieved by fitting our high resolution electron spectra with post-collisional lineshapes calculated with the help of these spectroscopic data. In this paper the method which has been developed for this fitting procedure is explained. In addition, as a first test, a comparison of all the available calculated spectroscopic data is presented and discussed. Strong deviations of transition energies and decay lifetimes are observed in many cases. Best data are selected in the following companion paper through a quantitative comparison with our experimental electron spectra.

  19. Apertureless near-field/far-field CW two-photon microscope for biological and material imaging and spectroscopic applications.

    Science.gov (United States)

    Nowak, Derek B; Lawrence, A J; Sánchez, Erik J

    2010-12-10

    We present the development of a versatile spectroscopic imaging tool to allow for imaging with single-molecule sensitivity and high spatial resolution. The microscope allows for near-field and subdiffraction-limited far-field imaging by integrating a shear-force microscope on top of a custom inverted microscope design. The instrument has the ability to image in ambient conditions with optical resolutions on the order of tens of nanometers in the near field. A single low-cost computer controls the microscope with a field programmable gate array data acquisition card. High spatial resolution imaging is achieved with an inexpensive CW multiphoton excitation source, using an apertureless probe and simplified optical pathways. The high-resolution, combined with high collection efficiency and single-molecule sensitive optical capabilities of the microscope, are demonstrated with a low-cost CW laser source as well as a mode-locked laser source.

  20. High-resolution SPECT for small-animal imaging

    International Nuclear Information System (INIS)

    Qi Yujin

    2006-01-01

    This article presents a brief overview of the development of high-resolution SPECT for small-animal imaging. A pinhole collimator has been used for high-resolution animal SPECT to provide better spatial resolution and detection efficiency in comparison with a parallel-hole collimator. The theory of imaging characteristics of the pinhole collimator is presented and the designs of the pinhole aperture are discussed. The detector technologies used for the development of small-animal SPECT and the recent advances are presented. The evolving trend of small-animal SPECT is toward a multi-pinhole and a multi-detector system to obtain a high resolution and also a high detection efficiency. (authors)

  1. High efficiency spectro graphs for the EUV and soft x-rays

    International Nuclear Information System (INIS)

    Cash, W.

    1983-01-01

    A basic need of modern UV and x-ray astronomy is the capability to perform high resolution spectroscopy of faint stars. The use of modern grazing incidence optics can be coupled to high blaze angle reflection gratings used in the conical diffraction mount to offer a versatile, efficient approach to the design problem. The authors discuss two designs of interest: an echelle spectrograph for use longward of 100 A, and an Objective Reflection Grating Spectrograph for use in the soft x-rays. General design considerations and measurements of grating efficiencies are also presented

  2. Progress in coherent lithography using table-top extreme ultraviolet lasers

    Science.gov (United States)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  3. Gibbsian segregating alloys driven by thermal and concentration gradients: A potential grazing collector optics used in EUV lithography

    Science.gov (United States)

    Qiu, Huatan

    A critical issue for EUV lithography is the minimization of collector degradation from intense plasma erosion and debris deposition. Reflectivity and lifetime of the collector optics will be heavily dependent on surface chemistry interactions between fuels and various mirror materials, in addition to high-energy ion and neutral particle erosion effects. An innovative Gibbsian segregation (GS) concept has been developed for being a self-healing, erosion-resistant collector optics. A Mo-Au GS alloy is developed on silicon using a DC dual-magnetron co-sputtering system in order for enhanced surface roughness properties, erosion resistance, and self-healing characteristics to maintain reflectivity over a longer period of mirror lifetime. A thin Au segregating layer will be maintained through segregation during exposure, even though overall erosion is taking place. The reflective material, Mo, underneath the segregating layer will be protected by this sacrificial layer which is lost due to preferential sputtering. The two dominant driving forces, thermal (temperature) and surface concentration gradient (surface removal flux), are the focus of this work. Both theoretical and experimental efforts have been performed to prove the effectiveness of the GS alloy used as EUV collection optics, and to elucidate the underlying physics behind it. The segregation diffusion, surface balance, erosion, and in-situ reflectivity will be investigated both qualitatively and quantitatively. Results show strong enhancement effect of temperature on GS performance, while only a weak effect of surface removal rate on GS performance. When equilibrium between GS and erosion is reached, the surface smoothness could be self-healed and reflectivity could be maintained at an equilibrium level, instead of continuously dropping down to an unacceptable level as conventional optic mirrors behave. GS process also shows good erosion resistance. The effectiveness of GS alloy as EUV mirror is dependent on

  4. Robust high-resolution quantification of time signals encoded by in vivo magnetic resonance spectroscopy

    Science.gov (United States)

    Belkić, Dževad; Belkić, Karen

    2018-01-01

    This paper on molecular imaging emphasizes improving specificity of magnetic resonance spectroscopy (MRS) for early cancer diagnostics by high-resolution data analysis. Sensitivity of magnetic resonance imaging (MRI) is excellent, but specificity is insufficient. Specificity is improved with MRS by going beyond morphology to assess the biochemical content of tissue. This is contingent upon accurate data quantification of diagnostically relevant biomolecules. Quantification is spectral analysis which reconstructs chemical shifts, amplitudes and relaxation times of metabolites. Chemical shifts inform on electronic shielding of resonating nuclei bound to different molecular compounds. Oscillation amplitudes in time signals retrieve the abundance of MR sensitive nuclei whose number is proportional to metabolite concentrations. Transverse relaxation times, the reciprocal of decay probabilities of resonances, arise from spin-spin coupling and reflect local field inhomogeneities. In MRS single voxels are used. For volumetric coverage, multi-voxels are employed within a hybrid of MRS and MRI called magnetic resonance spectroscopic imaging (MRSI). Common to MRS and MRSI is encoding of time signals and subsequent spectral analysis. Encoded data do not provide direct clinical information. Spectral analysis of time signals can yield the quantitative information, of which metabolite concentrations are the most clinically important. This information is equivocal with standard data analysis through the non-parametric, low-resolution fast Fourier transform and post-processing via fitting. By applying the fast Padé transform (FPT) with high-resolution, noise suppression and exact quantification via quantum mechanical signal processing, advances are made, presented herein, focusing on four areas of critical public health importance: brain, prostate, breast and ovarian cancers.

  5. High resolution time integration for SN radiation transport

    International Nuclear Information System (INIS)

    Thoreson, Greg; McClarren, Ryan G.; Chang, Jae H.

    2009-01-01

    First-order, second-order, and high resolution time discretization schemes are implemented and studied for the discrete ordinates (S N ) equations. The high resolution method employs a rate of convergence better than first-order, but also suppresses artificial oscillations introduced by second-order schemes in hyperbolic partial differential equations. The high resolution method achieves these properties by nonlinearly adapting the time stencil to use a first-order method in regions where oscillations could be created. We employ a quasi-linear solution scheme to solve the nonlinear equations that arise from the high resolution method. All three methods were compared for accuracy and convergence rates. For non-absorbing problems, both second-order and high resolution converged to the same solution as the first-order with better convergence rates. High resolution is more accurate than first-order and matches or exceeds the second-order method

  6. Stellar and Laboratory XUV/EUV Line Ratios in Fe XVIII and Fe XIX

    Science.gov (United States)

    Träbert, Elmar; Beiersdorfer, P.; Clementson, J.

    2011-09-01

    A so-called XUV excess has been suspected with the relative fluxes of Fe XVIII and Fe XIX lines in XUV and EUV spectra of the star Capella as observed by the Chandra spacecraft [1] when comparing the observations with simulations of stellar spectra based on APEC or FAC. We have addressed this problem by laboratory studies using the Livermore electron beam ion trap (EBIT). Our understanding of the EBIT spectrum is founded on work by Brown et al. [2]. The electron density of the electron beam in an EBIT is compatible to the density in energetic stellar flares. In our experiments, the relative detection efficiencies of two flat-field grating spectrographs operating in the EUV (near 100 Å) and XUV (near 16 Å) ranges have been determined using the calculated branching ratio of 1-3 and 2-3 transition in the H-like spectrum O VIII. FAC calculations assuming several electron beam energies and electron densities serve to correct the EBIT observations for the Maxwellian excitation in a natural plasma. In the EUV, the line intensity pattern predicted by FAC agrees reasonably well with the laboratory and Capella observations. In the XUV wavelength range, agreement of laboratory and astrophysical line intensities is patchy. The spectral simulation results from FAC are much closer to stellar and laboratory observation than those obtained by APEC. Instead of claiming an XUV excess, the XUV/EUV line intensities can be explained by a somewhat higher temperature of Capella than the previously assumed T=6 MK. This work was performed under the auspices of the USDoE by LLNL under Contract DE-AC52-07NA27344 and was supported by the NASA under work order NNH07AF81I issued by the APRA Program. E.T. acknowledges support by DFG Germany. 1. P. Desai et al., ApJ 625, L59 (2005). 2. G. V. Brown et al., ApJS 140, 589 (2002).

  7. EUV emission stimulated by use of dual laser pulses from continus liquid microjet targets

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Sasaki, Wataru; Kubodera, Shoichi

    2004-11-01

    A continuous water-jet or water-jet mixed with LiF with several tens μm diameter was formed in a vacuum chamber through a small capillary nozzle. Usage of two laser pulses is an efficient way to produce EUV emission, since a density and temperature of a plasma formed by the first laser pulse are regulated by the second laser pulse. By adjusting the delay of the second pulse, one could maximize the EUV emission. A subpicosecond Ti:Sapphire laser at a wavelength of 800 nm produced a maximum energy around 30 mJ. The beam was divided by a Michelson interferometer, which produced two laser pulses with energies of 5 mJ. The pulse duration was adjusted around 300 fs (FWHM). Both beams were focused on a micro-jet using a lens with a focal length of 15 cm. The delay time between the two pulses was varied from 100 to 800 ps by use of an optical delay line. Clear enhancement of the EUV emission yield was observed when the delay between the two pulses was around 500 ps. The experimentally observed delay agrees reasonably well with that of a plasma to expand to its critical density of 10^21 cm-3.

  8. High tracking resolution detectors. Final Technical Report

    International Nuclear Information System (INIS)

    Vasile, Stefan; Li, Zheng

    2010-01-01

    High-resolution tracking detectors based on Active Pixel Sensor (APS) have been valuable tools in Nuclear Physics and High-Energy Physics research, and have contributed to major discoveries. Their integration time, radiation length and readout rate is a limiting factor for the planed luminosity upgrades in nuclear and high-energy physics collider-based experiments. The goal of this program was to demonstrate and develop high-gain, high-resolution tracking detector arrays with faster readout, and shorter radiation length than APS arrays. These arrays may operate as direct charged particle detectors or as readouts of high resolution scintillating fiber arrays. During this program, we developed in CMOS large, high-resolution pixel sensor arrays with integrated readout, and reset at pixel level. Their intrinsic gain, high immunity to surface and moisture damage, will allow operating these detectors with minimal packaging/passivation requirements and will result in radiation length superior to APS. In Phase I, we designed and fabricated arrays with calorimetric output capable of sub-pixel resolution and sub-microsecond readout rate. The technical effort was dedicated to detector and readout structure development, performance verification, as well as to radiation damage and damage annealing.

  9. Portable optical fiber probe-based spectroscopic scanner for rapid cancer diagnosis: a new tool for intraoperative margin assessment.

    Directory of Open Access Journals (Sweden)

    Niyom Lue

    Full Text Available There continues to be a significant clinical need for rapid and reliable intraoperative margin assessment during cancer surgery. Here we describe a portable, quantitative, optical fiber probe-based, spectroscopic tissue scanner designed for intraoperative diagnostic imaging of surgical margins, which we tested in a proof of concept study in human tissue for breast cancer diagnosis. The tissue scanner combines both diffuse reflectance spectroscopy (DRS and intrinsic fluorescence spectroscopy (IFS, and has hyperspectral imaging capability, acquiring full DRS and IFS spectra for each scanned image pixel. Modeling of the DRS and IFS spectra yields quantitative parameters that reflect the metabolic, biochemical and morphological state of tissue, which are translated into disease diagnosis. The tissue scanner has high spatial resolution (0.25 mm over a wide field of view (10 cm × 10 cm, and both high spectral resolution (2 nm and high spectral contrast, readily distinguishing tissues with widely varying optical properties (bone, skeletal muscle, fat and connective tissue. Tissue-simulating phantom experiments confirm that the tissue scanner can quantitatively measure spectral parameters, such as hemoglobin concentration, in a physiologically relevant range with a high degree of accuracy (<5% error. Finally, studies using human breast tissues showed that the tissue scanner can detect small foci of breast cancer in a background of normal breast tissue. This tissue scanner is simpler in design, images a larger field of view at higher resolution and provides a more physically meaningful tissue diagnosis than other spectroscopic imaging systems currently reported in literatures. We believe this spectroscopic tissue scanner can provide real-time, comprehensive diagnostic imaging of surgical margins in excised tissues, overcoming the sampling limitation in current histopathology margin assessment. As such it is a significant step in the development of a

  10. Ultra high resolution tomography

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, W.S.

    1994-11-15

    Recent work and results on ultra high resolution three dimensional imaging with soft x-rays will be presented. This work is aimed at determining microscopic three dimensional structure of biological and material specimens. Three dimensional reconstructed images of a microscopic test object will be presented; the reconstruction has a resolution on the order of 1000 A in all three dimensions. Preliminary work with biological samples will also be shown, and the experimental and numerical methods used will be discussed.

  11. Creation and investigation of powerful EUV sources (λ ∼ 13.5 nm)

    International Nuclear Information System (INIS)

    Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.

    2010-01-01

    Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ∼4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.

  12. Creation and investigation of powerful EUV sources (λ ≈ 13.5 nm)

    Science.gov (United States)

    Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.

    2010-03-01

    Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ˜4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.

  13. Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Bastiaens, Hubertus M.J.; Bruineman, Caspar; Vratzov, Boris; Bijkerk, Frederik

    2016-01-01

    Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band are essential in applications such as photolithography. Most light sources however also emit radiation outside this wavelength band and have a spectrum extending up to deep ultraviolet (DUV)

  14. THE HIGH-RESOLUTION EXTREME-ULTRAVIOLET SPECTRUM OF N{sub 2} BY ELECTRON IMPACT

    Energy Technology Data Exchange (ETDEWEB)

    Heays, A. N. [Leiden Observatory, Leiden University, P.O. Box 9513, 2300 RA Leiden (Netherlands); Ajello, J. M.; Aguilar, A. [Jet Propulsion Laboratory, California Institute of Technology, Pasadena, CA 91109 (United States); Lewis, B. R.; Gibson, S. T., E-mail: heays@strw.leidenuniv.nl [Research School of Physics and Engineering, The Australian National University, Canberra, ACT 0200 (Australia)

    2014-04-01

    We have analyzed high-resolution (FWHM = 0.2 Å) extreme-ultraviolet (EUV, 800-1350 Å) laboratory emission spectra of molecular nitrogen excited by an electron impact at 20 and 100 eV under (mostly) optically thin, single-scattering experimental conditions. A total of 491 emission features were observed from N{sub 2} electronic-vibrational transitions and atomic N I and N II multiplets and their emission cross sections were measured. Molecular emission was observed at vibrationally excited ground-state levels as high as v'' = 17, from the a {sup 1}Π {sub g} , b {sup 1}Π {sub u} , and b'{sup 1}Σ {sub u} {sup +} excited valence states and the Rydberg series c'{sub n} {sub +1} {sup 1}Σ {sub u} {sup +}, c{sub n} {sup 1}Π {sub u} , and o{sub n} {sup 1}Π {sub u} for n between 3 and 9. The frequently blended molecular emission bands were disentangled with the aid of a sophisticated and predictive quantum-mechanical model of excited states that includes the strong coupling between valence and Rydberg electronic states and the effects of predissociation. Improved model parameters describing electronic transition moments were obtained from the experiment and allowed for a reliable prediction of the vibrationally summed electronic emission cross section, including an extrapolation to unobserved emission bands and those that are optically thick in the experimental spectra. Vibrationally dependent electronic excitation functions were inferred from a comparison of emission features following 20 and 100 eV electron-impact collisional excitation. The electron-impact-induced fluorescence measurements are compared with Cassini Ultraviolet Imaging Spectrograph observations of emissions from Titan's upper atmosphere.

  15. Pair Identity and Smooth Variation Rules Applicable for the Spectroscopic Parameters of H2O Transitions Involving High-J States

    Science.gov (United States)

    Ma, Q.; Tipping, R. H.; Lavrentieva, N. N.

    2010-01-01

    Two basic rules (i.e. the pair identity and the smooth variation) applicable for H2O transitions involving high-J states have been discovered. The origins of these rules are the properties of the energy levels and wavefunctions of H2O states with the quantum number J above certain boundaries. As a result, for lines involving high-J states in individually defined groups, all their spectroscopic parameters (i.e. the transition wavenumber, intensity, pressure-broadened half-width, pressure-induced shift, and temperature exponent) must follow these rules. One can use these rules to screen spectroscopic data provided by databases and to identify possible errors. In addition, by using extrapolation methods within the individual groups, one is able to predict the spectroscopic parameters for lines in this group involving very high-J states. The latter are required in developing high-temperature molecular spectroscopic databases such as HITEMP.

  16. Inner shell transitions of BrI in the EUV

    Energy Technology Data Exchange (ETDEWEB)

    Mazzoni, M [Florence Univ. (Italy). Ist. di Astronomia; Pettini, M [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1981-10-12

    The EUV line spectrum originating from transitions of the inner 3d shell of neutral atomic bromine has been observed in absorption. Fano parameters have been derived for the three autoionized resonances nd/sup 10/(n + 1)s/sup 2/(n + 1)p/sup 5/ /sup 2/P-nd/sup 9/(n + 1)s/sup 2/(n + 1)p/sup 62/D observed in both bromine (n = 3) and iodine (n = 4) spectra.

  17. A high resolution portable spectroscopy system

    International Nuclear Information System (INIS)

    Kulkarni, C.P.; Vaidya, P.P.; Paulson, M.; Bhatnagar, P.V.; Pande, S.S.; Padmini, S.

    2003-01-01

    Full text: This paper describes the system details of a High Resolution Portable Spectroscopy System (HRPSS) developed at Electronics Division, BARC. The system can be used for laboratory class, high-resolution nuclear spectroscopy applications. The HRPSS consists of a specially designed compact NIM bin, with built-in power supplies, accommodating a low power, high resolution MCA, and on-board embedded computer for spectrum building and communication. A NIM based spectroscopy amplifier and a HV module for detector bias are integrated (plug-in) in the bin. The system communicates with a host PC via a serial link. Along-with a laptop PC, and a portable HP-Ge detector, the HRPSS offers a laboratory class performance for portable applications

  18. A high resolution gamma-ray spectrometer based on superconducting microcalorimeters

    Energy Technology Data Exchange (ETDEWEB)

    Bennett, D. A.; Horansky, R. D. [National Institute of Standards and Technology, Boulder, Colorado 80305 (United States); University of Denver, Denver, Colorado 80208 (United States); Schmidt, D. R.; Doriese, W. B.; Fowler, J. W.; Kotsubo, V.; Mates, J. A. B. [National Institute of Standards and Technology, Boulder, Colorado 80305 (United States); University of Colorado, Boulder, Colorado 80309 (United States); Hoover, A. S.; Winkler, R.; Rabin, M. W. [Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States); Alpert, B. K.; Beall, J. A.; Fitzgerald, C. P.; Hilton, G. C.; Irwin, K. D.; O' Neil, G. C.; Reintsema, C. D.; Schima, F. J.; Swetz, D. S.; Vale, L. R. [National Institute of Standards and Technology, Boulder, Colorado 80305 (United States); and others

    2012-09-15

    Improvements in superconductor device fabrication, detector hybridization techniques, and superconducting quantum interference device readout have made square-centimeter-sized arrays of gamma-ray microcalorimeters, based on transition-edge sensors (TESs), possible. At these collecting areas, gamma microcalorimeters can utilize their unprecedented energy resolution to perform spectroscopy in a number of applications that are limited by closely-spaced spectral peaks, for example, the nondestructive analysis of nuclear materials. We have built a 256 pixel spectrometer with an average full-width-at-half-maximum energy resolution of 53 eV at 97 keV, a useable dynamic range above 400 keV, and a collecting area of 5 cm{sup 2}. We have demonstrated multiplexed readout of the full 256 pixel array with 236 of the pixels (91%) giving spectroscopic data. This is the largest multiplexed array of TES microcalorimeters to date. This paper will review the spectrometer, highlighting the instrument design, detector fabrication, readout, operation of the instrument, and data processing. Further, we describe the characterization and performance of the newest 256 pixel array.

  19. High temperature and high pressure gas cell for quantitative spectroscopic measurements

    International Nuclear Information System (INIS)

    Christiansen, Caspar; Stolberg-Rohr, Thomine; Fateev, Alexander; Clausen, Sønnik

    2016-01-01

    A high temperature and high pressure gas cell (HTPGC) has been manufactured for quantitative spectroscopic measurements in the pressure range 1–200 bar and temperature range 300–1300 K. In the present work the cell was employed at up to 100 bar and 1000 K, and measured absorption coefficients of a CO_2–N_2 mixture at 100 bar and 1000 K are revealed for the first time, exceeding the high temperature and pressure combinations previously reported. This paper discusses the design considerations involved in the construction of the cell and presents validation measurements compared against simulated spectra, as well as published experimental data. - Highlights: • A ceramic gas cell designed for gas measurements up to 1300 K and 200 bar. • The first recorded absorption spectrum of CO_2 at 1000 K and 101 bar is presented. • Voigt profiles might suffice in the modeling of radiation from CO_2 in combustion.

  20. Integral characteristics of spectra of ions important for EUV lithography

    International Nuclear Information System (INIS)

    Karazija, R; Kucas, S; Momkauskaite, A

    2006-01-01

    The emission spectrum corresponding to the 4p 5 4d N+1 + 4p 6 4d N-1 4f → 4p 6 4d N transition array is concentrated in a narrow interval of wavelengths. That is due to the existence of an approximate selection rule and quenching of some lines by configuration mixing. Thus such emission of elements near Z = 50 is considered to be the main candidate for the EUV lithography source at λ = 13.5 nm. In the present work the regularities of these transition arrays are considered using their integral characteristics: average energy, total line strength, variance and interval of array containing some part of the total transition probability. Calculations for various ions of elements In, Sn, Sb, Te, I and Xe have been performed in a two-configuration pseudorelativistic approximation, which describes fairly well the main features of the spectra. The variation in the values of the main integral characteristics of the spectra with atomic number and ionization degree gives the possibility of comparing quantitatively the suitability of the emission of various ions for EUV lithography

  1. A compact imaging spectroscopic system for biomolecular detections on plasmonic chips.

    Science.gov (United States)

    Lo, Shu-Cheng; Lin, En-Hung; Wei, Pei-Kuen; Tsai, Wan-Shao

    2016-10-17

    In this study, we demonstrate a compact imaging spectroscopic system for high-throughput detection of biomolecular interactions on plasmonic chips, based on a curved grating as the key element of light diffraction and light focusing. Both the curved grating and the plasmonic chips are fabricated on flexible plastic substrates using a gas-assisted thermal-embossing method. A fiber-coupled broadband light source and a camera are included in the system. Spectral resolution within 1 nm is achieved in sensing environmental index solutions and protein bindings. The detected sensitivities of the plasmonic chip are comparable with a commercial spectrometer. An extra one-dimensional scanning stage enables high-throughput detection of protein binding on a designed plasmonic chip consisting of several nanoslit arrays with different periods. The detected resonance wavelengths match well with the grating equation under an air environment. Wavelength shifts between 1 and 9 nm are detected for antigens of various concentrations binding with antibodies. A simple, mass-productive and cost-effective method has been demonstrated on the imaging spectroscopic system for real-time, label-free, highly sensitive and high-throughput screening of biomolecular interactions.

  2. High-resolution spectroscopic observations of binary stars and yellow stragglers in three open clusters: NGC 2360, NGC 3680, and NGC 5822

    Energy Technology Data Exchange (ETDEWEB)

    Sales Silva, J. V.; Peña Suárez, V. J.; Katime Santrich, O. J.; Pereira, C. B.; Drake, N. A.; Roig, F., E-mail: joaovictor@on.br, E-mail: jearim@on.br, E-mail: osantrich@on.br, E-mail: claudio@on.br, E-mail: drake@on.br, E-mail: froig@on.br [Observatório Nacional/MCT, Rua Gen. José Cristino, 77, 20921-400 Rio de Janeiro (Brazil)

    2014-11-01

    Binary stars in open clusters are very useful targets in constraining the nucleosynthesis process. The luminosities of the stars are known because the distances of the clusters are also known, so chemical peculiarities can be linked directly to the evolutionary status of a star. In addition, binary stars offer the opportunity to verify a relationship between them and the straggler population in both globular and open clusters. We carried out a detailed spectroscopic analysis to derive the atmospheric parameters for 16 red giants in binary systems and the chemical composition of 11 of them in the open clusters NGC 2360, NGC 3680, and NGC 5822. We obtained abundances of C, N, O, Na, Mg, Al, Ca, Si, Ti, Ni, Cr, Y, Zr, La, Ce, and Nd. The atmospheric parameters of the studied stars and their chemical abundances were determined using high-resolution optical spectroscopy. We employ the local thermodynamic equilibrium model atmospheres of Kurucz and the spectral analysis code MOOG. The abundances of the light elements were derived using the spectral synthesis technique. We found that the stars NGC 2360-92 and 96, NGC 3680-34, and NGC 5822-4 and 312 are yellow straggler stars. We show that the spectra of NGC 5822-4 and 312 present evidence of contamination by an A-type star as a secondary star. For the other yellow stragglers, evidence of contamination is given by the broad wings of the Hα. Detection of yellow straggler stars is important because the observed number can be compared with the number predicted by simulations of binary stellar evolution in open clusters. We also found that the other binary stars are not s-process enriched, which may suggest that in these binaries the secondary star is probably a faint main-sequence object. The lack of any s-process enrichment is very useful in setting constraints for the number of white dwarfs in the open cluster, a subject that is related to the birthrate of these kinds of stars in open clusters and also to the age of a

  3. High Resolution Elevation Contours

    Data.gov (United States)

    Minnesota Department of Natural Resources — This dataset contains contours generated from high resolution data sources such as LiDAR. Generally speaking this data is 2 foot or less contour interval.

  4. Extension of least squares spectral resolution algorithm to high-resolution lipidomics data

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Ying-Xu [Department of Chemistry, University of Bergen, PO Box 7803, N-5020 Bergen (Norway); Mjøs, Svein Are, E-mail: svein.mjos@kj.uib.no [Department of Chemistry, University of Bergen, PO Box 7803, N-5020 Bergen (Norway); David, Fabrice P.A. [Bioinformatics and Biostatistics Core Facility, School of Life Sciences, Ecole Polytechnique Fédérale de Lausanne (EPFL) and Swiss Institute of Bioinformatics (SIB), Lausanne (Switzerland); Schmid, Adrien W. [Proteomics Core Facility, Ecole Polytechnique Fédérale de Lausanne (EPFL), 1015 Lausanne (Switzerland)

    2016-03-31

    Lipidomics, which focuses on the global study of molecular lipids in biological systems, has been driven tremendously by technical advances in mass spectrometry (MS) instrumentation, particularly high-resolution MS. This requires powerful computational tools that handle the high-throughput lipidomics data analysis. To address this issue, a novel computational tool has been developed for the analysis of high-resolution MS data, including the data pretreatment, visualization, automated identification, deconvolution and quantification of lipid species. The algorithm features the customized generation of a lipid compound library and mass spectral library, which covers the major lipid classes such as glycerolipids, glycerophospholipids and sphingolipids. Next, the algorithm performs least squares resolution of spectra and chromatograms based on the theoretical isotope distribution of molecular ions, which enables automated identification and quantification of molecular lipid species. Currently, this methodology supports analysis of both high and low resolution MS as well as liquid chromatography-MS (LC-MS) lipidomics data. The flexibility of the methodology allows it to be expanded to support more lipid classes and more data interpretation functions, making it a promising tool in lipidomic data analysis. - Highlights: • A flexible strategy for analyzing MS and LC-MS data of lipid molecules is proposed. • Isotope distribution spectra of theoretically possible compounds were generated. • High resolution MS and LC-MS data were resolved by least squares spectral resolution. • The method proposed compounds that are likely to occur in the analyzed samples. • The proposed compounds matched results from manual interpretation of fragment spectra.

  5. Extension of least squares spectral resolution algorithm to high-resolution lipidomics data

    International Nuclear Information System (INIS)

    Zeng, Ying-Xu; Mjøs, Svein Are; David, Fabrice P.A.; Schmid, Adrien W.

    2016-01-01

    Lipidomics, which focuses on the global study of molecular lipids in biological systems, has been driven tremendously by technical advances in mass spectrometry (MS) instrumentation, particularly high-resolution MS. This requires powerful computational tools that handle the high-throughput lipidomics data analysis. To address this issue, a novel computational tool has been developed for the analysis of high-resolution MS data, including the data pretreatment, visualization, automated identification, deconvolution and quantification of lipid species. The algorithm features the customized generation of a lipid compound library and mass spectral library, which covers the major lipid classes such as glycerolipids, glycerophospholipids and sphingolipids. Next, the algorithm performs least squares resolution of spectra and chromatograms based on the theoretical isotope distribution of molecular ions, which enables automated identification and quantification of molecular lipid species. Currently, this methodology supports analysis of both high and low resolution MS as well as liquid chromatography-MS (LC-MS) lipidomics data. The flexibility of the methodology allows it to be expanded to support more lipid classes and more data interpretation functions, making it a promising tool in lipidomic data analysis. - Highlights: • A flexible strategy for analyzing MS and LC-MS data of lipid molecules is proposed. • Isotope distribution spectra of theoretically possible compounds were generated. • High resolution MS and LC-MS data were resolved by least squares spectral resolution. • The method proposed compounds that are likely to occur in the analyzed samples. • The proposed compounds matched results from manual interpretation of fragment spectra.

  6. X-ray spectroscopic diagnostics of high-temperature dense plasmas created in different gaseous media

    International Nuclear Information System (INIS)

    Skobelev, I.Y.; Dyakin, V.M.; Faenov, A.Y.

    1997-01-01

    The investigations of emission x-ray spectra of multicharged ions of some chemical elements (S, F, Ar, Fr, O) have been carried out. These atoms are contained in gases and consequently can be used as diagnostic elements in a dense plasma focus experiments. The investigations were done in the dense high-temperature plasma (N e ∼ 10 21 cm -3 , T e ∼ 500 eV) created by laser heating of high-pressure gas puff targets, and X-ray spectrographs with a spherically bent mica crystals were used for spectra observations. Some new spectroscopic results (line identifications, high-precision wavelength measurements) have been obtained and have been applied to determine a spatial distribution of plasma parameters. It is shown that spectroscopic techniques used is a very suitable tool for studies of a plasma with complicated spatial structure

  7. High-resolution multi-band imaging for validation and characterization of small Kepler planets

    International Nuclear Information System (INIS)

    Everett, Mark E.; Silva, David R.; Barclay, Thomas; Howell, Steve B.; Ciardi, David R.; Horch, Elliott P.; Crepp, Justin R.

    2015-01-01

    High-resolution ground-based optical speckle and near-infrared adaptive optics images are taken to search for stars in close angular proximity to host stars of candidate planets identified by the NASA Kepler Mission. Neighboring stars are a potential source of false positive signals. These stars also blend into Kepler light curves, affecting estimated planet properties, and are important for an understanding of planets in multiple star systems. Deep images with high angular resolution help to validate candidate planets by excluding potential background eclipsing binaries as the source of the transit signals. A study of 18 Kepler Object of Interest stars hosting a total of 28 candidate and validated planets is presented. Validation levels are determined for 18 planets against the likelihood of a false positive from a background eclipsing binary. Most of these are validated at the 99% level or higher, including five newly validated planets in two systems: Kepler-430 and Kepler-431. The stellar properties of the candidate host stars are determined by supplementing existing literature values with new spectroscopic characterizations. Close neighbors of seven of these stars are examined using multi-wavelength photometry to determine their nature and influence on the candidate planet properties. Most of the close neighbors appear to be gravitationally bound secondaries, while a few are best explained as closely co-aligned field stars. Revised planet properties are derived for each candidate and validated planet, including cases where the close neighbors are the potential host stars.

  8. Ultra-high resolution coded wavefront sensor

    KAUST Repository

    Wang, Congli

    2017-06-08

    Wavefront sensors and more general phase retrieval methods have recently attracted a lot of attention in a host of application domains, ranging from astronomy to scientific imaging and microscopy. In this paper, we introduce a new class of sensor, the Coded Wavefront Sensor, which provides high spatio-temporal resolution using a simple masked sensor under white light illumination. Specifically, we demonstrate megapixel spatial resolution and phase accuracy better than 0.1 wavelengths at reconstruction rates of 50 Hz or more, thus opening up many new applications from high-resolution adaptive optics to real-time phase retrieval in microscopy.

  9. A two-step method for fast and reliable EUV mask metrology

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajendran, Rajeev; Yoshitake, Shusuke; Ekinci, Yasin

    2017-03-01

    One of the major obstacles towards the implementation of extreme ultraviolet lithography for upcoming technology nodes in semiconductor industry remains the realization of a fast and reliable detection methods patterned mask defects. We are developing a reflective EUV mask-scanning lensless imaging tool (RESCAN), installed at the Swiss Light Source synchrotron at the Paul Scherrer Institut. Our system is based on a two-step defect inspection method. In the first step, a low-resolution defect map is generated by die to die comparison of the diffraction patterns from areas with programmed defects, to those from areas that are known to be defect-free on our test sample. In a later stage, a die to database comparison will be implemented in which the measured diffraction patterns will be compared to those calculated directly from the mask layout. This Scattering Scanning Contrast Microscopy technique operates purely in the Fourier domain without the need to obtain the aerial image and, given a sufficient signal to noise ratio, defects are found in a fast and reliable way, albeit with a location accuracy limited by the spot size of the incident illumination. Having thus identified rough locations for the defects, a fine scan is carried out in the vicinity of these locations. Since our source delivers coherent illumination, we can use an iterative phase-retrieval method to reconstruct the aerial image of the scanned area with - in principle - diffraction-limited resolution without the need of an objective lens. Here, we will focus on the aerial image reconstruction technique and give a few examples to illustrate the capability of the method.

  10. Proposal of AAA-battery-size one-shot ATR Fourier spectroscopic imager for on-site analysis: Simultaneous measurement of multi-components with high accuracy

    Science.gov (United States)

    Hosono, Satsuki; Qi, Wei; Sato, Shun; Suzuki, Yo; Fujiwara, Masaru; Hiramatsu, Hiroyuki; Suzuki, Satoru; Abeygunawardhana, P. K. W.; Wada, Kenji; Nishiyama, Akira; Ishimaru, Ichiro

    2015-03-01

    For simultaneous measurement of multi-components on-site like factories, the ultra-compact (diameter: 9[mm], length: 45[mm], weight: 200[g]) one-shot ATR (Attenuated Total Reflection) Fourier spectroscopic imager was proposed. Because the proposed one-shot Fourier spectroscopic imaging is based on spatial-phase-shift interferometer, interferograms could be obtained with simple optical configurations. We introduced the transmission-type relativeinclined phase-shifter, that was constructed with a cuboid prism and a wedge prism, onto the optical Fourier transform plane of infinity corrected optical systems. And also, small light-sources and cameras in the mid-infrared light region, whose size are several millimeter on a side, are essential components for the ultra-compact spectroscopic configuration. We selected the Graphite light source (light source area: 1.7×1.7[mm], maker: Hawkeye technologies) whose radiation factor was high. Fortunately, in these days we could apply the cost-effective 2-dimensional light receiving device for smartphone (e.g. product name: LEPTON, maker: FLIR, price: around 400USD). In the case of alcoholic drinks factory, conventionally workers measure glucose and ethanol concentrations by bringing liquid solution back to laboratories every day. The high portable spectroscopy will make it possible to measure multi-components simultaneously on manufacturing scene. But we found experimentally that absorption spectrum of glucose and water and ethanol were overlapped each other in near infrared light region. But for mid-infrared light region, we could distinguish specific absorption peaks of glucose (@10.5[μm]) and ethanol (@11.5[μm]) independently from water absorption. We obtained standard curve between absorption (@9.6[μm]) and ethanol concentration with high correlation coefficient 0.98 successfully by ATR imaging-type 2-dimensional Fourier spectroscopy (wavelength resolution: 0.057[μm]) with the graphite light source (maker: Hawkeye

  11. Analysis of a Failed Eclipse Plasma Ejection Using EUV Observations

    Science.gov (United States)

    Tavabi, E.; Koutchmy, S.; Bazin, C.

    2018-03-01

    The photometry of eclipse white-light (W-L) images showing a moving blob is interpreted for the first time together with observations from space with the PRoject for On Board Autonomy (PROBA-2) mission (ESA). An off-limb event seen with great details in W-L was analyzed with the SWAP imager ( Sun Watcher using Active pixel system detector and image Processing) working in the EUV near 174 Å. It is an elongated plasma blob structure of 25 Mm diameter moving above the east limb with coronal loops under. Summed and co-aligned SWAP images are evaluated using a 20-h sequence, in addition to the 11 July, 2010 eclipse W-L images taken from several sites. The Atmospheric Imaging Assembly (AIA) instrument on board the Solar Dynamics Observatory (SDO) recorded the event suggesting a magnetic reconnection near a high neutral point; accordingly, we also call it a magnetic plasmoid. The measured proper motion of the blob shows a velocity up to 12 km s^{-1}. Electron densities of the isolated condensation (cloud or blob or plasmoid) are photometrically evaluated. The typical value is 108 cm^{-3} at r=1.7 R_{⊙}, superposed on a background corona of 107 cm^{-3} density. The mass of the cloud near its maximum brightness is found to be 1.6×10^{13} g, which is typically 0.6×10^{-4} of the overall mass of the corona. From the extrapolated magnetic field the cloud evolves inside a rather broad open region but decelerates, after reaching its maximum brightness. The influence of such small events for supplying material to the ubiquitous slow wind is noticed. A precise evaluation of the EUV photometric data, after accurately removing the stray light, suggests an interpretation of the weak 174 Å radiation of the cloud as due to resonance scattering in the Fe IX/X lines.

  12. The TESS-HERMES survey data release 1: high-resolution spectroscopy of the TESS southern continuous viewing zone

    Science.gov (United States)

    Sharma, Sanjib; Stello, Dennis; Buder, Sven; Kos, Janez; Bland-Hawthorn, Joss; Asplund, Martin; Duong, Ly; Lin, Jane; Lind, Karin; Ness, Melissa; Huber, Daniel; Zwitter, Tomaz; Traven, Gregor; Hon, Marc; Kafle, Prajwal R.; Khanna, Shourya; Saddon, Hafiz; Anguiano, Borja; Casey, Andrew R.; Freeman, Ken; Martell, Sarah; De Silva, Gayandhi M.; Simpson, Jeffrey D.; Wittenmyer, Rob A.; Zucker, Daniel B.

    2018-01-01

    The Transiting Exoplanet Survey Satellite (TESS) will provide high-precision time series photometry for millions of stars with at least a half-hour cadence. Of particular interest are the circular regions of 12° radius centred around the ecliptic poles that will be observed continuously for a full year. Spectroscopic stellar parameters are desirable to characterize and select suitable targets for TESS, whether they are focused on exploring exoplanets, stellar astrophysics or Galactic archaeology. Here, we present spectroscopic stellar parameters (Teff, log g, [Fe/H], v sin i, vmicro) for about 16 000 dwarf and subgiant stars in TESS' southern continuous viewing zone. For almost all the stars, we also present Bayesian estimates of stellar properties including distance, extinction, mass, radius and age using theoretical isochrones. Stellar surface gravity and radius are made available for an additional set of roughly 8500 red giants. All our target stars are in the range 10 using the High Efficiency and Resolution Multi-Element Spectrograph (HERMES; R ∼ 28 000) at the Anglo-Australian Telescope as part of the TESS-HERMES survey. Comparing our results with the TESS Input Catalogue (TIC) shows that the TIC is generally efficient in separating dwarfs and giants, but it has flagged more than 100 cool dwarfs (Teff < 4800 K) as giants, which ought to be high-priority targets for the exoplanet search. The catalogue can be accessed via http://www.physics.usyd.edu.au/tess-hermes/, or at Mikulski Archive for Space Telescopes (MAST).

  13. Optimization of a coherent synchrotron radiation source in the Tera-hertz range for high-resolution spectroscopy of molecules of astrophysical interest

    International Nuclear Information System (INIS)

    Barros, J.

    2012-01-01

    Fourier Transform spectroscopy is the most used multiplex tool for high-resolution measurements in the infrared range. Its extension to the Tera-hertz domain is of great interest for spectroscopic studies of interstellar molecules. This application is however hampered by the lack of dedicated, broadband sources with a sufficient intensity and stability. In this work, Coherent Synchrotron Radiation (CSR) was used as a source for molecular spectroscopy at high resolution on the AILES infrared and Tera-hertz beamline of SOLEIL synchrotron. The beamline being optimized for far-infrared, we could characterize the properties of CSR and compare them to the incoherent synchrotron radiation. A double detection system allowed to correct the effect of the source-related instabilities, hence to significantly increase the signal-to-noise ratio. Pure rotational spectra were measured using these developments. The case of the propynal molecule, for which a refined set of rotational and centrifugal distortion constants was calculated, proves the complementarity between CSR and the classical microwave or infrared sources. (author)

  14. EUV multilayer defect compensation (MDC) by absorber pattern modification: from theory to wafer validation

    Science.gov (United States)

    Pang, Linyong; Hu, Peter; Satake, Masaki; Tolani, Vikram; Peng, Danping; Li, Ying; Chen, Dongxue

    2011-11-01

    According to the ITRS roadmap, mask defects are among the top technical challenges to introduce extreme ultraviolet (EUV) lithography into production. Making a multilayer defect-free extreme ultraviolet (EUV) blank is not possible today, and is unlikely to happen in the next few years. This means that EUV must work with multilayer defects present on the mask. The method proposed by Luminescent is to compensate effects of multilayer defects on images by modifying the absorber patterns. The effect of a multilayer defect is to distort the images of adjacent absorber patterns. Although the defect cannot be repaired, the images may be restored to their desired targets by changing the absorber patterns. This method was first introduced in our paper at BACUS 2010, which described a simple pixel-based compensation algorithm using a fast multilayer model. The fast model made it possible to complete the compensation calculations in seconds, instead of days or weeks required for rigorous Finite Domain Time Difference (FDTD) simulations. Our SPIE 2011 paper introduced an advanced compensation algorithm using the Level Set Method for 2D absorber patterns. In this paper the method is extended to consider process window, and allow repair tool constraints, such as permitting etching but not deposition. The multilayer defect growth model is also enhanced so that the multilayer defect can be "inverted", or recovered from the top layer profile using a calibrated model.

  15. EUV and radio spectrum of coronal holes

    Energy Technology Data Exchange (ETDEWEB)

    Chiuderi Drago, F [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1980-03-01

    From the intensity of 19 EUV lines whose formation temperature anti T ranges from 3 x 10/sup 4/ to 1.4 x 10/sup 6/, two different models of the transition region and corona for the cell-centre and the network are derived. It is shown that both these models give radio brightness temperatures systematically higher than the observed ones. An agreement with radio data can be found only with lines formed at low temperature (anti T < 8.5 x 10/sup 5/) by decreasing the coronal temperature and the emission measure. The possibility of resolving the discrepancy by using different ion abundances has also been investigated with negative results.

  16. High-resolution electron spectroscopy of the 1s23lnl' Be-like series in oxygen and neon. Test of theoretical data: II. Experimental results

    International Nuclear Information System (INIS)

    Bordenave-Montesquieu, A; Moretto-Capelle, P; Bordenave-Montesquieu, D

    2003-01-01

    A complete and accurate experimental test of theoretical spectroscopic data sets (state positions, lifetimes) available for the n=3-5 terms of the 1s 2 3lnl' Rydberg series of oxygen and neon ions is presented in a series of two papers. This result was achieved by fitting our high-resolution electron spectra with post-collisional lineshapes calculated with the help of these spectroscopic data. In this second paper we apply the fitting procedure described in the preceding companion paper (I) to the analysis of high-resolution electron spectra measured in O 6+ (1s 2 ) + He, H 2 and Ne 8+ (1s 2 ) + He collisional systems at 10 qkeV collision energy (q is the ion charge). Singlet states alone are found to be excited in oxygen; they also explain most of the neon lines; in the latter case a possible contribution of triplet states is discussed. Many 1s 2 3lnl' 1 L transitions are identified for the first time. A quantitative comparison between measured and calculated positions clearly points to the best theoretical data currently available. Finally, a first identification of some 4l4l' 1 L transitions observed in the neon spectrum is also proposed. From this huge spectroscopic work, we extract the first experimental partial branching ratios for autoionization into the 1s 2 2l ionization continua for a large number of 1s 2 3lnl' 1 L states, which are compared with the total ones calculated by other authors; we deduce that populations of |M L vertical bar = 0 and 1 magnetic sublevels are nearly identical. The double-capture process is also briefly characterized by comparing relative populations of many n=3-5 states; it is found that the same states are populated in O 6+ +H 2 and Ne 8+ +He collisional systems with the same relative populations

  17. High-resolution reflection spectroscopy

    International Nuclear Information System (INIS)

    Ducloy, Martial

    1997-01-01

    In this article some recent developments in selective reflection spectroscopy is reviewed and the various ways to extend Doppler free techniques to this spectroscopic field is discussed. Its main feature is to probe atomic gas close to the cell boundaries

  18. High resolution data acquisition

    Science.gov (United States)

    Thornton, Glenn W.; Fuller, Kenneth R.

    1993-01-01

    A high resolution event interval timing system measures short time intervals such as occur in high energy physics or laser ranging. Timing is provided from a clock (38) pulse train (37) and analog circuitry (44) for generating a triangular wave (46) synchronously with the pulse train (37). The triangular wave (46) has an amplitude and slope functionally related to the time elapsed during each clock pulse in the train. A converter (18, 32) forms a first digital value of the amplitude and slope of the triangle wave at the start of the event interval and a second digital value of the amplitude and slope of the triangle wave at the end of the event interval. A counter (26) counts the clock pulse train (37) during the interval to form a gross event interval time. A computer (52) then combines the gross event interval time and the first and second digital values to output a high resolution value for the event interval.

  19. High resolution time integration for Sn radiation transport

    International Nuclear Information System (INIS)

    Thoreson, Greg; McClarren, Ryan G.; Chang, Jae H.

    2008-01-01

    First order, second order and high resolution time discretization schemes are implemented and studied for the S n equations. The high resolution method employs a rate of convergence better than first order, but also suppresses artificial oscillations introduced by second order schemes in hyperbolic differential equations. All three methods were compared for accuracy and convergence rates. For non-absorbing problems, both second order and high resolution converged to the same solution as the first order with better convergence rates. High resolution is more accurate than first order and matches or exceeds the second order method. (authors)

  20. Structure of high-resolution NMR spectra

    CERN Document Server

    Corio, PL

    2012-01-01

    Structure of High-Resolution NMR Spectra provides the principles, theories, and mathematical and physical concepts of high-resolution nuclear magnetic resonance spectra.The book presents the elementary theory of magnetic resonance; the quantum mechanical theory of angular momentum; the general theory of steady state spectra; and multiple quantum transitions, double resonance and spin echo experiments.Physicists, chemists, and researchers will find the book a valuable reference text.

  1. Optimizing hydroxyl airglow retrievals from long-slit astronomical spectroscopic observations

    Directory of Open Access Journals (Sweden)

    C. Franzen

    2017-08-01

    Full Text Available Astronomical spectroscopic observations from ground-based telescopes contain background emission lines from the terrestrial atmosphere's airglow. In the near infrared, this background is composed mainly of emission from Meinel bands of hydroxyl (OH, which is produced in highly excited vibrational states by reduction of ozone near 90 km. This emission contains a wealth of information on the chemical and dynamical state of the Earth's atmosphere. However, observation strategies and data reduction processes are usually optimized to minimize the influence of these features on the astronomical spectrum. Here we discuss a measurement technique to optimize the extraction of the OH airglow signal itself from routine J-, H-, and K-band long-slit astronomical spectroscopic observations. As an example, we use data recorded from a point-source observation by the Nordic Optical Telescope's intermediate-resolution spectrograph, which has a spatial resolution of approximately 100 m at the airglow layer. Emission spectra from the OH vibrational manifold from v′  =  9 down to v′  =  3, with signal-to-noise ratios up to 280, have been extracted from 10.8 s integrations. Rotational temperatures representative of the background atmospheric temperature near 90 km, the mesosphere and lower thermosphere region, can be fitted to the OH rotational lines with an accuracy of around 0.7 K. Using this measurement and analysis technique, we derive a rotational temperature distribution with v′ that agrees with atmospheric model conditions and the preponderance of previous work. We discuss the derived rotational temperatures from the different vibrational bands and highlight the potential for both the archived and future observations, which are at unprecedented spatial and temporal resolutions, to contribute toward the resolution of long-standing problems in atmospheric physics.

  2. The nuclear spectroscopic telescope array (NuSTAR) high-energy X-ray mission

    DEFF Research Database (Denmark)

    Madsen, Kristin K.; Harrison, Fiona A.; Hongjun An

    2014-01-01

    The Nuclear Spectroscopic Telescope Array (NuSTAR) mission was launched on 2012 June 13 and is the first focusing high-energy X-ray telescope in orbit operating above ~10 keV. NuSTAR flies two co-aligned Wolter-I conical approximation X-ray optics, coated with Pt/C and W/Si multilayers...

  3. High-resolution multi-slice PET

    International Nuclear Information System (INIS)

    Yasillo, N.J.; Chintu Chen; Ordonez, C.E.; Kapp, O.H.; Sosnowski, J.; Beck, R.N.

    1992-01-01

    This report evaluates the progress to test the feasibility and to initiate the design of a high resolution multi-slice PET system. The following specific areas were evaluated: detector development and testing; electronics configuration and design; mechanical design; and system simulation. The design and construction of a multiple-slice, high-resolution positron tomograph will provide substantial improvements in the accuracy and reproducibility of measurements of the distribution of activity concentrations in the brain. The range of functional brain research and our understanding of local brain function will be greatly extended when the development of this instrumentation is completed

  4. High resolution NMR spectroscopy of synthetic polymers in bulk

    International Nuclear Information System (INIS)

    Komorski, R.A.

    1986-01-01

    The contents of this book are: Overview of high-resolution NMR of solid polymers; High-resolution NMR of glassy amorphous polymers; Carbon-13 solid-state NMR of semicrystalline polymers; Conformational analysis of polymers of solid-state NMR; High-resolution NMR studies of oriented polymers; High-resolution solid-state NMR of protons in polymers; and Deuterium NMR of solid polymers. This work brings together the various approaches for high-resolution NMR studies of bulk polymers into one volume. Heavy emphasis is, of course, given to 13C NMR studies both above and below Tg. Standard high-power pulse and wide-line techniques are not covered

  5. High resolution integral holography using Fourier ptychographic approach.

    Science.gov (United States)

    Li, Zhaohui; Zhang, Jianqi; Wang, Xiaorui; Liu, Delian

    2014-12-29

    An innovative approach is proposed for calculating high resolution computer generated integral holograms by using the Fourier Ptychographic (FP) algorithm. The approach initializes a high resolution complex hologram with a random guess, and then stitches together low resolution multi-view images, synthesized from the elemental images captured by integral imaging (II), to recover the high resolution hologram through an iterative retrieval with FP constrains. This paper begins with an analysis of the principle of hologram synthesis from multi-projections, followed by an accurate determination of the constrains required in the Fourier ptychographic integral-holography (FPIH). Next, the procedure of the approach is described in detail. Finally, optical reconstructions are performed and the results are demonstrated. Theoretical analysis and experiments show that our proposed approach can reconstruct 3D scenes with high resolution.

  6. Heights integrated model as instrument for simulation of hydrodynamic, radiation transport, and heat conduction phenomena of laser-produced plasma in EUV applications.

    Energy Technology Data Exchange (ETDEWEB)

    Sizyuk, V.; Hassanein, A.; Morozov, V.; Sizyuk, T.; Mathematics and Computer Science

    2007-01-16

    The HEIGHTS integrated model has been developed as an instrument for simulation and optimization of laser-produced plasma (LPP) sources relevant to extreme ultraviolet (EUV) lithography. The model combines three general parts: hydrodynamics, radiation transport, and heat conduction. The first part employs a total variation diminishing scheme in the Lax-Friedrich formulation (TVD-LF); the second part, a Monte Carlo model; and the third part, implicit schemes with sparse matrix technology. All model parts consider physical processes in three-dimensional geometry. The influence of a generated magnetic field on laser plasma behavior was estimated, and it was found that this effect could be neglected for laser intensities relevant to EUV (up to {approx}10{sup 12} W/cm{sup 2}). All applied schemes were tested on analytical problems separately. Benchmark modeling of the full EUV source problem with a planar tin target showed good correspondence with experimental and theoretical data. Preliminary results are presented for tin droplet- and planar-target LPP devices. The influence of three-dimensional effects on EUV properties of source is discussed.

  7. High-spatial resolution and high-spectral resolution detector for use in the measurement of solar flare hard x rays

    International Nuclear Information System (INIS)

    Desai, U.D.; Orwig, L.E.

    1988-01-01

    In the areas of high spatial resolution, the evaluation of a hard X-ray detector with 65 micron spatial resolution for operation in the energy range from 30 to 400 keV is proposed. The basic detector is a thick large-area scintillator faceplate, composed of a matrix of high-density scintillating glass fibers, attached to a proximity type image intensifier tube with a resistive-anode digital readout system. Such a detector, combined with a coded-aperture mask, would be ideal for use as a modest-sized hard X-ray imaging instrument up to X-ray energies as high as several hundred keV. As an integral part of this study it was also proposed that several techniques be critically evaluated for X-ray image coding which could be used with this detector. In the area of high spectral resolution, it is proposed to evaluate two different types of detectors for use as X-ray spectrometers for solar flares: planar silicon detectors and high-purity germanium detectors (HPGe). Instruments utilizing these high-spatial-resolution detectors for hard X-ray imaging measurements from 30 to 400 keV and high-spectral-resolution detectors for measurements over a similar energy range would be ideally suited for making crucial solar flare observations during the upcoming maximum in the solar cycle

  8. High resolution photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Arko, A.J.

    1988-01-01

    Photoelectron Spectroscopy (PES) covers a very broad range of measurements, disciplines, and interests. As the next generation light source, the FEL will result in improvements over the undulator that are larger than the undulater improvements over bending magnets. The combination of high flux and high inherent resolution will result in several orders of magnitude gain in signal to noise over measurements using synchrotron-based undulators. The latter still require monochromators. Their resolution is invariably strongly energy-dependent so that in the regions of interest for many experiments (h upsilon > 100 eV) they will not have a resolving power much over 1000. In order to study some of the interesting phenomena in actinides (heavy fermions e.g.) one would need resolving powers of 10 4 to 10 5 . These values are only reachable with the FEL

  9. Solar Flares Observed with the Ramaty High Energy Solar Spectroscopic Imager (RHESSI)

    Science.gov (United States)

    Holman, Gordon D.

    2004-01-01

    Solar flares are impressive examples of explosive energy release in unconfined, magnetized plasma. It is generally believed that the flare energy is derived from the coronal magnetic field. However, we have not been able to establish the specific energy release mechanism(s) or the relative partitioning of the released energy between heating, particle acceleration (electrons and ions), and mass motions. NASA's RHESSI Mission was designed to study the acceleration and evolution of electrons and ions in flares by observing the X-ray and gamma-ray emissions these energetic particles produce. This is accomplished through the combination of high-resolution spectroscopy and spectroscopic imaging, including the first images of flares in gamma rays. RHESSI has observed over 12,000 solar flares since its launch on February 5, 2002. I will demonstrate how we use the RHESSI spectra to deduce physical properties of accelerated electrons and hot plasma in flares. Using images to estimate volumes, w e typically find that the total energy in accelerated electrons is comparable to that in the thermal plasma. I will also present flare observations that provide strong support for the presence of magnetic reconnection in a large-scale, vertical current sheet in the solar corona. RHESSI observations such as these are allowing us to probe more deeply into the physics of solar flares.

  10. Grazing incidence EUV study of the Alcator tokamaks

    International Nuclear Information System (INIS)

    Castracane, J.

    1982-01-01

    The use of impurity radiation to examine plasma conditions is a well known technique. To gain access, however, to the hot, central portion of the plasma created in the present confinement machines it is necessary to be able to observe radiation from medium and heavy elements such as molybdenum and iron. These impurities radiate primarily in the extreme ultra violet region of the spectrum and can play a role in the power balance of the tokamak. Radiation from highly ionized molybdenum was examined on the Alcator A and C tokamaks using a photometrically calibrated one meter grazing incidence monochromator. On Alcator A, a pseudo-continuum of Mo emissions in the 60 to 100 A ranges were seen to comprise 17% of the radiative losses from the plasma. This value closely matched measurements by a broad band bolometer array. Following these preliminary measurements, the monochromator was transferred to Alcator C for a more thorough examination of EUV emissions. Deviations from predicted scaling laws for energy confinement time vs density were observed on this machine

  11. Spectroscopic failures in photometric redshift calibration: cosmological biases and survey requirements

    Energy Technology Data Exchange (ETDEWEB)

    Cunha, Carlos E. [KIPAC, Menlo Park; Huterer, Dragan [Michigan U.; Lin, Huan [Fermilab; Busha, Michael T. [Zurich U.; Wechsler, Risa H. [SLAC

    2014-10-11

    We use N-body-spectro-photometric simulations to investigate the impact of incompleteness and incorrect redshifts in spectroscopic surveys to photometric redshift training and calibration and the resulting effects on cosmological parameter estimation from weak lensing shear-shear correlations. The photometry of the simulations is modeled after the upcoming Dark Energy Survey and the spectroscopy is based on a low/intermediate resolution spectrograph with wavelength coverage of 5500{\\AA} < {\\lambda} < 9500{\\AA}. The principal systematic errors that such a spectroscopic follow-up encounters are incompleteness (inability to obtain spectroscopic redshifts for certain galaxies) and wrong redshifts. Encouragingly, we find that a neural network-based approach can effectively describe the spectroscopic incompleteness in terms of the galaxies' colors, so that the spectroscopic selection can be applied to the photometric sample. Hence, we find that spectroscopic incompleteness yields no appreciable biases to cosmology, although the statistical constraints degrade somewhat because the photometric survey has to be culled to match the spectroscopic selection. Unfortunately, wrong redshifts have a more severe impact: the cosmological biases are intolerable if more than a percent of the spectroscopic redshifts are incorrect. Moreover, we find that incorrect redshifts can also substantially degrade the accuracy of training set based photo-z estimators. The main problem is the difficulty of obtaining redshifts, either spectroscopically or photometrically, for objects at z > 1.3. We discuss several approaches for reducing the cosmological biases, in particular finding that photo-z error estimators can reduce biases appreciably.

  12. Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-07-01

    Extreme ultraviolet lithography (EUVL, λ=13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a "Photosensitized Chemically Amplified Resist™" (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated

  13. High-resolution regional climate model evaluation using variable-resolution CESM over California

    Science.gov (United States)

    Huang, X.; Rhoades, A.; Ullrich, P. A.; Zarzycki, C. M.

    2015-12-01

    Understanding the effect of climate change at regional scales remains a topic of intensive research. Though computational constraints remain a problem, high horizontal resolution is needed to represent topographic forcing, which is a significant driver of local climate variability. Although regional climate models (RCMs) have traditionally been used at these scales, variable-resolution global climate models (VRGCMs) have recently arisen as an alternative for studying regional weather and climate allowing two-way interaction between these domains without the need for nudging. In this study, the recently developed variable-resolution option within the Community Earth System Model (CESM) is assessed for long-term regional climate modeling over California. Our variable-resolution simulations will focus on relatively high resolutions for climate assessment, namely 28km and 14km regional resolution, which are much more typical for dynamically downscaled studies. For comparison with the more widely used RCM method, the Weather Research and Forecasting (WRF) model will be used for simulations at 27km and 9km. All simulations use the AMIP (Atmospheric Model Intercomparison Project) protocols. The time period is from 1979-01-01 to 2005-12-31 (UTC), and year 1979 was discarded as spin up time. The mean climatology across California's diverse climate zones, including temperature and precipitation, is analyzed and contrasted with the Weather Research and Forcasting (WRF) model (as a traditional RCM), regional reanalysis, gridded observational datasets and uniform high-resolution CESM at 0.25 degree with the finite volume (FV) dynamical core. The results show that variable-resolution CESM is competitive in representing regional climatology on both annual and seasonal time scales. This assessment adds value to the use of VRGCMs for projecting climate change over the coming century and improve our understanding of both past and future regional climate related to fine

  14. Section on High Resolution Optical Imaging (HROI)

    Data.gov (United States)

    Federal Laboratory Consortium — The Section on High Resolution Optical Imaging (HROI) develops novel technologies for studying biological processes at unprecedented speed and resolution. Research...

  15. Extending CO2 cryogenic aerosol cleaning for advanced optical and EUV mask cleaning

    Science.gov (United States)

    Varghese, Ivin; Bowers, Charles W.; Balooch, Mehdi

    2011-11-01

    Cryogenic CO2 aerosol cleaning being a dry, chemically-inert and residue-free process is used in the production of optical lithography masks. It is an attractive cleaning option for the mask industry to achieve the requirement for removal of all printable soft defects and repair debris down to the 50nm printability specification. In the technique, CO2 clusters are formed by sudden expansion of liquid from high to almost atmospheric pressure through an optimally designed nozzle orifice. They are then directed on to the soft defects or debris for momentum transfer and subsequent damage free removal from the mask substrate. Unlike aggressive acid based wet cleaning, there is no degradation of the mask after processing with CO2, i.e., no critical dimension (CD) change, no transmission/phase losses, or chemical residue that leads to haze formation. Therefore no restriction on number of cleaning cycles is required to be imposed, unlike other cleaning methods. CO2 aerosol cleaning has been implemented for several years as full mask final clean in production environments at several state of the art mask shops. Over the last two years our group reported successful removal of all soft defects without damage to the fragile SRAF features, zero adders (from the cleaning and handling mechanisms) down to a 50nm printability specification. In addition, CO2 aerosol cleaning is being utilized to remove debris from Post-RAVE repair of hard defects in order to achieve the goal of no printable defects. It is expected that CO2 aerosol cleaning can be extended to extreme ultraviolet (EUV) masks. In this paper, we report advances being made in nozzle design qualification for optimum snow properties (size, velocity and flux) using Phase Doppler Anemometry (PDA) technique. In addition the two new areas of focus for CO2 aerosol cleaning i.e. pellicle glue residue removal on optical masks, and ruthenium (Ru) film on EUV masks are presented. Usually, the residue left over after the pellicle

  16. Off-limb EUV observations of the solar corona and transients with the CORONAS-F/SPIRIT telescope-coronagraph

    Directory of Open Access Journals (Sweden)

    V. Slemzin

    2008-10-01

    Full Text Available The SPIRIT telescope aboard the CORONAS-F satellite (in orbit from 26 July 2001 to 5 December 2005, observed the off-limb solar corona in the 175 Å (Fe IX, X and XI lines and 304 Å (He II and Si XI lines bands. In the coronagraphic mode the mirror was tilted to image the corona at the distance of 1.1...5 Rsun from the solar center, the outer occulter blocked the disk radiation and the detector sensitivity was enhanced. This intermediate region between the fields of view of ordinary extreme-ultraviolet (EUV telescopes and most of the white-light (WL coronagraphs is responsible for forming the streamer belt, acceleration of ejected matter and emergence of slow and fast solar wind. We present here the results of continuous coronagraphic EUV observations of the solar corona carried out during two weeks in June and December 2002. The images showed a "diffuse" (unresolved component of the corona seen in both bands, and non-radial, ray-like structures seen only in the 175 Å band, which can be associated with a streamer base. The correlations between latitudinal distributions of the EUV brightness in the corona and at the limb were found to be high in 304 Å at all distances and in 175 Å only below 1.5 Rsun. The temporal correlation of the coronal brightness along the west radial line, with the brightness at the underlying limb region was significant in both bands, independent of the distance. On 2 February 2003 SPIRIT observed an expansion of a transient associated with a prominence eruption seen only in the 304 Å band. The SPIRIT data have been compared with the corresponding data of the SOHO LASCO, EIT and UVCS instruments.

  17. High angular resolution at LBT

    Science.gov (United States)

    Conrad, A.; Arcidiacono, C.; Bertero, M.; Boccacci, P.; Davies, A. G.; Defrere, D.; de Kleer, K.; De Pater, I.; Hinz, P.; Hofmann, K. H.; La Camera, A.; Leisenring, J.; Kürster, M.; Rathbun, J. A.; Schertl, D.; Skemer, A.; Skrutskie, M.; Spencer, J. R.; Veillet, C.; Weigelt, G.; Woodward, C. E.

    2015-12-01

    High angular resolution from ground-based observatories stands as a key technology for advancing planetary science. In the window between the angular resolution achievable with 8-10 meter class telescopes, and the 23-to-40 meter giants of the future, LBT provides a glimpse of what the next generation of instruments providing higher angular resolution will provide. We present first ever resolved images of an Io eruption site taken from the ground, images of Io's Loki Patera taken with Fizeau imaging at the 22.8 meter LBT [Conrad, et al., AJ, 2015]. We will also present preliminary analysis of two data sets acquired during the 2015 opposition: L-band fringes at Kurdalagon and an occultation of Loki and Pele by Europa (see figure). The light curves from this occultation will yield an order of magnitude improvement in spatial resolution along the path of ingress and egress. We will conclude by providing an overview of the overall benefit of recent and future advances in angular resolution for planetary science.

  18. A spectroscopic transfer standard for accurate atmospheric CO measurements

    Science.gov (United States)

    Nwaboh, Javis A.; Li, Gang; Serdyukov, Anton; Werhahn, Olav; Ebert, Volker

    2016-04-01

    Atmospheric carbon monoxide (CO) is a precursor of essential climate variables and has an indirect effect for enhancing global warming. Accurate and reliable measurements of atmospheric CO concentration are becoming indispensable. WMO-GAW reports states a compatibility goal of ±2 ppb for atmospheric CO concentration measurements. Therefore, the EMRP-HIGHGAS (European metrology research program - high-impact greenhouse gases) project aims at developing spectroscopic transfer standards for CO concentration measurements to meet this goal. A spectroscopic transfer standard would provide results that are directly traceable to the SI, can be very useful for calibration of devices operating in the field, and could complement classical gas standards in the field where calibration gas mixtures in bottles often are not accurate, available or stable enough [1][2]. Here, we present our new direct tunable diode laser absorption spectroscopy (dTDLAS) sensor capable of performing absolute ("calibration free") CO concentration measurements, and being operated as a spectroscopic transfer standard. To achieve the compatibility goal stated by WMO for CO concentration measurements and ensure the traceability of the final concentration results, traceable spectral line data especially line intensities with appropriate uncertainties are needed. Therefore, we utilize our new high-resolution Fourier-transform infrared (FTIR) spectroscopy CO line data for the 2-0 band, with significantly reduced uncertainties, for the dTDLAS data evaluation. Further, we demonstrate the capability of our sensor for atmospheric CO measurements, discuss uncertainty calculation following the guide to the expression of uncertainty in measurement (GUM) principles and show that CO concentrations derived using the sensor, based on the TILSAM (traceable infrared laser spectroscopic amount fraction measurement) method, are in excellent agreement with gravimetric values. Acknowledgement Parts of this work have been

  19. A method for generating high resolution satellite image time series

    Science.gov (United States)

    Guo, Tao

    2014-10-01

    There is an increasing demand for satellite remote sensing data with both high spatial and temporal resolution in many applications. But it still is a challenge to simultaneously improve spatial resolution and temporal frequency due to the technical limits of current satellite observation systems. To this end, much R&D efforts have been ongoing for years and lead to some successes roughly in two aspects, one includes super resolution, pan-sharpen etc. methods which can effectively enhance the spatial resolution and generate good visual effects, but hardly preserve spectral signatures and result in inadequate analytical value, on the other hand, time interpolation is a straight forward method to increase temporal frequency, however it increase little informative contents in fact. In this paper we presented a novel method to simulate high resolution time series data by combing low resolution time series data and a very small number of high resolution data only. Our method starts with a pair of high and low resolution data set, and then a spatial registration is done by introducing LDA model to map high and low resolution pixels correspondingly. Afterwards, temporal change information is captured through a comparison of low resolution time series data, and then projected onto the high resolution data plane and assigned to each high resolution pixel according to the predefined temporal change patterns of each type of ground objects. Finally the simulated high resolution data is generated. A preliminary experiment shows that our method can simulate a high resolution data with a reasonable accuracy. The contribution of our method is to enable timely monitoring of temporal changes through analysis of time sequence of low resolution images only, and usage of costly high resolution data can be reduces as much as possible, and it presents a highly effective way to build up an economically operational monitoring solution for agriculture, forest, land use investigation

  20. Investigation of the interaction of high intensity laser light with solids and hot plasma using X-ray spectroscopic technique

    International Nuclear Information System (INIS)

    Zigler, A.

    1978-06-01

    This work investigates the properties of high power laser-produced plasmas by developing and applying x-ray spectroscopic methods which utilize spatial resolution. The shadow techniques which were developed in this work yield a high spatial resolution of 5-15μm together with an adequate X-ray spectral resolution for single shots of laser power flux of 2.10 13 W/cm -2 . The intensity distribution in the source is calculated from the partial shadow by numerical differentiation. The main advantage of the present method is the ability to obtain spatial information simultaneously for strong and weak spectral lines for a single shot of medium power laser. Plasma parameters were derived from H-like and He-like lines and their inner-shell satellites, which were obtained from Mg, Al and Si targets. Using shadow techniques, the sizes of the emitting regions of the various spectral lines were measured; the spatial variation of the ionization stage, the electron temperature and density were investigated. A constant electron temperature of (250+-50)eV and electron density scale-length of about 50μm were derived for an expanding plasma. An experimental investigation of the possible origin and the mechanisms responsible for the Ksub(α) radiation in laser-produced plasma was carried out. It is shown that the Ksub(α) radiation was generated by fast suprathermal electrons and originated inside the target behind the interaction zone of the shock and heat waves. Energy penetration depth and hot plasma expansion were tested by using multilayer targets, thin foils and achieving a two-dimensional spatially resolved X-ray Al spectrum. (B.G.)

  1. Loop Evolution Observed with AIA and Hi-C

    Science.gov (United States)

    Mulu-Moore, Fana; Winebarger, Amy R.; Cirtain, Jonathan W.; Kobayashi, Ken; Korreck, Kelly E.; Golub, Leon; Kuzin, Sergei; Walsh, Robert William; DeForest, Craig E.; De Pontieu, Bart; hide

    2012-01-01

    In the past decade, the evolution of EUV loops has been used to infer the loop substructure. With the recent launch of High Resolution Coronal Imager (Hi-C), this inference can be validated. In this presentation we discuss the first results of loop analysis comparing AIA and Hi-C data. In the past decade, the evolution of EUV loops has been used to infer the loop substructure. With the recent launch of High Resolution Coronal Imager (Hi-C), this inference can be validated. In this presentation we discuss the first results of loop analysis comparing AIA and Hi-C data.

  2. EUV FLICKERING OF SOLAR CORONAL LOOPS: A NEW DIAGNOSTIC OF CORONAL HEATING

    Energy Technology Data Exchange (ETDEWEB)

    Tajfirouze, E.; Reale, F.; Peres, G. [Dipartimento di Fisica e Chimica, Università di Palermo, Piazza del Parlamento 1, I-90134 (Italy); Testa, P., E-mail: reale@astropa.unipa.it [Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States)

    2016-02-01

    A previous work of ours found the best agreement between EUV light curves observed in an active region core (with evidence of super-hot plasma) and those predicted from a model with a random combination of many pulse-heated strands with a power-law energy distribution. We extend that work by including spatially resolved strand modeling and by studying the evolution of emission along the loops in the EUV 94 Å and 335 Å channels of the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. Using the best parameters of the previous work as the input of the present one, we find that the amplitude of the random fluctuations driven by the random heat pulses increases from the bottom to the top of the loop in the 94 Å channel and from the top to the bottom in the 335 Å channel. This prediction is confirmed by the observation of a set of aligned neighboring pixels along a bright arc of an active region core. Maps of pixel fluctuations may therefore provide easy diagnostics of nanoflaring regions.

  3. High-resolution Spectroscopic Observations of Binary Stars and Yellow Stragglers in Three Open Clusters : NGC 2360, NGC 3680, and NGC 5822

    Science.gov (United States)

    Sales Silva, J. V.; Peña Suárez, V. J.; Katime Santrich, O. J.; Pereira, C. B.; Drake, N. A.; Roig, F.

    2014-11-01

    Binary stars in open clusters are very useful targets in constraining the nucleosynthesis process. The luminosities of the stars are known because the distances of the clusters are also known, so chemical peculiarities can be linked directly to the evolutionary status of a star. In addition, binary stars offer the opportunity to verify a relationship between them and the straggler population in both globular and open clusters. We carried out a detailed spectroscopic analysis to derive the atmospheric parameters for 16 red giants in binary systems and the chemical composition of 11 of them in the open clusters NGC 2360, NGC 3680, and NGC 5822. We obtained abundances of C, N, O, Na, Mg, Al, Ca, Si, Ti, Ni, Cr, Y, Zr, La, Ce, and Nd. The atmospheric parameters of the studied stars and their chemical abundances were determined using high-resolution optical spectroscopy. We employ the local thermodynamic equilibrium model atmospheres of Kurucz and the spectral analysis code MOOG. The abundances of the light elements were derived using the spectral synthesis technique. We found that the stars NGC 2360-92 and 96, NGC 3680-34, and NGC 5822-4 and 312 are yellow straggler stars. We show that the spectra of NGC 5822-4 and 312 present evidence of contamination by an A-type star as a secondary star. For the other yellow stragglers, evidence of contamination is given by the broad wings of the Hα. Detection of yellow straggler stars is important because the observed number can be compared with the number predicted by simulations of binary stellar evolution in open clusters. We also found that the other binary stars are not s-process enriched, which may suggest that in these binaries the secondary star is probably a faint main-sequence object. The lack of any s-process enrichment is very useful in setting constraints for the number of white dwarfs in the open cluster, a subject that is related to the birthrate of these kinds of stars in open clusters and also to the age of a

  4. Resolution enhancement of low-quality videos using a high-resolution frame

    Science.gov (United States)

    Pham, Tuan Q.; van Vliet, Lucas J.; Schutte, Klamer

    2006-01-01

    This paper proposes an example-based Super-Resolution (SR) algorithm of compressed videos in the Discrete Cosine Transform (DCT) domain. Input to the system is a Low-Resolution (LR) compressed video together with a High-Resolution (HR) still image of similar content. Using a training set of corresponding LR-HR pairs of image patches from the HR still image, high-frequency details are transferred from the HR source to the LR video. The DCT-domain algorithm is much faster than example-based SR in spatial domain 6 because of a reduction in search dimensionality, which is a direct result of the compact and uncorrelated DCT representation. Fast searching techniques like tree-structure vector quantization 16 and coherence search1 are also key to the improved efficiency. Preliminary results on MJPEG sequence show promising result of the DCT-domain SR synthesis approach.

  5. A cloud mask methodology for high resolution remote sensing data combining information from high and medium resolution optical sensors

    Science.gov (United States)

    Sedano, Fernando; Kempeneers, Pieter; Strobl, Peter; Kucera, Jan; Vogt, Peter; Seebach, Lucia; San-Miguel-Ayanz, Jesús

    2011-09-01

    This study presents a novel cloud masking approach for high resolution remote sensing images in the context of land cover mapping. As an advantage to traditional methods, the approach does not rely on thermal bands and it is applicable to images from most high resolution earth observation remote sensing sensors. The methodology couples pixel-based seed identification and object-based region growing. The seed identification stage relies on pixel value comparison between high resolution images and cloud free composites at lower spatial resolution from almost simultaneously acquired dates. The methodology was tested taking SPOT4-HRVIR, SPOT5-HRG and IRS-LISS III as high resolution images and cloud free MODIS composites as reference images. The selected scenes included a wide range of cloud types and surface features. The resulting cloud masks were evaluated through visual comparison. They were also compared with ad-hoc independently generated cloud masks and with the automatic cloud cover assessment algorithm (ACCA). In general the results showed an agreement in detected clouds higher than 95% for clouds larger than 50 ha. The approach produced consistent results identifying and mapping clouds of different type and size over various land surfaces including natural vegetation, agriculture land, built-up areas, water bodies and snow.

  6. OBSERVING THE FINE STRUCTURE OF LOOPS THROUGH HIGH-RESOLUTION SPECTROSCOPIC OBSERVATIONS OF CORONAL RAIN WITH THE CRISP INSTRUMENT AT THE SWEDISH SOLAR TELESCOPE

    International Nuclear Information System (INIS)

    Antolin, P.; Rouppe van der Voort, L.

    2012-01-01

    Observed in cool chromospheric lines, such as Hα or Ca II H, coronal rain corresponds to cool and dense plasma falling from coronal heights. Considered as a peculiar sporadic phenomenon of active regions, it has not received much attention since its discovery more than 40 years ago. Yet, it has been shown recently that a close relationship exists between this phenomenon and the coronal heating mechanism. Indeed, numerical simulations have shown that this phenomenon is most likely due to a loss of thermal equilibrium ensuing from a heating mechanism acting mostly toward the footpoints of loops. We present here one of the first high-resolution spectroscopic observations of coronal rain, performed with the CRisp Imaging Spectro Polarimeter (CRISP) instrument at the Swedish Solar Telescope. This work constitutes the first attempt to assess the importance of coronal rain in the understanding of the coronal magnetic field in active regions. With the present resolution, coronal rain is observed to literally invade the entire field of view. A large statistical set is obtained in which dynamics (total velocities and accelerations), shapes (lengths and widths), trajectories (angles of fall of the blobs), and thermodynamic properties (temperatures) of the condensations are derived. Specifically, we find that coronal rain is composed of small and dense chromospheric cores with average widths and lengths of ∼310 km and ∼710 km, respectively, average temperatures below 7000 K, displaying a broad distribution of falling speeds with an average of ∼70 km s –1 , and accelerations largely below the effective gravity along loops. Through estimates of the ion-neutral coupling in the blobs we show that coronal rain acts as a tracer of the coronal magnetic field, thus supporting the multi-strand loop scenario, and acts as a probe of the local thermodynamic conditions in loops. We further elucidate its potential in coronal heating. We find that the cooling in neighboring strands

  7. Enhancement of the EUV emission of a metallic capillary discharge operated with argon ambient gas

    Energy Technology Data Exchange (ETDEWEB)

    Chan, L. S., E-mail: lschan1982@yahoo.com; Tan, D., E-mail: lschan1982@yahoo.com; Saboohi, S., E-mail: lschan1982@yahoo.com; Yap, S. L., E-mail: lschan1982@yahoo.com; Wong, C. S., E-mail: lschan1982@yahoo.com [Plasma Technology Research Centre, Physics Department, University of Malaya, 50603 Kuala Lumpur (Malaysia)

    2014-03-05

    In this work, the metallic capillary discharge is operated with two different ambients: air and argon. In the experiments reported here, the chamber is first evacuated to 10{sup −5} mbar. The discharge is initiated by the transient hollow cathode effect generated electron beam, with either air ambient or argon ambient at 10{sup −4} mbar. The bombardment of electron beam at the tip of the stainless steel anode gives rise to a metallic vapor, which is injected into the capillary and initiates the main discharge through the capillary. The EUV emission is measured for different discharge voltages for both conditions and compared. It is found that the metallic capillary discharge with argon ambientis able to produce higher EUV energy compared to that with air ambient.

  8. High-Resolution Mass Spectrometers

    Science.gov (United States)

    Marshall, Alan G.; Hendrickson, Christopher L.

    2008-07-01

    Over the past decade, mass spectrometry has been revolutionized by access to instruments of increasingly high mass-resolving power. For small molecules up to ˜400 Da (e.g., drugs, metabolites, and various natural organic mixtures ranging from foods to petroleum), it is possible to determine elemental compositions (CcHhNnOoSsPp…) of thousands of chemical components simultaneously from accurate mass measurements (the same can be done up to 1000 Da if additional information is included). At higher mass, it becomes possible to identify proteins (including posttranslational modifications) from proteolytic peptides, as well as lipids, glycoconjugates, and other biological components. At even higher mass (˜100,000 Da or higher), it is possible to characterize posttranslational modifications of intact proteins and to map the binding surfaces of large biomolecule complexes. Here we review the principles and techniques of the highest-resolution analytical mass spectrometers (time-of-flight and Fourier transform ion cyclotron resonance and orbitrap mass analyzers) and describe some representative high-resolution applications.

  9. Rocket-borne EUV-visible emission measurements

    International Nuclear Information System (INIS)

    Schmidtke, G.; Baker, K.D.; Stasek, G.

    1982-01-01

    Two rocket-borne experiments for measuring EUV atmospheric emissions have been conducted. The first measured emissions at 391.4 nm and 557.7 nm, and the second measured emissions in the range from 50 to 650 nm. Height profiles of selected auroral emissions from atomic oxygen at 130.4 nm (exhibiting resonant radiation diffusion) and from atomic oxygen at 557.7 nm, and from neutral and ionized molecular nitrogen are shown. Some details of the recorded spectra are given. In the shorter wavelength regions, emissions from atomic oxygen and nitrogen dominate. Over 140 nm, Lyman-Birge-Hopfield bands, second positive bands and Vegard-Kaplan bands of molecular nitrogen contribute most strongly except for some atomic lines. The Lyman-Birge-Hopfield bands of molecular nitrogen are relatively weak during the auroral arc as compared to the diffuse aurora

  10. The EUV spectrophotometer on Atmosphere Explorer.

    Science.gov (United States)

    Hinteregger, H. E.; Bedo, D. E.; Manson, J. E.

    1973-01-01

    An extreme ultraviolet (EUV) spectrophotometer for measurements of solar radiation at wavelengths ranging from 140 to 1850 A will be included in the payload of each of the three Atmosphere-Explorer (AE) missions, AE-C, -D, and -E. The instrument consists of 24 grating monochromators, 12 of which can be telecommanded either to execute 128-step scans each covering a relatively small section of the total spectrophotometer wavelength range or to maintain fixed (command-selected) wavelength positions. The remaining 12 nonscan monochromators operate at permanently fixed wavelengths and view only a small fraction of the solar disk except for one viewing the whole sun in H Lyman alpha. Ten of the 12 scan-capable monochromators also view the entire solar disk since their primary function is to measure the total fluxes independent of the distribution of sources across the solar disk.

  11. USGS High Resolution Orthoimagery Collection - Historical - National Geospatial Data Asset (NGDA) High Resolution Orthoimagery

    Data.gov (United States)

    U.S. Geological Survey, Department of the Interior — USGS high resolution orthorectified images from The National Map combine the image characteristics of an aerial photograph with the geometric qualities of a map. An...

  12. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    Science.gov (United States)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  13. ROSAT EUV and soft X-ray studies of atmospheric composition and structure in G191-B2B

    Science.gov (United States)

    Barstow, M. A.; Fleming, T. A.; Finley, D. S.; Koester, D.; Diamond, C. J.

    1993-01-01

    Previous studies of the hot DA white dwarf GI91-B2B have been unable to determine whether the observed soft X-ray and EUV opacity arises from a stratified hydrogen and helium atmosphere or from the presence of trace metals in the photosphere. New EUV and soft X-ray photometry of this star, made with the ROSAT observatory, when analyzed in conjunction with the earlier data, shows that the stratified models cannot account for the observed fluxes. Consequently, we conclude that trace metals must be a substantial source of opacity in the photosphere of G191-B2B.

  14. High throughput screening of ligand binding to macromolecules using high resolution powder diffraction

    Science.gov (United States)

    Von Dreele, Robert B.; D'Amico, Kevin

    2006-10-31

    A process is provided for the high throughput screening of binding of ligands to macromolecules using high resolution powder diffraction data including producing a first sample slurry of a selected polycrystalline macromolecule material and a solvent, producing a second sample slurry of a selected polycrystalline macromolecule material, one or more ligands and the solvent, obtaining a high resolution powder diffraction pattern on each of said first sample slurry and the second sample slurry, and, comparing the high resolution powder diffraction pattern of the first sample slurry and the high resolution powder diffraction pattern of the second sample slurry whereby a difference in the high resolution powder diffraction patterns of the first sample slurry and the second sample slurry provides a positive indication for the formation of a complex between the selected polycrystalline macromolecule material and at least one of the one or more ligands.

  15. Texton-based super-resolution for achieving high spatiotemporal resolution in hybrid camera system

    Science.gov (United States)

    Kamimura, Kenji; Tsumura, Norimichi; Nakaguchi, Toshiya; Miyake, Yoichi

    2010-05-01

    Many super-resolution methods have been proposed to enhance the spatial resolution of images by using iteration and multiple input images. In a previous paper, we proposed the example-based super-resolution method to enhance an image through pixel-based texton substitution to reduce the computational cost. In this method, however, we only considered the enhancement of a texture image. In this study, we modified this texton substitution method for a hybrid camera to reduce the required bandwidth of a high-resolution video camera. We applied our algorithm to pairs of high- and low-spatiotemporal-resolution videos, which were synthesized to simulate a hybrid camera. The result showed that the fine detail of the low-resolution video can be reproduced compared with bicubic interpolation and the required bandwidth could be reduced to about 1/5 in a video camera. It was also shown that the peak signal-to-noise ratios (PSNRs) of the images improved by about 6 dB in a trained frame and by 1.0-1.5 dB in a test frame, as determined by comparison with the processed image using bicubic interpolation, and the average PSNRs were higher than those obtained by the well-known Freeman’s patch-based super-resolution method. Compared with that of the Freeman’s patch-based super-resolution method, the computational time of our method was reduced to almost 1/10.

  16. Immersion Gratings for Infrared High-resolution Spectroscopy

    Science.gov (United States)

    Sarugaku, Yuki; Ikeda, Yuji; Kobayashi, Naoto; Kaji, Sayumi; Sukegawa, Takashi; Sugiyama, Shigeru; Nakagawa, Takao; Arasaki, Takayuki; Kondo, Sohei; Nakanishi, Kenshi; Yasui, Chikako; Kawakita, Hideyo

    2016-10-01

    High-resolution spectroscopy in the infrared wavelength range is essential for observations of minor isotopologues, such as HDO for water, and prebiotic organic molecules like hydrocarbons/P-bearing molecules because numerous vibrational molecular bands (including non-polar molecules) are located in this wavelength range. High spectral resolution enables us to detect weak lines without spectral line confusion. This technique has been widely used in planetary sciences, e.g., cometary coma (H2O, CO, and organic molecules), the martian atmosphere (CH4, CO2, H2O and HDO), and the upper atmosphere of gas giants (H3+ and organic molecules such as C2H6). Spectrographs with higher resolution (and higher sensitivity) still have a potential to provide a plenty of findings. However, because the size of spectrographs scales with the spectral resolution, it is difficult to realize it.Immersion grating (IG), which is a diffraction grating wherein the diffraction surface is immersed in a material with a high refractive index (n > 2), provides n times higher spectral resolution compared to a reflective grating of the same size. Because IG reduces the size of spectrograph to 1/n compared to the spectrograph with the same spectral resolution using a conventional reflective grating, it is widely acknowledged as a key optical device to realize compact spectrographs with high spectral resolution.Recently, we succeeded in fabricating a CdZnTe immersion grating with the theoretically predicted diffraction efficiency by machining process using an ultrahigh-precision five-axis processing machine developed by Canon Inc. Using the same technique, we completed a practical germanium (Ge) immersion grating with both a reflection coating on the grating surface and the an AR coating on the entrance surface. It is noteworthy that the wide wavelength range from 2 to 20 um can be covered by the two immersion gratings.In this paper, we present the performances and the applications of the immersion

  17. EUV actinic defect inspection and defect printability at the sub-32 nm half pitch

    Energy Technology Data Exchange (ETDEWEB)

    Huh, Sungmin; Kearney, Patrick; Wurm, Stefan; Goodwin, Frank; Han, Hakseung; Goldberg, Kenneth; Mochi, Iacopp; Gullikson, Eric M.

    2009-08-01

    Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.

  18. High resolution tomographic instrument development

    International Nuclear Information System (INIS)

    1992-01-01

    Our recent work has concentrated on the development of high-resolution PET instrumentation reflecting in part the growing importance of PET in nuclear medicine imaging. We have developed a number of positron imaging instruments and have the distinction that every instrument has been placed in operation and has had an extensive history of application for basic research and clinical study. The present program is a logical continuation of these earlier successes. PCR-I, a single ring positron tomograph was the first demonstration of analog coding using BGO. It employed 4 mm detectors and is currently being used for a wide range of biological studies. These are of immense importance in guiding the direction for future instruments. In particular, PCR-II, a volume sensitive positron tomograph with 3 mm spatial resolution has benefited greatly from the studies using PCR-I. PCR-II is currently in the final stages of assembly and testing and will shortly be placed in operation for imaging phantoms, animals and ultimately humans. Perhaps the most important finding resulting from our previous study is that resolution and sensitivity must be carefully balanced to achieve a practical high resolution system. PCR-II has been designed to have the detection characteristics required to achieve 3 mm resolution in human brain under practical imaging situations. The development of algorithms by the group headed by Dr. Chesler is based on a long history of prior study including his joint work with Drs. Pelc and Reiderer and Stearns. This body of expertise will be applied to the processing of data from PCR-II when it becomes operational

  19. High resolution tomographic instrument development

    Energy Technology Data Exchange (ETDEWEB)

    1992-08-01

    Our recent work has concentrated on the development of high-resolution PET instrumentation reflecting in part the growing importance of PET in nuclear medicine imaging. We have developed a number of positron imaging instruments and have the distinction that every instrument has been placed in operation and has had an extensive history of application for basic research and clinical study. The present program is a logical continuation of these earlier successes. PCR-I, a single ring positron tomograph was the first demonstration of analog coding using BGO. It employed 4 mm detectors and is currently being used for a wide range of biological studies. These are of immense importance in guiding the direction for future instruments. In particular, PCR-II, a volume sensitive positron tomograph with 3 mm spatial resolution has benefited greatly from the studies using PCR-I. PCR-II is currently in the final stages of assembly and testing and will shortly be placed in operation for imaging phantoms, animals and ultimately humans. Perhaps the most important finding resulting from our previous study is that resolution and sensitivity must be carefully balanced to achieve a practical high resolution system. PCR-II has been designed to have the detection characteristics required to achieve 3 mm resolution in human brain under practical imaging situations. The development of algorithms by the group headed by Dr. Chesler is based on a long history of prior study including his joint work with Drs. Pelc and Reiderer and Stearns. This body of expertise will be applied to the processing of data from PCR-II when it becomes operational.

  20. High resolution tomographic instrument development

    Energy Technology Data Exchange (ETDEWEB)

    1992-01-01

    Our recent work has concentrated on the development of high-resolution PET instrumentation reflecting in part the growing importance of PET in nuclear medicine imaging. We have developed a number of positron imaging instruments and have the distinction that every instrument has been placed in operation and has had an extensive history of application for basic research and clinical study. The present program is a logical continuation of these earlier successes. PCR-I, a single ring positron tomograph was the first demonstration of analog coding using BGO. It employed 4 mm detectors and is currently being used for a wide range of biological studies. These are of immense importance in guiding the direction for future instruments. In particular, PCR-II, a volume sensitive positron tomograph with 3 mm spatial resolution has benefited greatly from the studies using PCR-I. PCR-II is currently in the final stages of assembly and testing and will shortly be placed in operation for imaging phantoms, animals and ultimately humans. Perhaps the most important finding resulting from our previous study is that resolution and sensitivity must be carefully balanced to achieve a practical high resolution system. PCR-II has been designed to have the detection characteristics required to achieve 3 mm resolution in human brain under practical imaging situations. The development of algorithms by the group headed by Dr. Chesler is based on a long history of prior study including his joint work with Drs. Pelc and Reiderer and Stearns. This body of expertise will be applied to the processing of data from PCR-II when it becomes operational.

  1. High-resolution electron collision spectroscopy with multicharged ions in merged beams

    Energy Technology Data Exchange (ETDEWEB)

    Lestinsky, M.

    2007-04-18

    The Heidelberg ion storage ring Tsr is currently the only ring equipped with two independent devices for the collinear merging of a cold electron beam with stored ions. This greatly improves the potential of electron-ion collision experiments, as the ion beam can be cooled with one electron beam, while the other one is used as a dedicated target for energy-resolved electron collision processes, such as recombination. The work describes the implementation of this system for rst electron collision spectroscopy experiments. A detection system has been realized including an ion detector and specroscopic beam-control software and instrumentation. Moreover, in order to improve the spectroscopic resolution systematical studies of intrinsic relaxation processes in the electron beam have been carried out. These include the dependence on the electron beam density, the magnetic guiding eld strength, and the acceleration geometry. The recombination measurements on low-lying resonances in lithiumlike Sc{sup 18+} yield a high-precision measurement of the 2s-2p{sub 3/2} transition energy in this system. Operation of the two-electron-beam setup at high collision energy ({approx}1000 eV) is established using resonances of hydrogenlike Mg{sup 11+}, while the unique possibility of modifying the beam-merging geometry con rms its importance for the electron-ion recombination rate at lowest relative energy, as demonstrated on F{sup 6+}. (orig.)

  2. High-resolution electron collision spectroscopy with multicharged ions in merged beams

    International Nuclear Information System (INIS)

    Lestinsky, M.

    2007-01-01

    The Heidelberg ion storage ring Tsr is currently the only ring equipped with two independent devices for the collinear merging of a cold electron beam with stored ions. This greatly improves the potential of electron-ion collision experiments, as the ion beam can be cooled with one electron beam, while the other one is used as a dedicated target for energy-resolved electron collision processes, such as recombination. The work describes the implementation of this system for rst electron collision spectroscopy experiments. A detection system has been realized including an ion detector and specroscopic beam-control software and instrumentation. Moreover, in order to improve the spectroscopic resolution systematical studies of intrinsic relaxation processes in the electron beam have been carried out. These include the dependence on the electron beam density, the magnetic guiding eld strength, and the acceleration geometry. The recombination measurements on low-lying resonances in lithiumlike Sc 18+ yield a high-precision measurement of the 2s-2p 3/2 transition energy in this system. Operation of the two-electron-beam setup at high collision energy (∼1000 eV) is established using resonances of hydrogenlike Mg 11+ , while the unique possibility of modifying the beam-merging geometry con rms its importance for the electron-ion recombination rate at lowest relative energy, as demonstrated on F 6+ . (orig.)

  3. Method for the manufacture of phase shifting masks for EUV lithography

    Science.gov (United States)

    Stearns, Daniel G.; Sweeney, Donald W.; Mirkarimi, Paul B.; Barty, Anton

    2006-04-04

    A method for fabricating an EUV phase shift mask is provided that includes a substrate upon which is deposited a thin film multilayer coating that has a complex-valued reflectance. An absorber layer or a buffer layer is attached onto the thin film multilayer, and the thickness of the thin film multilayer coating is altered to introduce a direct modulation in the complex-valued reflectance to produce phase shifting features.

  4. Atomic structure calculations and identification of EUV and SXR spectral lines in Sr XXX

    International Nuclear Information System (INIS)

    Goyal, Arun; Khatri, Indu; Aggarwal, Sunny; Singh, A.K.; Mohan, Man

    2015-01-01

    We report an extensive theoretical study of atomic data for Sr XXX in a wide range with L-shell electron excitations to the M-shell. We have calculated energy levels, wave-function compositions and lifetimes for lowest 113 fine structure levels and wavelengths of an extreme Ultraviolet (EUV) and soft X-ray (SXR) transitions. We have employed multi-configuration Dirac Fock method (MCDF) approach within the framework of Dirac–Coulomb Hamiltonian including quantum electrodynamics (QED) and Breit corrections. We have also presented the radiative data for electric and magnetic dipole (E1, M1) and quadrupole (E2, M2) transitions from the ground state. We have made comparisons with available energy levels compiled by NIST and achieve good agreement. But due to inadequate data in the literature, analogous relativistic distorted wave calculations have also been performed using flexible atomic code (FAC) to assess the reliability and accuracy of our results. Additionally, we have provided new atomic data for Sr XXX which is not published elsewhere in the literature and we believe that our results may be beneficial in fusion plasma research and astrophysical investigations and applications. - Highlights: • 113 Lowest levels for Sr XXX are calculated. • Extreme Ultraviolet (EUV) and soft-X ray (SXR) spectral lines are identified. • Wavelengths of EUV and SXR spectral lines are reported. • E1, E2, M1 and M2 transition rates, oscillator strengths and lines strengths for lowest 113 levels are presented. • Lifetimes for lowest 113 fine structure levels are provided

  5. A new X-ray pinhole camera for energy dispersive X-ray fluorescence imaging with high-energy and high-spatial resolution

    Energy Technology Data Exchange (ETDEWEB)

    Romano, F.P., E-mail: romanop@lns.infn.it [IBAM, CNR, Via Biblioteca 4, 95124 Catania (Italy); INFN-LNS, Via S. Sofia 62, 95123 Catania (Italy); Altana, C. [INFN-LNS, Via S. Sofia 62, 95123 Catania (Italy); Dipartimento di Fisica e Astronomia, Università di Catania, Via S. Sofia 64, 95123 Catania (Italy); Cosentino, L.; Celona, L.; Gammino, S.; Mascali, D. [INFN-LNS, Via S. Sofia 62, 95123 Catania (Italy); Pappalardo, L. [IBAM, CNR, Via Biblioteca 4, 95124 Catania (Italy); INFN-LNS, Via S. Sofia 62, 95123 Catania (Italy); Rizzo, F. [INFN-LNS, Via S. Sofia 62, 95123 Catania (Italy); Dipartimento di Fisica e Astronomia, Università di Catania, Via S. Sofia 64, 95123 Catania (Italy)

    2013-08-01

    A new X-ray pinhole camera for the Energy Dispersive X-ray Fluorescence (ED-XRF) imaging of materials with high-energy and high-spatial resolution, was designed and developed. It consists of a back-illuminated and deep depleted CCD detector (composed of 1024 × 1024 pixels with a lateral size of 13 μm) coupled to a 70 μm laser-drilled pinhole-collimator, positioned between the sample under analysis and the CCD. The X-ray pinhole camera works in a coaxial geometry allowing a wide range of magnification values. The characteristic X-ray fluorescence is induced on the samples by irradiation with an external X-ray tube working at a maximum power of 100 W (50 kV and 2 mA operating conditions). The spectroscopic capabilities of the X-ray pinhole camera were accurately investigated. Energy response and energy calibration of the CCD detector were determined by irradiating pure target-materials emitting characteristic X-rays in the energy working-domain of the system (between 3 keV and 30 keV). Measurements were performed by using a multi-frame acquisition in single-photon counting. The characteristic X-ray spectra were obtained by an automated processing of the acquired images. The energy resolution measured at the Fe–Kα line is 157 eV. The use of the X-ray pinhole camera for the 2D resolved elemental analysis was investigated by using reference-patterns of different materials and geometries. The possibility of the elemental mapping of samples up to an area of 3 × 3 cm{sup 2} was demonstrated. Finally, the spatial resolution of the pinhole camera was measured by analyzing the profile function of a sharp-edge. The spatial resolution determined at the magnification values of 3.2 × and 0.8 × (used as testing values) is about 90 μm and 190 μm respectively. - Highlights: • We developed an X-ray pinhole camera for the 2D X-ray fluorescence imaging. • X-ray spectra are obtained by a multi-frame acquisition in single photon mode. • The energy resolution in the X

  6. High resolution gamma-ray spectroscopy and the fascinating angular momentum realm of the atomic nucleus

    International Nuclear Information System (INIS)

    Riley, M A; Simpson, J; Paul, E S

    2016-01-01

    In 1974 Aage Bohr and Ben Mottelson predicted the different ‘phases’ that may be expected in deformed nuclei as a function of increasing angular momentum and excitation energy all the way up to the fission limit. While admitting their picture was highly conjectural they confidently stated ‘...with the ingenious experimental approaches that are being developed, we may look forward with excitement to the detailed spectroscopic studies that will illuminate the behaviour of the spinning quantised nucleus’ . High resolution gamma-ray spectroscopy has indeed been a major tool in studying the structure of atomic nuclei and has witnessed numerous significant advances over the last four decades. This article will select highlights from investigations at the Niels Bohr Institute, Denmark, and Daresbury Laboratory, UK, in the late 1970s and early 1980s, some of which have continued at other national laboratories in Europe and the USA to the present day. These studies illustrate the remarkable diversity of phenomena and symmetries exhibited by nuclei in the angular momentum–excitation energy plane that continue to surprise and fascinate scientists. (invited comment)

  7. High resolution gamma-ray spectroscopy and the fascinating angular momentum realm of the atomic nucleus

    Science.gov (United States)

    Riley, M. A.; Simpson, J.; Paul, E. S.

    2016-12-01

    In 1974 Aage Bohr and Ben Mottelson predicted the different ‘phases’ that may be expected in deformed nuclei as a function of increasing angular momentum and excitation energy all the way up to the fission limit. While admitting their picture was highly conjectural they confidently stated ‘...with the ingenious experimental approaches that are being developed, we may look forward with excitement to the detailed spectroscopic studies that will illuminate the behaviour of the spinning quantised nucleus’. High resolution gamma-ray spectroscopy has indeed been a major tool in studying the structure of atomic nuclei and has witnessed numerous significant advances over the last four decades. This article will select highlights from investigations at the Niels Bohr Institute, Denmark, and Daresbury Laboratory, UK, in the late 1970s and early 1980s, some of which have continued at other national laboratories in Europe and the USA to the present day. These studies illustrate the remarkable diversity of phenomena and symmetries exhibited by nuclei in the angular momentum-excitation energy plane that continue to surprise and fascinate scientists.

  8. High resolution Neutron and Synchrotron Powder Diffraction

    International Nuclear Information System (INIS)

    Hewat, A.W.

    1986-01-01

    The use of high-resolution powder diffraction has grown rapidly in the past years, with the development of Rietveld (1967) methods of data analysis and new high-resolution diffractometers and multidetectors. The number of publications in this area has increased from a handful per year until 1973 to 150 per year in 1984, with a ten-year total of over 1000. These papers cover a wide area of solid state-chemistry, physics and materials science, and have been grouped under 20 subject headings, ranging from catalysts to zeolites, and from battery electrode materials to pre-stressed superconducting wires. In 1985 two new high-resolution diffractometers are being commissioned, one at the SNS laboratory near Oxford, and one at the ILL in Grenoble. In different ways these machines represent perhaps the ultimate that can be achieved with neutrons and will permit refinement of complex structures with about 250 parameters and unit cell volumes of about 2500 Angstrom/sp3/. The new European Synchotron Facility will complement the Grenoble neutron diffractometers, and extend the role of high-resolution powder diffraction to the direct solution of crystal structures, pioneered in Sweden

  9. Reflectivity and surface roughness of multilayer-coated substrate recovery layers for EUV lithographic optics

    NARCIS (Netherlands)

    Nedelcu, I.; van de Kruijs, R.W.E.; Yakshin, A. E.; von Blanckenhagen, G.; F. Bijkerk,

    2008-01-01

    We investigated the use of separation, or substrate recovery, layers (SRLs), to enable the reuse of optical substrates after the deposition of multilayer reflective coatings, in particular Mo/Si multilayers as used for EUV lithography. An organic material (polyimide), known from other work to reduce

  10. High resolution (transformers.

    Science.gov (United States)

    Garcia-Souto, Jose A; Lamela-Rivera, Horacio

    2006-10-16

    A novel fiber-optic interferometric sensor is presented for vibrations measurements and analysis. In this approach, it is shown applied to the vibrations of electrical structures within power transformers. A main feature of the sensor is that an unambiguous optical phase measurement is performed using the direct detection of the interferometer output, without external modulation, for a more compact and stable implementation. High resolution of the interferometric measurement is obtained with this technique (transformers are also highlighted.

  11. EUV observations of the active Sun from the Havard experiment on ATM

    International Nuclear Information System (INIS)

    Noyes, R.W.; Foukal, P.V.; Huber, M.C.E.; Reeves, E.M.; Schmahl, E.J.; Timothy, J.G.; Vernazza, J.E.; Withbroe, G.L.

    1975-01-01

    The authors review some preliminary results from the Harvard College Observatory Extreme Ultraviolet Spectroheliometer on ATM that pertain to solar activity. The results reviewed are described in more detail in other papers referred to in the text. They first describe the instrument and its capabilities, and then turm to results on active regions, sunspots, flares, EUV bright points, coronal holes, and prominences. (Auth.)

  12. A new concept of efficient therapeutic drug monitoring using the high-resolution continuum source absorption spectrometry and the surface enhanced Raman spectroscopy

    Science.gov (United States)

    Xing, Yanlong; Fuss, Harald; Lademann, Jürgen; Huang, Mao Dong; Becker-Ross, Helmut; Florek, Stefan; Patzelt, Alexa; Meinke, Martina C.; Jung, Sora; Esser, Norbert

    2018-04-01

    In this study, a new therapeutic drug monitoring approach has been tested based on the combination of CaF molecular absorption using high-resolution continuum source absorption spectrometry (HR-CSAS) and surface enhanced Raman spectroscopy (SERS). HR-CSAS with mini graphite tube was successfully tested for clinical therapeutic drug monitoring of the fluorine-containing drug capecitabine in sweat samples of cancer patients: It showed advantageous features of high selectivity (no interference from Cl), high sensitivity (characteristic mass of 0.1 ng at CaF 583.069 nm), low sample consumption (down to 30 nL) and fast measurement (no sample pretreatment and less than 1 min of responding time) in tracing the fluorine signal out of capecitabine. However, this technique has the disadvantage of the total loss of the drug's structure information after burning the sample at very high temperature. Therefore, a new concept of combining HR-CSAS with a non-destructive spectroscopic method (SERS) was proposed for the sensitive sensing and specific identification of capecitabine. We tested and succeed in obtaining the molecular characteristics of the metabolite of capecitabine (named 5-fluorouracil) by the non-destructive SERS technique. With the results shown in this work, it is demonstrated that the combined spectroscopic technique of HR-CSAS and SERS will be very useful in efficient therapeutic drug monitoring in the future.

  13. High-resolution wavefront control of high-power laser systems

    International Nuclear Information System (INIS)

    Brase, J.; Brown, C.; Carrano, C.; Kartz, M.; Olivier, S.; Pennington, D.; Silva, D.

    1999-01-01

    Nearly every new large-scale laser system application at LLNL has requirements for beam control which exceed the current level of available technology. For applications such as inertial confinement fusion, laser isotope separation, laser machining, and laser the ability to transport significant power to a target while maintaining good beam quality is critical. There are many ways that laser wavefront quality can be degraded. Thermal effects due to the interaction of high-power laser or pump light with the internal optical components or with the ambient gas are common causes of wavefront degradation. For many years, adaptive optics based on thing deformable glass mirrors with piezoelectric or electrostrictive actuators have be used to remove the low-order wavefront errors from high-power laser systems. These adaptive optics systems have successfully improved laser beam quality, but have also generally revealed additional high-spatial-frequency errors, both because the low-order errors have been reduced and because deformable mirrors have often introduced some high-spatial-frequency components due to manufacturing errors. Many current and emerging laser applications fall into the high-resolution category where there is an increased need for the correction of high spatial frequency aberrations which requires correctors with thousands of degrees of freedom. The largest Deformable Mirrors currently available have less than one thousand degrees of freedom at a cost of approximately $1M. A deformable mirror capable of meeting these high spatial resolution requirements would be cost prohibitive. Therefore a new approach using a different wavefront control technology is needed. One new wavefront control approach is the use of liquid-crystal (LC) spatial light modulator (SLM) technology for the controlling the phase of linearly polarized light. Current LC SLM technology provides high-spatial-resolution wavefront control, with hundreds of thousands of degrees of freedom, more

  14. High resolution optical DNA mapping

    Science.gov (United States)

    Baday, Murat

    Many types of diseases including cancer and autism are associated with copy-number variations in the genome. Most of these variations could not be identified with existing sequencing and optical DNA mapping methods. We have developed Multi-color Super-resolution technique, with potential for high throughput and low cost, which can allow us to recognize more of these variations. Our technique has made 10--fold improvement in the resolution of optical DNA mapping. Using a 180 kb BAC clone as a model system, we resolved dense patterns from 108 fluorescent labels of two different colors representing two different sequence-motifs. Overall, a detailed DNA map with 100 bp resolution was achieved, which has the potential to reveal detailed information about genetic variance and to facilitate medical diagnosis of genetic disease.

  15. Bio-medical X-ray imaging with spectroscopic pixel detectors

    CERN Document Server

    Butler, A P H; Tipples, R; Cook, N; Watts, R; Meyer, J; Bell, A J; Melzer, T R; Butler, P H

    2008-01-01

    The aim of this study is to review the clinical potential of spectroscopic X-ray detectors and to undertake a feasibility study using a novel detector in a clinical hospital setting. Detectors currently in development, such as Medipix-3, will have multiple energy thresholds allowing for routine use of spectroscopic bio-medical imaging. We have coined the term MARS (Medipix All Resolution System) for bio-medical images that provide spatial, temporal, and energy information. The full clinical significance of spectroscopic X-ray imaging is difficult to predict but insights can be gained by examining both image reconstruction artifacts and the current uses of dual-energy techniques. This paper reviews the known uses of energy information in vascular imaging and mammography, clinically important fields. It then presents initial results from using Medipix-2, to image human tissues within a clinical radiology department. Detectors currently in development, such as Medipix-3, will have multiple energy thresholds allo...

  16. A NEARLY VOLUME-COMPLETE SPECTROSCOPIC SURVEY OF THE CLOSESTMID-TO-LATE M DWARFS

    Science.gov (United States)

    Winters, Jennifer; Irwin, Jonathan; Newton, Elisabeth; Charbonneau, David; Latham, David W.; Mink, Jessica; Esquerdo, Gil; Berlind, Perry; Calkins, Mike

    2018-01-01

    Recent results from Kepler estimate that M dwarfs harbor 2.5 planets per star. Yet, we will understand our exoplanet discoveries only as well as we understand their host stars, and much remains unknown about our low-mass stellar neighbors, such as their kinematics, ages, and multiplicity. A nearly volume-complete sample of M dwarfs lies within 15 pc of the Sun, and it is only for planets orbiting these nearest and smallest stars that thorough follow-up work for characterization will be possible. Unfortunately, more than half of this sample have only low-resolution (R SMARTS) 1.5m. We present here results from year one of our TRES survey. We have measured radial velocities, rotational broadening, and H-alpha equivalent widths for 305 mid-to-late M dwarfs. We have discovered five new spectroscopic binaries, one of which is a rare M dwarf - (likely) brown dwarf binary within 10 pc, for which we have determined the orbit.Our survey more than doubles the number of mid-M dwarfs within 15 pc with complete high-resolution spectroscopic and trigonometric characterization. We hope to provide a legacy dataset for the use of future generations of astronomers.This work is being supported by grants from the National Science Foundation and the John Templeton Foundation.

  17. MULTI-VIEWPOINT OBSERVATIONS OF A WIDELY DISTRIBUTED SOLAR ENERGETIC PARTICLE EVENT: THE ROLE OF EUV WAVES AND WHITE-LIGHT SHOCK SIGNATURES

    Energy Technology Data Exchange (ETDEWEB)

    Kouloumvakos, A.; Patsourakos, S.; Nindos, A. [Section of Astrogeophysics, Department of Physics, University of Ioannina, 45110 Ioannina (Greece); Vourlidas, A. [The Johns Hopkins University Applied Physics Laboratory, Laurel, MD 20723 (United States); Anastasiadis, A.; Sandberg, I. [Institute for Astronomy, Astrophysics, Space Applications and Remote Sensing, National Observatory of Athens, 15236 Penteli (Greece); Hillaris, A. [Section of Astrophysics, Astronomy and Mechanics, Department of Physics, National and Kapodistrian University of Athens, 15783 Athens (Greece)

    2016-04-10

    On 2012 March 7, two large eruptive events occurred in the same active region within 1 hr from each other. Each consisted of an X-class flare, a coronal mass ejection (CME), an extreme-ultraviolet (EUV) wave, and a shock wave. The eruptions gave rise to a major solar energetic particle (SEP) event observed at widely separated (∼120°) points in the heliosphere. From multi-viewpoint energetic proton recordings we determine the proton release times at STEREO B and A (STB, STA) and the first Lagrange point (L1) of the Sun–Earth system. Using EUV and white-light data, we determine the evolution of the EUV waves in the low corona and reconstruct the global structure and kinematics of the first CME’s shock, respectively. We compare the energetic proton release time at each spacecraft with the EUV waves’ arrival times at the magnetically connected regions and the timing and location of the CME shock. We find that the first flare/CME is responsible for the SEP event at all three locations. The proton release at STB is consistent with arrival of the EUV wave and CME shock at the STB footpoint. The proton release time at L1 was significantly delayed compared to STB. Three-dimensional modeling of the CME shock shows that the particle release at L1 is consistent with the timing and location of the shock’s western flank. This indicates that at L1 the proton release did not occur in low corona but farther away from the Sun. However, the extent of the CME shock fails to explain the SEP event observed at STA. A transport process or a significantly distorted interplanetary magnetic field may be responsible.

  18. High-Resolution Electronics: Spontaneous Patterning of High-Resolution Electronics via Parallel Vacuum Ultraviolet (Adv. Mater. 31/2016).

    Science.gov (United States)

    Liu, Xuying; Kanehara, Masayuki; Liu, Chuan; Sakamoto, Kenji; Yasuda, Takeshi; Takeya, Jun; Minari, Takeo

    2016-08-01

    On page 6568, T. Minari and co-workers describe spontaneous patterning based on the parallel vacuum ultraviolet (PVUV) technique, enabling the homogeneous integration of complex, high-resolution electronic circuits, even on large-scale, flexible, transparent substrates. Irradiation of PVUV to the hydrophobic polymer surface precisely renders the selected surface into highly wettable regions with sharply defined boundaries, which spontaneously guides a metal nanoparticle ink into a series of circuit lines and gaps with the widths down to a resolution of 1 μm. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Comparative study of rare earth hexaborides using high resolution angle-resolved photoemission

    Energy Technology Data Exchange (ETDEWEB)

    Ramankutty, S.V., E-mail: s.v.ramankutty@uva.nl [Van der Waals-Zeeman Institute, Institute of Physics (IoP), University of Amsterdam, Science Park 904, 1098 XH Amsterdam (Netherlands); Jong, N. de; Huang, Y.K.; Zwartsenberg, B. [Van der Waals-Zeeman Institute, Institute of Physics (IoP), University of Amsterdam, Science Park 904, 1098 XH Amsterdam (Netherlands); Massee, F. [Laboratory of Atomic and Solid State Physics, Department of Physics, Cornell University, Ithaca, NY 14853 (United States); Bay, T.V. [Van der Waals-Zeeman Institute, Institute of Physics (IoP), University of Amsterdam, Science Park 904, 1098 XH Amsterdam (Netherlands); Golden, M.S., E-mail: m.s.golden@uva.nl [Van der Waals-Zeeman Institute, Institute of Physics (IoP), University of Amsterdam, Science Park 904, 1098 XH Amsterdam (Netherlands); Frantzeskakis, E., E-mail: e.frantzeskakis@uva.nl [Van der Waals-Zeeman Institute, Institute of Physics (IoP), University of Amsterdam, Science Park 904, 1098 XH Amsterdam (Netherlands)

    2016-04-15

    Highlights: • ARPES electronic structure study of rare-earth (RE) hexaborides SmB{sub 6}, CeB{sub 6} and YbB{sub 6}. • Increasing RE valence Yb[II], Sm[II/III], Ce[III] increases d-band occupancy. • YbB{sub 6} and SmB{sub 6} posses 2D states at E{sub F}, whereas the Fermi surface of CeB{sub 6} is 3D. • ARPES, LEED and STM data prove structural relaxation of the SmB{sub 6}(001) surface. - Abstract: Strong electron correlations in rare earth hexaborides can give rise to a variety of interesting phenomena like ferromagnetism, Kondo hybridization, mixed valence, superconductivity and possibly topological characteristics. The theoretical prediction of topological properties in SmB{sub 6} and YbB{sub 6} has rekindled the scientific interest in the rare earth hexaborides, and high-resolution ARPES has been playing a major role in the debate. The electronic band structure of the hexaborides contains the key to understand the origin of the different phenomena observed, and much can be learned by comparing the experimental data from different rare earth hexaborides. We have performed high-resolution ARPES on the (001) surfaces of YbB{sub 6}, CeB{sub 6} and SmB{sub 6}. On the most basic level, the data show that the differences in the valence of the rare earth element are reflected in the experimental electronic band structure primarily as a rigid shift of the energy position of the metal 5d states with respect to the Fermi level. Although the overall shape of the d-derived Fermi surface contours remains the same, we report differences in the dimensionality of these states between the compounds studied. Moreover, the spectroscopic fingerprint of the 4f states also reveals considerable differences that are related to their coherence and the strength of the d–f hybridization. For the SmB{sub 6} case, we use ARPES in combination with STM imaging and electron diffraction to reveal time dependent changes in the structural symmetry of the highly debated SmB{sub 6

  20. High resolution UV spectroscopy and laser-focused nanofabrication

    NARCIS (Netherlands)

    Myszkiewicz, G.

    2005-01-01

    This thesis combines two at first glance different techniques: High Resolution Laser Induced Fluorescence Spectroscopy (LIF) of small aromatic molecules and Laser Focusing of atoms for Nanofabrication. The thesis starts with the introduction to the high resolution LIF technique of small aromatic

  1. Ultra-broadband ptychography with self-consistent coherence estimation from a high harmonic source

    Science.gov (United States)

    Odstrčil, M.; Baksh, P.; Kim, H.; Boden, S. A.; Brocklesby, W. S.; Frey, J. G.

    2015-09-01

    With the aim of improving imaging using table-top extreme ultraviolet sources, we demonstrate coherent diffraction imaging (CDI) with relative bandwidth of 20%. The coherence properties of the illumination probe are identified using the same imaging setup. The presented methods allows for the use of fewer monochromating optics, obtaining higher flux at the sample and thus reach higher resolution or shorter exposure time. This is important in the case of ptychography when a large number of diffraction patterns need to be collected. Our microscopy setup was tested on a reconstruction of an extended sample to show the quality of the reconstruction. We show that high harmonic generation based EUV tabletop microscope can provide reconstruction of samples with a large field of view and high resolution without additional prior knowledge about the sample or illumination.

  2. High-resolution spectrometer at PEP

    International Nuclear Information System (INIS)

    Weiss, J.M.; HRS Collaboration.

    1982-01-01

    A description is presented of the High Resolution Spectrometer experiment (PEP-12) now running at PEP. The advanced capabilities of the detector are demonstrated with first physics results expected in the coming months

  3. High-resolution structure of the native histone octamer

    International Nuclear Information System (INIS)

    Wood, Christopher M.; Nicholson, James M.; Lambert, Stanley J.; Chantalat, Laurent; Reynolds, Colin D.; Baldwin, John P.

    2005-01-01

    The high-resolution (1.90 Å) model of the native histone octamer allows structural comparisons to be made with the nucleosome-core particle, along with an identification of a likely core-histone binding site. Crystals of native histone octamers (H2A–H2B)–(H4–H3)–(H3′–H4′)–(H2B′–H2A′) from chick erythrocytes in 2 M KCl, 1.35 M potassium phosphate pH 6.9 diffract X-rays to 1.90 Å resolution, yielding a structure with an R work value of 18.7% and an R free of 22.2%. The crystal space group is P6 5 , the asymmetric unit of which contains one complete octamer. This high-resolution model of the histone-core octamer allows further insight into intermolecular interactions, including water molecules, that dock the histone dimers to the tetramer in the nucleosome-core particle and have relevance to nucleosome remodelling. The three key areas analysed are the H2A′–H3–H4 molecular cluster (also H2A–H3′–H4′), the H4–H2B′ interaction (also H4′–H2B) and the H2A′–H4 β-sheet interaction (also H2A–H4′). The latter of these three regions is important to nucleosome remodelling by RNA polymerase II, as it is shown to be a likely core-histone binding site, and its disruption creates an instability in the nucleosome-core particle. A majority of the water molecules in the high-resolution octamer have positions that correlate to similar positions in the high-resolution nucleosome-core particle structure, suggesting that the high-resolution octamer model can be used for comparative studies with the high-resolution nucleosome-core particle

  4. Interface morphology of Mo/Si multilayer systems with varying Mo layer thickness studied by EUV diffuse scattering.

    Science.gov (United States)

    Haase, Anton; Soltwisch, Victor; Braun, Stefan; Laubis, Christian; Scholze, Frank

    2017-06-26

    We investigate the influence of the Mo-layer thickness on the EUV reflectance of Mo/Si mirrors with a set of unpolished and interface-polished Mo/Si/C multilayer mirrors. The Mo-layer thickness is varied in the range from 1.7 nm to 3.05 nm. We use a novel combination of specular and diffuse intensity measurements to determine the interface roughness throughout the multilayer stack and do not rely on scanning probe measurements at the surface only. The combination of EUV and X-ray reflectivity measurements and near-normal incidence EUV diffuse scattering allows to reconstruct the Mo layer thicknesses and to determine the interface roughness power spectral density. The data analysis is conducted by applying a matrix method for the specular reflection and the distorted-wave Born approximation for diffuse scattering. We introduce the Markov-chain Monte Carlo method into the field in order to determine the respective confidence intervals for all reconstructed parameters. We unambiguously detect a threshold thickness for Mo in both sample sets where the specular reflectance goes through a local minimum correlated with a distinct increase in diffuse scatter. We attribute that to the known appearance of an amorphous-to-crystallization transition at a certain thickness threshold which is altered in our sample system by the polishing.

  5. Requirements on high resolution detectors

    Energy Technology Data Exchange (ETDEWEB)

    Koch, A. [European Synchrotron Radiation Facility, Grenoble (France)

    1997-02-01

    For a number of microtomography applications X-ray detectors with a spatial resolution of 1 {mu}m are required. This high spatial resolution will influence and degrade other parameters of secondary importance like detective quantum efficiency (DQE), dynamic range, linearity and frame rate. This note summarizes the most important arguments, for and against those detector systems which could be considered. This article discusses the mutual dependencies between the various figures which characterize a detector, and tries to give some ideas on how to proceed in order to improve present technology.

  6. High-resolution clean-sc

    NARCIS (Netherlands)

    Sijtsma, P.; Snellen, M.

    2016-01-01

    In this paper a high-resolution extension of CLEAN-SC is proposed: HR-CLEAN-SC. Where CLEAN-SC uses peak sources in “dirty maps” to define so-called source components, HR-CLEAN-SC takes advantage of the fact that source components can likewise be derived from points at some distance from the peak,

  7. EUV Spectra of High Z Impurities from Large Helical Device and Atomic Data

    International Nuclear Information System (INIS)

    Kato, T.; Suzuki, C.; Funaba, H.; Sato, K.; Murakami, I.; Kato, D.; Sakaue, H.; O’Sullivan, G.; Harte, C.; White, J.; D’Arcy, R.; Tanuma, H.; Nakamura, N.

    2017-01-01

    The results of experiments on high Z impurity injection in the Large Helical Device at the National Institute for Fusion Science are described. Spectra from Xe, Sn and W ions were recorded in the extreme ultraviolet spectral region. Two different situations were observed in the case of Xe and Sn, depending on whether or not the plasma underwent radiative collapse. If the plasma was stable, the spectrum consisted of a number of strong lines and in both cases the strongest contribution was from 4p - 4d transitions of Cu-like ions. If the plasma underwent radiative collapse in each case it was dominated by an intense unresolved transition array with some strong lines overlapping it resulting from 4p 6 4d m - 4p 5 4d m+1 + 4p 6 4d m-1 4f transitions. For tungsten, radiative collapse was not observed though the spectrum here was dominated by the same array which lies between 4.5 and 7 nm with some additional contribution at the same wavelength from 4d 10 4f m - 4d 9 4f m+1 and 4d 10 4f m - 4d 10 4f m-1 5d transitions in lower stages also. From observation and comparison with other sources, it is shown that the spectra are dominated by resonance transitions to the ground state of the emitting ions, in marked contrast to results from charge exchange spectra that had been recorded to assist with ion stage separation. In the case of tungsten, no sharp lines are seen though the profile of the unresolved array structure changes with plasma temperature and the origin of these changes can be traced to differences in the populations of contributing ions. New assignments for lines of Xe XVIII, Sn XIX and Sn XVII of 4p - 4d transitions are listed in Tables. Strong lines of W, Xe and Sn ions in EUV range are also tabulated. (author)

  8. Planning for shallow high resolution seismic surveys

    CSIR Research Space (South Africa)

    Fourie, CJS

    2008-11-01

    Full Text Available of the input wave. This information can be used in conjunction with this spreadsheet to aid the geophysicist in designing shallow high resolution seismic surveys to achieve maximum resolution and penetration. This Excel spreadsheet is available free from...

  9. Development of a high resolution cylindrical crystal spectrometer for line shape and spectral diagnostics of x-rays emitted from - hot - plasmas. Final report, June 1, 1976-December 31, 1983

    International Nuclear Information System (INIS)

    Kaellne, E.G.

    1984-01-01

    The development, installation and evaluation of a high resolution X-ray spectroscopic diagnostics are reported. The approach has been to optimize spectrometer throughput to enable single shot plasma diagnostics with good time resolution and to ensure sufficient energy resolution to allow line profile analysis. These goals have been achieved using a new X-ray geometry combined with a new position sensitive X-ray detector. These diagnostics have been used at Alcator C to detect X-ray emission of highly ionized impurity elements as well as argon seed elements specially introduced into the plasma for this diagnostic. Temporally resolved ion temperature profiles have been obtained from the recorded X-ray spectra simultaneously with other plasma parameters such as electron temperature, ionization temperature and ionization stage distribution. Radial profiles have also been measured. The developed X-ray diagnostics thus serve as a major multiparameter probe of the central core of the plasma with complementary informtion on radial profiles

  10. Gamma-ray spectrometer system with high efficiency and high resolution

    International Nuclear Information System (INIS)

    Moss, C.E.; Bernard, W.; Dowdy, E.J.; Garcia, C.; Lucas, M.C.; Pratt, J.C.

    1983-01-01

    Our gamma-ray spectrometer system, designed for field use, offers high efficiency and high resolution for safeguards applications. The system consists of three 40% high-purity germanium detectors and a LeCroy 3500 data acquisition system that calculates a composite spectrum for the three detectors. The LeCroy 3500 mainframe can be operated remotely from the detector array with control exercised through modems and the telephone system. System performance with a mixed source of 125 Sb, 154 Eu, and 155 Eu confirms the expected efficiency of 120% with the overall resolution showing little degradation over that of the worst detector

  11. Sub-THz spectroscopic characterization of vibrational modes in artificially designed DNA monocrystal

    International Nuclear Information System (INIS)

    Sizov, Igor; Rahman, Masudur; Gelmont, Boris; Norton, Michael L.; Globus, Tatiana

    2013-01-01

    Highlights: • Sub-THz spectroscopy is used to characterize artificially designed DNA monocrystal. • Results are obtained using a novel near field, RT, frequency domain spectrometer. • Narrow resonances of 0.1 cm −1 width in absorption spectra of crystal are observed. • Signature measured between 310 and 490 GHz is reproducible and well resolved. • Absorption pattern is explained in part by simulation results from dsDNA fragment. - Abstract: Sub-terahertz (sub-THz) vibrational spectroscopy is a new spectroscopic branch for characterizing biological macromolecules. In this work, highly resolved sub-THz resonance spectroscopy is used for characterizing engineered molecular structures, an artificially designed DNA monocrystal, built from a short DNA sequence. Using a recently developed frequency domain spectroscopic instrument operating at room temperature with high spectral and spatial resolution, we demonstrated very intense and specific spectral lines from a DNA crystal in general agreement with a computational molecular dynamics (MD) simulation of a short double stranded DNA fragment. The spectroscopic signature measured in the frequency range between 310 and 490 GHz is rich in well resolved and reproducible spectral features thus demonstrating the capability of THz resonance spectroscopy to be used for characterizing custom macromolecules and structures designed and implemented via nanotechnology for a wide variety of application domains. Analysis of MD simulation indicates that intense and narrow vibrational modes with atomic movements perpendicular (transverse) and parallel (longitudinal) to the long DNA axis coexist in dsDNA, with much higher contribution from longitudinal vibrations

  12. High resolution metric imaging payload

    Science.gov (United States)

    Delclaud, Y.

    2017-11-01

    Alcatel Space Industries has become Europe's leader in the field of high and very high resolution optical payloads, in the frame work of earth observation system able to provide military government with metric images from space. This leadership allowed ALCATEL to propose for the export market, within a French collaboration frame, a complete space based system for metric observation.

  13. High-resolution X-ray diffraction studies of multilayers

    DEFF Research Database (Denmark)

    Christensen, Finn Erland; Hornstrup, Allan; Schnopper, H. W.

    1988-01-01

    High-resolution X-ray diffraction studies of the perfection of state-of-the-art multilayers are presented. Data were obtained using a triple-axis perfect-crystal X-ray diffractometer. Measurements reveal large-scale figure errors in the substrate. A high-resolution triple-axis set up is required...

  14. Prospects for Measuring Abundances of >20 Elements with Low-resolution Stellar Spectra

    Energy Technology Data Exchange (ETDEWEB)

    Ting Yuan-Sen; Conroy, Charlie; Cargile, Phillip [Harvard–Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States); Rix, Hans-Walter [Max Planck Institute for Astronomy, Königstuhl 17, D-69117 Heidelberg (Germany)

    2017-07-01

    Understanding the evolution of the Milky Way calls for the precise abundance determination of many elements in many stars. A common perception is that deriving more than a few elemental abundances ([Fe/H], [ α /Fe], perhaps [C/H], [N/H]) requires medium-to-high spectral resolution, R ≳ 10,000, mostly to overcome the effects of line blending. In a recent work, we presented an efficient and practical way to model the full stellar spectrum, even when fitting a large number of stellar labels simultaneously. In this paper, we quantify to what precision the abundances of many different elements can be recovered, as a function of spectroscopic resolution and wavelength range. In the limit of perfect spectral models and spectral normalization, we show that the precision of elemental abundances is nearly independent of resolution, for a fixed exposure time and number of detector pixels; low-resolution spectra simply afford much higher S/N per pixel and generally larger wavelength range in a single setting. We also show that estimates of most stellar labels are not strongly correlated with one another once R ≳ 1000. Modest errors in the line-spread function, as well as small radial velocity errors, do not affect these conclusions, and data-driven models indicate that spectral (continuum) normalization can be achieved well enough in practice. These results, to be confirmed with an analysis of observed low-resolution data, open up new possibilities for the design of large spectroscopic stellar surveys and for the reanalysis of archival low-resolution data sets.

  15. Isotope specific resolution recovery image reconstruction in high resolution PET imaging

    Energy Technology Data Exchange (ETDEWEB)

    Kotasidis, Fotis A. [Division of Nuclear Medicine and Molecular Imaging, Geneva University Hospital, CH-1211 Geneva, Switzerland and Wolfson Molecular Imaging Centre, MAHSC, University of Manchester, M20 3LJ, Manchester (United Kingdom); Angelis, Georgios I. [Faculty of Health Sciences, Brain and Mind Research Institute, University of Sydney, NSW 2006, Sydney (Australia); Anton-Rodriguez, Jose; Matthews, Julian C. [Wolfson Molecular Imaging Centre, MAHSC, University of Manchester, Manchester M20 3LJ (United Kingdom); Reader, Andrew J. [Montreal Neurological Institute, McGill University, Montreal QC H3A 2B4, Canada and Department of Biomedical Engineering, Division of Imaging Sciences and Biomedical Engineering, King' s College London, St. Thomas’ Hospital, London SE1 7EH (United Kingdom); Zaidi, Habib [Division of Nuclear Medicine and Molecular Imaging, Geneva University Hospital, CH-1211 Geneva (Switzerland); Geneva Neuroscience Centre, Geneva University, CH-1205 Geneva (Switzerland); Department of Nuclear Medicine and Molecular Imaging, University of Groningen, University Medical Center Groningen, PO Box 30 001, Groningen 9700 RB (Netherlands)

    2014-05-15

    Purpose: Measuring and incorporating a scanner-specific point spread function (PSF) within image reconstruction has been shown to improve spatial resolution in PET. However, due to the short half-life of clinically used isotopes, other long-lived isotopes not used in clinical practice are used to perform the PSF measurements. As such, non-optimal PSF models that do not correspond to those needed for the data to be reconstructed are used within resolution modeling (RM) image reconstruction, usually underestimating the true PSF owing to the difference in positron range. In high resolution brain and preclinical imaging, this effect is of particular importance since the PSFs become more positron range limited and isotope-specific PSFs can help maximize the performance benefit from using resolution recovery image reconstruction algorithms. Methods: In this work, the authors used a printing technique to simultaneously measure multiple point sources on the High Resolution Research Tomograph (HRRT), and the authors demonstrated the feasibility of deriving isotope-dependent system matrices from fluorine-18 and carbon-11 point sources. Furthermore, the authors evaluated the impact of incorporating them within RM image reconstruction, using carbon-11 phantom and clinical datasets on the HRRT. Results: The results obtained using these two isotopes illustrate that even small differences in positron range can result in different PSF maps, leading to further improvements in contrast recovery when used in image reconstruction. The difference is more pronounced in the centre of the field-of-view where the full width at half maximum (FWHM) from the positron range has a larger contribution to the overall FWHM compared to the edge where the parallax error dominates the overall FWHM. Conclusions: Based on the proposed methodology, measured isotope-specific and spatially variant PSFs can be reliably derived and used for improved spatial resolution and variance performance in resolution

  16. Isotope specific resolution recovery image reconstruction in high resolution PET imaging

    International Nuclear Information System (INIS)

    Kotasidis, Fotis A.; Angelis, Georgios I.; Anton-Rodriguez, Jose; Matthews, Julian C.; Reader, Andrew J.; Zaidi, Habib

    2014-01-01

    Purpose: Measuring and incorporating a scanner-specific point spread function (PSF) within image reconstruction has been shown to improve spatial resolution in PET. However, due to the short half-life of clinically used isotopes, other long-lived isotopes not used in clinical practice are used to perform the PSF measurements. As such, non-optimal PSF models that do not correspond to those needed for the data to be reconstructed are used within resolution modeling (RM) image reconstruction, usually underestimating the true PSF owing to the difference in positron range. In high resolution brain and preclinical imaging, this effect is of particular importance since the PSFs become more positron range limited and isotope-specific PSFs can help maximize the performance benefit from using resolution recovery image reconstruction algorithms. Methods: In this work, the authors used a printing technique to simultaneously measure multiple point sources on the High Resolution Research Tomograph (HRRT), and the authors demonstrated the feasibility of deriving isotope-dependent system matrices from fluorine-18 and carbon-11 point sources. Furthermore, the authors evaluated the impact of incorporating them within RM image reconstruction, using carbon-11 phantom and clinical datasets on the HRRT. Results: The results obtained using these two isotopes illustrate that even small differences in positron range can result in different PSF maps, leading to further improvements in contrast recovery when used in image reconstruction. The difference is more pronounced in the centre of the field-of-view where the full width at half maximum (FWHM) from the positron range has a larger contribution to the overall FWHM compared to the edge where the parallax error dominates the overall FWHM. Conclusions: Based on the proposed methodology, measured isotope-specific and spatially variant PSFs can be reliably derived and used for improved spatial resolution and variance performance in resolution

  17. Isotope specific resolution recovery image reconstruction in high resolution PET imaging.

    Science.gov (United States)

    Kotasidis, Fotis A; Angelis, Georgios I; Anton-Rodriguez, Jose; Matthews, Julian C; Reader, Andrew J; Zaidi, Habib

    2014-05-01

    Measuring and incorporating a scanner-specific point spread function (PSF) within image reconstruction has been shown to improve spatial resolution in PET. However, due to the short half-life of clinically used isotopes, other long-lived isotopes not used in clinical practice are used to perform the PSF measurements. As such, non-optimal PSF models that do not correspond to those needed for the data to be reconstructed are used within resolution modeling (RM) image reconstruction, usually underestimating the true PSF owing to the difference in positron range. In high resolution brain and preclinical imaging, this effect is of particular importance since the PSFs become more positron range limited and isotope-specific PSFs can help maximize the performance benefit from using resolution recovery image reconstruction algorithms. In this work, the authors used a printing technique to simultaneously measure multiple point sources on the High Resolution Research Tomograph (HRRT), and the authors demonstrated the feasibility of deriving isotope-dependent system matrices from fluorine-18 and carbon-11 point sources. Furthermore, the authors evaluated the impact of incorporating them within RM image reconstruction, using carbon-11 phantom and clinical datasets on the HRRT. The results obtained using these two isotopes illustrate that even small differences in positron range can result in different PSF maps, leading to further improvements in contrast recovery when used in image reconstruction. The difference is more pronounced in the centre of the field-of-view where the full width at half maximum (FWHM) from the positron range has a larger contribution to the overall FWHM compared to the edge where the parallax error dominates the overall FWHM. Based on the proposed methodology, measured isotope-specific and spatially variant PSFs can be reliably derived and used for improved spatial resolution and variance performance in resolution recovery image reconstruction. The

  18. Edge and Core Impurity Transport Study with Spectroscopic Instruments in LHD

    International Nuclear Information System (INIS)

    Morita, Shigeru; Goto, Motoshi; Kobayashi, Masahiro; Muto, Sadatsugu; Chowdhuri, Malay Bikas; Chunfeng, Dong; Hangyu, Zhou; Zhengying, Cui; Fujii, Keisuke; Hasuo, Masahiro; Iwamae, Atsushi; Furuzawa, Akihiro; Sakurai, Ikuya; Tawara, Yuzuru; Yinxian, Jie; Baonian, Wan; Zhenwei, Wu; Koubiti, Mohammed; Yamaguchi, Naohiro

    2009-01-01

    Impurity transport was investigated at both edge and core regions in large helical device (LHD) with developed spectroscopic instruments which can measure one- and two-dimensional distributions of impurities. The edge impurity behavior was studied recently using four carbon resonant transitions in different ionization stages of CIII (977A), CIV (1548A), CV (40.3A) and CVI (33.7A). When the line-averaged electron density, n e , is increased from 1 to 6 x 10 13 cm -3 , the ratio of (CIII+CIV)/n e increases while the ratio of (CV+CVI)/n e decreases. Here, CIII+CIV (CV+CVI) expresses the sum of CIII (CV) and CIV (CVI) intensities. The CIII+CIV indicates the carbon influx and the CV+CVI indicates the emissions through the transport in the ergodic layer. The result thus gives experimental evidence on the impurity screening by the ergodic layer in LHD, which is also supported by a three-dimensional edge particle simulation. The core impurity behavior is also studied in high-density discharges (n e ≤ 1x 10 15 cm -3 ) with multi H 2 -pellets injection. It is found that the ratio of V/D (V: convection velocity, D: diffusion coefficient) decreases after pellet injection and Z eff profile shows a flat one at values of 1.1-1.2. These results confirm no impurity accumulation occurs in high-density discharges. As a result, the iron density, n Fe , is analyzed to be 6 x 10 -7 ( = n Fe /n e ) of which the amount can be negligible as radiation source even in such high-density discharges. One- and two-dimensional impurity distributions from space-resolved VUV and EUV spectrometers newly developed for further impurity transport study are also presented with their preliminary results. (magnetically confined plasma)

  19. Design, fabrication, and characterization of high-efficiency extreme ultraviolet diffusers

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick P.; Liddle, J. Alexander; Salmassi, Farhad; Anderson, Erik H.; Gullikson, Eric M.

    2004-02-19

    As the development of extreme ultraviolet (EUV) lithography progresses, interest grows in the extension of traditional optical components to the EUV regime. The strong absorption of EUV by most materials and its extremely short wavelength, however, makes it very difficult to implement many components that are commonplace in the longer wavelength regimes. One such example is the diffuser often implemented with ordinary ground glass in the visible light regime. Here we demonstrate the fabrication of reflective EUV diffusers with high efficiency within a controllable bandwidth. Using these techniques we have fabricated diffusers with efficiencies exceeding 10% within a moderate angular single-sided bandwidth of approximately 0.06 radians.

  20. Preliminary result on quantitative analysis using Zn-like tungsten EUV spectrum in Large Helical Device

    International Nuclear Information System (INIS)

    Morita, Shigeru; Dong, Chunfeng; Wang, Erhui

    2013-01-01

    Tungsten study through visible, vacuum ultraviolet (VUV) and extreme ultraviolet (EUV) spectroscopy has been recently started in Large Helical Device (LHD) for developing the diagnostic method in International Thermonuclear Experimental Reactor (ITER) and understanding the tungsten transport in helical system. In order to study the tungsten spectra from core plasmas of LHD, several tungsten spectra are observed in EUV range by injecting a carbon pellet with tungsten. Zn-like tungsten spectrum with 4p-4s transition is clearly identified at 60.9Å in high-temperature phase (T_e ≥ 2.3 keV) of NBI discharges in addition to several unresolved transition arrays with 6g-4f, 5g-4f, 5f-4d, 5g-4f, 4f-4d and 4d-4p transitions in range of 10-70Å. Radial profile of the Zn-like tungsten is also successfully observed with enough intensity in order of 10"1"6 photons.cm"-"2.s"-"1. The radial emissivity profile reconstructed from the chord-integrated intensity profile is analyzed with combination of HULLAC code for emission coefficient calculation of the Zn-like transition and impurity transport code included ADPAK code for calculation of ionization and recombination rate coefficients. Thus, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center is reasonably obtained in discharge with central electron density of 4x10"1"3 cm"-"3 as the first experimental trial. The present result demonstrates that the Zn-like 4p-4s transition is applicable to the tungsten diagnostics in high-temperature plasmas. (author)

  1. Scalable Algorithms for Large High-Resolution Terrain Data

    DEFF Research Database (Denmark)

    Mølhave, Thomas; Agarwal, Pankaj K.; Arge, Lars Allan

    2010-01-01

    In this paper we demonstrate that the technology required to perform typical GIS computations on very large high-resolution terrain models has matured enough to be ready for use by practitioners. We also demonstrate the impact that high-resolution data has on common problems. To our knowledge, so...

  2. High resolution NMR imaging using a high field yokeless permanent magnet.

    Science.gov (United States)

    Kose, Katsumi; Haishi, Tomoyuki

    2011-01-01

    We measured the homogeneity and stability of the magnetic field of a high field (about 1.04 tesla) yokeless permanent magnet with 40-mm gap for high resolution nuclear magnetic resonance (NMR) imaging. Homogeneity was evaluated using a 3-dimensional (3D) lattice phantom and 3D spin-echo imaging sequences. In the central sphere (20-mm diameter), peak-to-peak magnetic field inhomogeneity was about 60 ppm, and the root-mean-square was 8 ppm. We measured room temperature, magnet temperature, and NMR frequency of the magnet simultaneously every minute for about 68 hours with and without the thermal insulator of the magnet. A simple mathematical model described the magnet's thermal property. Based on magnet performance, we performed high resolution (up to [20 µm](2)) imaging with internal NMR lock sequences of several biological samples. Our results demonstrated the usefulness of the high field small yokeless permanent magnet for high resolution NMR imaging.

  3. High resolution NMR imaging using a high field yokeless permanent magnet

    International Nuclear Information System (INIS)

    Kose, Katsumi; Haishi, Tomoyuki

    2011-01-01

    We measured the homogeneity and stability of the magnetic field of a high field (about 1.04 tesla) yokeless permanent magnet with 40-mm gap for high resolution nuclear magnetic resonance (NMR) imaging. Homogeneity was evaluated using a 3-dimensional (3D) lattice phantom and 3D spin-echo imaging sequences. In the central sphere (20-mm diameter), peak-to-peak magnetic field inhomogeneity was about 60 ppm, and the root-mean-square was 8 ppm. We measured room temperature, magnet temperature, and NMR frequency of the magnet simultaneously every minute for about 68 hours with and without the thermal insulator of the magnet. A simple mathematical model described the magnet's thermal property. Based on magnet performance, we performed high resolution (up to [20 μm] 2 ) imaging with internal NMR lock sequences of several biological samples. Our results demonstrated the usefulness of the high field small yokeless permanent magnet for high resolution NMR imaging. (author)

  4. Progress in high-resolution x-ray holographic microscopy

    International Nuclear Information System (INIS)

    Jacobsen, C.; Kirz, J.; Howells, M.; McQuaid, K.; Rothman, S.; Feder, R.; Sayre, D.

    1987-07-01

    Among the various types of x-ray microscopes that have been demonstrated, the holographic microscope has had the largest gap between promise and performance. The difficulties of fabricating x-ray optical elements have led some to view holography as the most attractive method for obtaining the ultimate in high resolution x-ray micrographs; however, we know of no investigations prior to 1987 that clearly demonstrated submicron resolution in reconstructed images. Previous efforts suffered from problems such as limited resolution and dynamic range in the recording media, low coherent x-ray flux, and aberrations and diffraction limits in visible light reconstruction. We have addressed the recording limitations through the use of an undulator x-ray source and high-resolution photoresist recording media. For improved results in the readout and reconstruction steps, we have employed metal shadowing and transmission electron microscopy, along with numerical reconstruction techniques. We believe that this approach will allow holography to emerge as a practical method of high-resolution x-ray microscopy. 30 refs., 4 figs

  5. Progress in high-resolution x-ray holographic microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Jacobsen, C.; Kirz, J.; Howells, M.; McQuaid, K.; Rothman, S.; Feder, R.; Sayre, D.

    1987-07-01

    Among the various types of x-ray microscopes that have been demonstrated, the holographic microscope has had the largest gap between promise and performance. The difficulties of fabricating x-ray optical elements have led some to view holography as the most attractive method for obtaining the ultimate in high resolution x-ray micrographs; however, we know of no investigations prior to 1987 that clearly demonstrated submicron resolution in reconstructed images. Previous efforts suffered from problems such as limited resolution and dynamic range in the recording media, low coherent x-ray flux, and aberrations and diffraction limits in visible light reconstruction. We have addressed the recording limitations through the use of an undulator x-ray source and high-resolution photoresist recording media. For improved results in the readout and reconstruction steps, we have employed metal shadowing and transmission electron microscopy, along with numerical reconstruction techniques. We believe that this approach will allow holography to emerge as a practical method of high-resolution x-ray microscopy. 30 refs., 4 figs.

  6. High-resolution spectroscopy of gases for industrial applications

    DEFF Research Database (Denmark)

    Fateev, Alexander; Clausen, Sønnik

    High-resolution spectroscopy of gases is a powerful technique which has various fundamental and practical applications: in situ simultaneous measurements of gas temperature and gas composition, radiative transfer modeling, validation of existing and developing of new databases and etc. Existing...... databases (e.g. HITRAN, HITEMP or CDSD) can normally be used for absorption spectra calculations at limited temperature/pressure ranges. Therefore experimental measurements of absorption/transmission spectra gases (e.g. CO2, H2O or SO2) at high-resolution and elevated temperatures are essential both...... for analysis of complex experimental data and further development of the databases. High-temperature gas cell facilities available at DTU Chemical Engineering are presented and described. The gas cells and high-resolution spectrometers allow us to perform high-quality reference measurements of gases relevant...

  7. First line shape analysis and spectroscopic parameters for the ν11 band of 12C2H4

    KAUST Repository

    Es-sebbar, Et-touhami

    2016-08-11

    An accurate knowledge of line intensities, collisional broadening coefficients and narrowing parameters is necessary for the interpretation of high-resolution infrared spectra of the Earth and other planetary atmospheres. One of the most promising spectral domains for (C2H4)-C-12 monitoring in such environments is located near the 336 gm window, through its v(11) C-H stretching mode. In this paper, we report an extensive study in which we precisely determine spectroscopic parameters of (C2H4)-C-12 v(11) band at 297 +/- 1 K, using a narrow Difference-Frequency-Generation (DFG) laser with 10(-4) cm(-1) resolution. Absorption measurements were performed in the 2975-2980 cm(-1) spectral window to investigate 32 lines corresponding to where, J\\'ka\\',kc\\'<- Jka,kc, 5 <= J <= 7; 0.5 <= K-a <= 6 and 1 <= K-c <= 14. Spectroscopic parameters are retrieved using either Voigt or appropriate Galatry profile to simulate the measured (C2H4)-C-12 line shape. Line intensities along with self-broadening coefficients are reported for all lines. Narrowing coefficients for each isolated line are also derived. To our knowledge, the current study reports the first extensive spectroscopic parameter measurements of the (C2H4)-C-12 v(11) band in the 2975-2980 cm(-1) range. (C) 2016 Elsevier Ltd. All rights reserved.

  8. First line shape analysis and spectroscopic parameters for the ν11 band of 12C2H4

    KAUST Repository

    Es-sebbar, Et-touhami; Mantzaras, John; Benilan, Yves; Farooq, Aamir

    2016-01-01

    An accurate knowledge of line intensities, collisional broadening coefficients and narrowing parameters is necessary for the interpretation of high-resolution infrared spectra of the Earth and other planetary atmospheres. One of the most promising spectral domains for (C2H4)-C-12 monitoring in such environments is located near the 336 gm window, through its v(11) C-H stretching mode. In this paper, we report an extensive study in which we precisely determine spectroscopic parameters of (C2H4)-C-12 v(11) band at 297 +/- 1 K, using a narrow Difference-Frequency-Generation (DFG) laser with 10(-4) cm(-1) resolution. Absorption measurements were performed in the 2975-2980 cm(-1) spectral window to investigate 32 lines corresponding to where, J'ka',kc'<- Jka,kc, 5 <= J <= 7; 0.5 <= K-a <= 6 and 1 <= K-c <= 14. Spectroscopic parameters are retrieved using either Voigt or appropriate Galatry profile to simulate the measured (C2H4)-C-12 line shape. Line intensities along with self-broadening coefficients are reported for all lines. Narrowing coefficients for each isolated line are also derived. To our knowledge, the current study reports the first extensive spectroscopic parameter measurements of the (C2H4)-C-12 v(11) band in the 2975-2980 cm(-1) range. (C) 2016 Elsevier Ltd. All rights reserved.

  9. Micron scale spectroscopic analysis of materials

    International Nuclear Information System (INIS)

    James, David; Finlayson, Trevor; Prawer, Steven

    1991-01-01

    The goal of this proposal is the establishment of a facility which will enable complete micron scale spectroscopic analysis of any sample which can be imaged in the optical microscope. Current applications include studies of carbon fibres, diamond thin films, ceramics (zirconia and high T c superconductors), semiconductors, wood pulp, wool fibres, mineral inclusions, proteins, plant cells, polymers, fluoride glasses, and optical fibres. The range of interests crosses traditional discipline boundaries and augurs well for a truly interdisciplinary collaboration. Developments in instrumentation such as confocal imaging are planned to achieve sub-micron resolution, and advances in computer software and hardware will enable the aforementioned spectroscopies to be used to map molecular and crystalline phases on the surfaces of materials. Coupled with existing compositional microprobes (e.g. the proton microprobe) the possibilities for the development of new, powerful, hybrid imaging technologies appear to be excellent

  10. High resolution laser spectroscopy of radioactive isotopes using a RFQ cooler-buncher at CERN-ISOLDE

    CERN Document Server

    Mané, E

    2009-01-01

    At CERN, the European Organization for Nuclear Research, radioactive nuclear beams are produced at the On-Line Isotope Mass Separator facility, ISOLDE. This facility provides a variety of exotic nuclear species for multidisciplinary experiments including nuclear physics. A gas-filled linear Paul trap was commissioned off-line and on-line and now is fully integrated at the focal plane of the high resolution separator magnets of ISOLDE. Ion beams with reduced transverse emitance and energy spread are now available for all experiments located downstream the separator beam line. This device is also able to accumulate the ion beam and release the collected sample in short bunches. Typical accumulation times are 100 ms and the released bunch width is 5-20 $\\mu{s}$. Such bunching capabilities has substantially increased the sensitivity of collinear laser spectroscopy with fluorescence detection by reducing the background from laser scatter by up to four orders of magnitude. The spectroscopic quadrupole moments of $^...

  11. Polarization control of high order harmonics in the EUV photon energy range.

    Science.gov (United States)

    Vodungbo, Boris; Barszczak Sardinha, Anna; Gautier, Julien; Lambert, Guillaume; Valentin, Constance; Lozano, Magali; Iaquaniello, Grégory; Delmotte, Franck; Sebban, Stéphane; Lüning, Jan; Zeitoun, Philippe

    2011-02-28

    We report the generation of circularly polarized high order harmonics in the extreme ultraviolet range (18-27 nm) from a linearly polarized infrared laser (40 fs, 0.25 TW) focused into a neon filled gas cell. To circularly polarize the initially linearly polarized harmonics we have implemented a four-reflector phase-shifter. Fully circularly polarized radiation has been obtained with an efficiency of a few percents, thus being significantly more efficient than currently demonstrated direct generation of elliptically polarized harmonics. This demonstration opens up new experimental capabilities based on high order harmonics, for example, in biology and materials science. The inherent femtosecond time resolution of high order harmonic generating table top laser sources renders these an ideal tool for the investigation of ultrafast magnetization dynamics now that the magnetic circular dichroism at the absorption M-edges of transition metals can be exploited.

  12. Spectroscopic imaging of the pilocarpine model of human epilepsy suggests that early NAA reduction predicts epilepsy.

    Science.gov (United States)

    Gomes, W A; Lado, F A; de Lanerolle, N C; Takahashi, K; Pan, C; Hetherington, H P

    2007-08-01

    Reduced hippocampal N-acetyl aspartate (NAA) is commonly observed in patients with advanced, chronic temporal lobe epilepsy (TLE). It is unclear, however, whether an NAA deficit is also present during the clinically quiescent latent period that characterizes early TLE. This question has important implications for the use of MR spectroscopic imaging (MRSI) in the early identification of patients at risk for TLE. To determine whether NAA is diminished during the latent period, we obtained high-resolution (1)H spectroscopic imaging during the latent period of the rat pilocarpine model of human TLE. We used actively detuneable surface reception and volume transmission coils to enhance sensitivity and a semiautomated voxel shifting method to accurately position voxels within the hippocampi. During the latent period, 2 and 7 d following pilocarpine treatment, hippocampal NAA was significantly reduced by 27.5 +/- 6.9% (P NAA deficit is not due to neuron loss and therefore likely represents metabolic impairment of hippocampal neurons during the latent phase. Therefore, spectroscopic imaging provides an early marker for metabolic dysfunction in this model of TLE.

  13. Towards high-resolution positron emission tomography for small volumes

    International Nuclear Information System (INIS)

    McKee, B.T.A.

    1982-01-01

    Some arguments are made regarding the medical usefulness of high spatial resolution in positron imaging, even if limited to small imaged volumes. Then the intrinsic limitations to spatial resolution in positron imaging are discussed. The project to build a small-volume, high resolution animal research prototype (SHARP) positron imaging system is described. The components of the system, particularly the detectors, are presented and brief mention is made of data acquisition and image reconstruction methods. Finally, some preliminary imaging results are presented; a pair of isolated point sources and 18 F in the bones of a rabbit. Although the detector system is not fully completed, these first results indicate that the goals of high sensitivity and high resolution (4 mm) have been realized. (Auth.)

  14. High-resolution X-ray crystal structure of bovine H-protein using the high-pressure cryocooling method

    International Nuclear Information System (INIS)

    Higashiura, Akifumi; Ohta, Kazunori; Masaki, Mika; Sato, Masaru; Inaka, Koji; Tanaka, Hiroaki; Nakagawa, Atsushi

    2013-01-01

    Using the high-pressure cryocooling method, the high-resolution X-ray crystal structure of bovine H-protein was determined at 0.86 Å resolution. This is the first ultra-high-resolution structure obtained from a high-pressure cryocooled crystal. Recently, many technical improvements in macromolecular X-ray crystallography have increased the number of structures deposited in the Protein Data Bank and improved the resolution limit of protein structures. Almost all high-resolution structures have been determined using a synchrotron radiation source in conjunction with cryocooling techniques, which are required in order to minimize radiation damage. However, optimization of cryoprotectant conditions is a time-consuming and difficult step. To overcome this problem, the high-pressure cryocooling method was developed (Kim et al., 2005 ▶) and successfully applied to many protein-structure analyses. In this report, using the high-pressure cryocooling method, the X-ray crystal structure of bovine H-protein was determined at 0.86 Å resolution. Structural comparisons between high- and ambient-pressure cryocooled crystals at ultra-high resolution illustrate the versatility of this technique. This is the first ultra-high-resolution X-ray structure obtained using the high-pressure cryocooling method

  15. High resolution drift chambers

    International Nuclear Information System (INIS)

    Va'vra, J.

    1985-07-01

    High precision drift chambers capable of achieving less than or equal to 50 μm resolutions are discussed. In particular, we compare so called cool and hot gases, various charge collection geometries, several timing techniques and we also discuss some systematic problems. We also present what we would consider an ''ultimate'' design of the vertex chamber. 50 refs., 36 figs., 6 tabs

  16. FUNDAMENTAL PROPERTIES OF THE HIGHLY IONIZED PLASMAS IN THE MILKY WAY

    International Nuclear Information System (INIS)

    Lehner, N.; Zech, W. F.; Howk, J. C.; Savage, B. D.

    2011-01-01

    The cooling transition temperature gas in the interstellar medium (ISM), traced by the high ions, Si IV, C IV, N V, and O VI, helps to constrain the flow of energy from the hot ISM with T>10 6 K to the warm ISM with T 4 K. We investigate the properties of this gas along the lines of sight to 38 stars in the Milky Way disk using 1.5-2.7 km s -1 resolution spectra of Si IV, C IV, and N V absorption from the Space Telescope Imaging Spectrograph, and 15 km s -1 resolution spectra of O VI absorption from the Far Ultraviolet Spectroscopic Explorer. The absorption by Si IV and C IV exhibits broad and narrow components while only broad components are seen in N V and O VI. The narrow components imply gas with T 4 K and trace two distinct types of gas. The strong, saturated, and narrow Si IV and C IV components trace the gas associated with the vicinities of O-type stars and their supershells. The weaker narrow Si IV and C IV components trace gas in the general ISM that is photoionized by the EUV radiation from cooling hot gas or has radiatively cooled in a non-equilibrium manner from the transition temperature phase, but rarely the warm-ionized medium probed by Al III. The broad Si IV, C IV, N V, and O VI components trace collisionally ionized gas that is very likely undergoing a cooling transition from the hot ISM to the warm ISM. The cooling process possibly provides the regulation mechanism that produces (N(C IV)/N(Si IV)) = 3.9 ± 1.9. The cooling process also produces absorption lines where the median and mean values of the line widths increase with the energy required to create the ion.

  17. In vivo carbon-edited detection with proton echo-planar spectroscopic imaging (ICED PEPSI) : [3,4-(CH2)-C-13] glutamate/glutamine tomography in rat brain

    NARCIS (Netherlands)

    Hyder, F; Renken, R; Rothman, DL

    1999-01-01

    A method for in vivo carbon-edited detection with proton echo-planar spectroscopic imaging (ICED PEPSI) is described. This method is composed of an echo-planar based acquisition implemented with C-13-H-1 J editing spectroscopy and is intended for high temporal and spatial resolution in vivo

  18. High-resolution photoluminescence spectroscopy of Sn-doped ZnO single crystals

    International Nuclear Information System (INIS)

    Kumar, E. Senthil; Mohammadbeigi, F.; Boatner, L.A.; Watkins, S.P.

    2016-01-01

    Group IV donors in ZnO are poorly understood, despite evidence that they are effective n-type dopants. Here we present high-resolution photoluminescence (PL) spectroscopy studies of unintentionally doped and Sn-doped ZnO single crystals grown by the chemical vapor transport method. Doped samples showed greatly increased emission from the I 10 bound exciton transition that was recently proven to be related to the incorporation of Sn impurities based on radio-isotope studies. The PL linewidths are exceptionally sharp for these samples, enabling a clear identification of several donor species. Temperature-dependent PL measurements of the I 10 line emission energy and intensity dependence reveal a behavior that is similar to other shallow donors in ZnO. Ionized donor bound-exciton and two-electron satellite transitions of the I 10 transition are unambiguously identified and yield a donor binding energy of 71 meV. In contrast to recent reports of Ge-related donors in ZnO, the spectroscopic binding energy for the Sn-related donor bound exciton follows a linear relationship with donor binding energy (Haynes rule) similar to recently observed carbon related donors, and confirming the shallow nature of this defect center, which was recently attributed to a Sn Zn double donor compensated by an unknown single acceptor.

  19. High resolution neutron spectroscopy for helium isotopes

    International Nuclear Information System (INIS)

    Abdel-Wahab, M.S.; Klages, H.O.; Schmalz, G.; Haesner, B.H.; Kecskemeti, J.; Schwarz, P.; Wilczynski, J.

    1992-01-01

    A high resolution fast neutron time-of-flight spectrometer is described, neutron time-of-flight spectra are taken using a specially designed TDC in connection to an on-line computer. The high time-of-flight resolution of 5 ps/m enabled the study of the total cross section of 4 He for neutrons near the 3/2 + resonance in the 5 He nucleus. The resonance parameters were determined by a single level Breit-Winger fit to the data. (orig.)

  20. Design of FHiRE: the Fiber High Resolution Echelle Spectrograph

    Science.gov (United States)

    Pierce, Michael J.; McLane, Jacob N.; Pilachowski, C. A.; Kobulnicky, Henry; Jang-Condell, Hannah

    2018-01-01

    The enormous success of the Kepler mission in the discovery of transiting exoplanets implies that the majority of stars have planetary systems. NASA's upcomming Transiting Exoplanet Survey Satellite (TESS) is designed to survey the brightest stars over the entire sky, systems that are accessible to spectroscopic follow-up with mid-sized telescopes. We have undertaken the development of a precision radial velocity spectrograph with the goal of providing ground-based suppoert for TESS. The instrument, known as FHiRE (Fiber High Resolution Echelle spectrograph), is being developed in collaboration with Indiana University and will deployed at the 2.3-meter telescope of the Wyoming InfraRed Observatory (WIRO). FHiRE features a traditional white pupil echelle design with R ~ 60,000 that is fed via two optical fibers from the telescope. Both the science fiber and a simultaneously sampled Thorium-Argon comparison fiber will make use of double mode scramblers. FHiRE itself will be housed within a vacuum enclosure in order to minimize any temperatue variations of the instrument and maximize its radial velocity precision. Together, these two features should enable FHiRE to reach a long-term velocity precision of < 1 m/s. We present the design of FHiRE and its expected performance. In a companion poster (Jang-Condell et al.) we will present the exoplanet science goals of the project.

  1. A high-resolution regional reanalysis for Europe

    Science.gov (United States)

    Ohlwein, C.

    2015-12-01

    Reanalyses gain more and more importance as a source of meteorological information for many purposes and applications. Several global reanalyses projects (e.g., ERA, MERRA, CSFR, JMA9) produce and verify these data sets to provide time series as long as possible combined with a high data quality. Due to a spatial resolution down to 50-70km and 3-hourly temporal output, they are not suitable for small scale problems (e.g., regional climate assessment, meso-scale NWP verification, input for subsequent models such as river runoff simulations). The implementation of regional reanalyses based on a limited area model along with a data assimilation scheme is able to generate reanalysis data sets with high spatio-temporal resolution. Within the Hans-Ertel-Centre for Weather Research (HErZ), the climate monitoring branch concentrates efforts on the assessment and analysis of regional climate in Germany and Europe. In joint cooperation with DWD (German Meteorological Service), a high-resolution reanalysis system based on the COSMO model has been developed. The regional reanalysis for Europe matches the domain of the CORDEX EURO-11 specifications, albeit at a higher spatial resolution, i.e., 0.055° (6km) instead of 0.11° (12km) and comprises the assimilation of observational data using the existing nudging scheme of COSMO complemented by a special soil moisture analysis with boundary conditions provided by ERA-Interim data. The reanalysis data set covers the past 20 years. Extensive evaluation of the reanalysis is performed using independent observations with special emphasis on precipitation and high-impact weather situations indicating a better representation of small scale variability. Further, the evaluation shows an added value of the regional reanalysis with respect to the forcing ERA Interim reanalysis and compared to a pure high-resolution dynamical downscaling approach without data assimilation.

  2. Surface modification by EUV laser beam based on capillary discharge

    Czech Academy of Sciences Publication Activity Database

    Frolov, Oleksandr; Koláček, Karel; Schmidt, Jiří; Štraus, Jaroslav; Prukner, Václav; Shukurov, A.

    -, č. 58 (2011), s. 484-487 ISSN 2010-376X. [International Conference on Fusion and Plasma Physics. Bali, Indonésie, 26.10.2011-28.10.2011] R&D Projects: GA AV ČR KAN300100702; GA MŠk LA08024; GA MŠk(CZ) LC528 Institutional research plan: CEZ:AV0Z20430508 Keywords : soft x-ray * EUV * laser * radiation * source * capillary * discharge * plasma * ablation * surface modification Subject RIV: BL - Plasma and Gas Discharge Physics http://www.waset.org/journals/waset/v58/v58-99.pdf

  3. A proposed new method for the determination of the solar irradiance at EUV wavelength range

    Science.gov (United States)

    Feldman, Uri; Doschek, G. A.; Seely, J. F.; Landi, E.; Dammasch, I.

    The solar irradiance in the far ultraviolet (FUV) and extreme ultraviolet (EUV) and its time variability are important inputs to geospace models. It provides the primary mechanism for heating the earth's upper atmosphere and creating the ionosphere. Understanding various space weather phenomena requires reliable detailed knowledge of the solar EUV irradiance. Ideally one would like to have a single well-calibrated, high-resolution spectrometer that can continuously monitor the solar irradiance over the relevant wavelengths range. Since this is much too difficult to accomplish, a number of monitoring instruments were constructed in the past, each covering a fraction of the required wavelength range. Assembling solar irradiance from measurements by a number of instruments is extremely difficult and is usually plagued by large uncertainties. To overcome some of the difficulties resulting from such procedures, empirical models have been developed that rely in large part on solar activity levels as proxies. In recent years a different approach has been established for the determination of the solar irradiance, an approach independent of irradiance observations. The new approach is based on the line intensities calculated from emission measure (EM) distributions across the solar surface. The EM distributions are derived from spatially and spectrally resolved measurements of line intensities and describe the temperature and density structure of the basic large scale features of the solar atmosphere, specifically coronal holes, quiet Sun, and active regions. Recently, as a result of detailed analysis of solar upper atmosphere (SUA) spectra recorded by SUMER/SoHO it was discovered that, in contrast to earlier beliefs, the solar EM in 3x105 -4x106 K plasmas does not appear to vary continuously with temperature as previously assumed. Instead it appears to be composed of isothermal structures where each can attain but one of the following four main temperatures: 5x105 , 9x105

  4. Spectral calibration of filters and detectors of solar EUV telescope for 13.2 nm for the TESIS experiment

    International Nuclear Information System (INIS)

    Kuzin, S.V.; Shestov, S.V.; Pertsov, A.A.; Reva, A.A.; Zuev, S.Yu.; Lopatin, A.Ya.; Luchin, V.I.; Zhou, Kh.; Khuo, T.

    2008-01-01

    The full-sun EUV telescope for 13.2 nm spectral band for the TESIS experiment is designed to produce images of hot coronal plasma (T ∼ 10 MK). Calibration process of optical elements is presented. Spectral transmission of multilayer Zr/Si filters, sensitivity and radiation tolerance of CCD detector have been measured. Peak transmission of EUV filters in working, spectral band reaches 40-50% (filters with 50 and 55 layers are used), spectral dependence of transmission is close to calculated one. Transmission of filters in white light is equal to (1-2)x10 -6 . Sensitivity of CCD ranges from 0.01 to 0.1 ADC units per photon, radiation tolerance is better than 10 9 rad [ru

  5. CHEMICAL ABUNDANCES IN FIELD RED GIANTS FROM HIGH-RESOLUTION H-BAND SPECTRA USING THE APOGEE SPECTRAL LINELIST

    International Nuclear Information System (INIS)

    Smith, Verne V.; Cunha, Katia; Shetrone, Matthew D.; Meszaros, Szabolcs; Allende Prieto, Carlos; Bizyaev, Dmitry; Garcìa Pèrez, Ana; Majewski, Steven R.; Schiavon, Ricardo; Holtzman, Jon; Johnson, Jennifer A.

    2013-01-01

    High-resolution H-band spectra of five bright field K, M, and MS giants, obtained from the archives of the Kitt Peak National Observatory Fourier transform spectrometer, are analyzed to determine chemical abundances of 16 elements. The abundances were derived via spectrum synthesis using the detailed linelist prepared for the Sloan Digital Sky Survey III Apache Point Galactic Evolution Experiment (APOGEE), which is a high-resolution near-infrared spectroscopic survey to derive detailed chemical abundance distributions and precise radial velocities for 100,000 red giants sampling all Galactic stellar populations. The red giant sample studied here was chosen to probe which chemical elements can be derived reliably from the H-band APOGEE spectral region. These red giants consist of two K-giants (α Boo and μ Leo), two M-giants (β And and δ Oph), and one thermally pulsing asymptotic giant branch (TP-AGB) star of spectral type MS (HD 199799). Measured chemical abundances include the cosmochemically important isotopes 12 C, 13 C, 14 N, and 16 O, along with Mg, Al, Si, K, Ca, Ti, V, Cr, Mn, Fe, Co, Ni, and Cu. The K and M giants exhibit the abundance signature of the first dredge-up of CN-cycle material, while the TP-AGB star shows clear evidence of the addition of 12 C synthesized during 4 He-burning thermal pulses and subsequent third dredge-up. A comparison of the abundances derived here with published values for these stars reveals consistent results to ∼0.1 dex. The APOGEE spectral region and linelist is thus well suited for probing both Galactic chemical evolution, as well as internal nucleosynthesis and mixing in populations of red giants via high-resolution spectroscopy.

  6. CHEMICAL ABUNDANCES IN FIELD RED GIANTS FROM HIGH-RESOLUTION H-BAND SPECTRA USING THE APOGEE SPECTRAL LINELIST

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Verne V.; Cunha, Katia [National Optical Astronomy Observatories, Tucson, AZ 85719 (United States); Shetrone, Matthew D. [Department of Astronomy and McDonald Observatory, University of Texas, Austin, TX 78712 (United States); Meszaros, Szabolcs; Allende Prieto, Carlos [Instituto d' Astrofisica de Canarias, E-38205, La Laguna, Tenerife (Spain); Bizyaev, Dmitry [Apache Point Observatory, Sunspot, NM 88349 (United States); Garcia Perez, Ana; Majewski, Steven R. [Department of Astronomy, University of Virginia, Charlottesville, VA 22904 (United States); Schiavon, Ricardo [Astrophysics Research Institute, Liverpool John Moores University, Liverpool L3 5UX (United Kingdom); Holtzman, Jon [Department of Astronomy, New Mexico State University, Las Cruces, NM 88003 (United States); Johnson, Jennifer A., E-mail: vsmith@noao.edu [Department of Astronomy, Ohio State University, Columbus, OH 43210 (United States)

    2013-03-01

    High-resolution H-band spectra of five bright field K, M, and MS giants, obtained from the archives of the Kitt Peak National Observatory Fourier transform spectrometer, are analyzed to determine chemical abundances of 16 elements. The abundances were derived via spectrum synthesis using the detailed linelist prepared for the Sloan Digital Sky Survey III Apache Point Galactic Evolution Experiment (APOGEE), which is a high-resolution near-infrared spectroscopic survey to derive detailed chemical abundance distributions and precise radial velocities for 100,000 red giants sampling all Galactic stellar populations. The red giant sample studied here was chosen to probe which chemical elements can be derived reliably from the H-band APOGEE spectral region. These red giants consist of two K-giants ({alpha} Boo and {mu} Leo), two M-giants ({beta} And and {delta} Oph), and one thermally pulsing asymptotic giant branch (TP-AGB) star of spectral type MS (HD 199799). Measured chemical abundances include the cosmochemically important isotopes {sup 12}C, {sup 13}C, {sup 14}N, and {sup 16}O, along with Mg, Al, Si, K, Ca, Ti, V, Cr, Mn, Fe, Co, Ni, and Cu. The K and M giants exhibit the abundance signature of the first dredge-up of CN-cycle material, while the TP-AGB star shows clear evidence of the addition of {sup 12}C synthesized during {sup 4}He-burning thermal pulses and subsequent third dredge-up. A comparison of the abundances derived here with published values for these stars reveals consistent results to {approx}0.1 dex. The APOGEE spectral region and linelist is thus well suited for probing both Galactic chemical evolution, as well as internal nucleosynthesis and mixing in populations of red giants via high-resolution spectroscopy.

  7. Development of a spectroscopic Mueller matrix imaging ellipsometer for nanostructure metrology

    International Nuclear Information System (INIS)

    Chen, Xiuguo; Du, Weichao; Yuan, Kui; Chen, Jun; Jiang, Hao; Zhang, Chuanwei; Liu, Shiyuan

    2016-01-01

    In this paper, we describe the development of a spectroscopic Mueller matrix imaging ellipsometer (MMIE), which combines the great power of Mueller matrix ellipsometry with the high spatial resolution of optical microscopy. A dual rotating-compensator configuration is adopted to collect the full 4 × 4 imaging Mueller matrix in a single measurement. The light wavelengths are scanned in the range of 400–700 nm by a monochromator. The instrument has measurement accuracy and precision better than 0.01 for all the Mueller matrix elements in both the whole image and the whole spectral range. The instrument was then applied for the measurement of nanostructures combined with an inverse diffraction problem solving technique. The experiment performed on a photoresist grating sample has demonstrated the great potential of MMIE for accurate grating reconstruction from spectral data collected by a single pixel of the camera and for efficient quantification of geometrical profile of the grating structure over a large area with pixel resolution. It is expected that MMIE will be a powerful tool for nanostructure metrology in future high-volume nanomanufacturing.

  8. Development of a spectroscopic Mueller matrix imaging ellipsometer for nanostructure metrology

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Xiuguo; Du, Weichao; Yuan, Kui; Chen, Jun; Jiang, Hao, E-mail: hjiang@hust.edu.cn [State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan 430074 (China); Zhang, Chuanwei; Liu, Shiyuan, E-mail: hjiang@hust.edu.cn [State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan 430074 (China); Wuhan Eoptics Technology Co. Ltd., Wuhan 430075 (China)

    2016-05-15

    In this paper, we describe the development of a spectroscopic Mueller matrix imaging ellipsometer (MMIE), which combines the great power of Mueller matrix ellipsometry with the high spatial resolution of optical microscopy. A dual rotating-compensator configuration is adopted to collect the full 4 × 4 imaging Mueller matrix in a single measurement. The light wavelengths are scanned in the range of 400–700 nm by a monochromator. The instrument has measurement accuracy and precision better than 0.01 for all the Mueller matrix elements in both the whole image and the whole spectral range. The instrument was then applied for the measurement of nanostructures combined with an inverse diffraction problem solving technique. The experiment performed on a photoresist grating sample has demonstrated the great potential of MMIE for accurate grating reconstruction from spectral data collected by a single pixel of the camera and for efficient quantification of geometrical profile of the grating structure over a large area with pixel resolution. It is expected that MMIE will be a powerful tool for nanostructure metrology in future high-volume nanomanufacturing.

  9. Ames S-32 O-16 O-18 Line List for High-Resolution Experimental IR Analysis

    Science.gov (United States)

    Huang, Xinchuan; Schwenke, David W.; Lee, Timothy J.

    2016-01-01

    By comparing to the most recent experimental data and spectra of the SO2 628 ?1/?3 bands (see Ulenikov et al., JQSRT 168 (2016) 29-39), this study illustrates the reliability and accuracy of the Ames-296K SO2 line list, which is accurate enough to facilitate such high-resolution spectroscopic analysis. The SO2 628 IR line list is computed on a recently improved potential energy surface (PES) refinement, denoted Ames-Pre2, and the published purely ab initio CCSD(T)/aug-cc-pVQZ dipole moment surface. Progress has been made in both energy level convergence and rovibrational quantum number assignments agreeing with laboratory analysis models. The accuracy of the computed 628 energy levels and line list is similar to what has been achieved and reported for SO2 626 and 646, i.e. 0.01-0.03 cm(exp -1) for bands up to 5500 cm(exp -1). During the comparison, we found some discrepancies in addition to overall good agreements. The three-IR-list based feature-by-feature analysis in a 0.25 cm(exp -1) spectral window clearly demonstrates the power of the current Ames line lists with new assignments, correction of some errors, and intensity contributions from varied sources including other isotopologues. We are inclined to attribute part of detected discrepancies to an incomplete experimental analysis and missing intensity in the model. With complete line position, intensity, and rovibrational quantum numbers determined at 296 K, spectroscopic analysis is significantly facilitated especially for a spectral range exhibiting such an unusually high density of lines. The computed 628 rovibrational levels and line list are accurate enough to provide alternatives for the missing bands or suspicious assignments, as well as helpful to identify these isotopologues in various celestial environments. The next step will be to revisit the SO2 828 and 646 spectral analyses.

  10. Helium temperature measurements in a hot filament magnetic mirror plasma using high resolution Doppler spectroscopy

    Science.gov (United States)

    Knott, S.; McCarthy, P. J.; Ruth, A. A.

    2016-09-01

    Langmuir probe and spectroscopic diagnostics are used to routinely measure electron temperature and density over a wide operating range in a reconfigured Double Plasma device at University College Cork, Ireland. The helium plasma, generated through thermionic emission from a negatively biased tungsten filament, is confined by an axisymmetric magnetic mirror configuration using two stacks of NdFeB permanent magnets, each of length 20 cm and diameter 3 cm placed just outside the 15 mm water cooling jacket enclosing a cylindrical vacuum vessel of internal diameter 25 cm. Plasma light is analysed using a Fourier Transform-type Bruker spectrometer with a highest achievable resolution of 0.08 cm-1 . In the present work, the conventional assumption of room temperature ions in the analysis of Langmuir probe data from low temperature plasmas is examined critically using Doppler spectroscopy of the 468.6 nm He II line. Results for ion temperatures obtained from spectroscopic data for a variety of engineering parameters (discharge voltage, gas pressure and plasma current) will be presented.

  11. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemyslaw; Jarocki, Roman; Fiedorowicz, Henryk; Limpouch, Jiri

    2018-01-01

    Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm) laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis) range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE), the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  12. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Directory of Open Access Journals (Sweden)

    Saber Ismail

    2018-01-01

    Full Text Available Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE, the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  13. Automated data processing of high-resolution mass spectra

    DEFF Research Database (Denmark)

    Hansen, Michael Adsetts Edberg; Smedsgaard, Jørn

    of the massive amounts of data. We present an automated data processing method to quantitatively compare large numbers of spectra from the analysis of complex mixtures, exploiting the full quality of high-resolution mass spectra. By projecting all detected ions - within defined intervals on both the time...... infusion of crude extracts into the source taking advantage of the high sensitivity, high mass resolution and accuracy and the limited fragmentation. Unfortunately, there has not been a comparable development in the data processing techniques to fully exploit gain in high resolution and accuracy...... infusion analyses of crude extract to find the relationship between species from several species terverticillate Penicillium, and also that the ions responsible for the segregation can be identified. Furthermore the process can automate the process of detecting unique species and unique metabolites....

  14. X-ray micro-beam characterization of a small pixel spectroscopic CdTe detector

    Science.gov (United States)

    Veale, M. C.; Bell, S. J.; Seller, P.; Wilson, M. D.; Kachkanov, V.

    2012-07-01

    A small pixel, spectroscopic, CdTe detector has been developed at the Rutherford Appleton Laboratory (RAL) for X-ray imaging applications. The detector consists of 80 × 80 pixels on a 250 μm pitch with 50 μm inter-pixel spacing. Measurements with an 241Am γ-source demonstrated that 96% of all pixels have a FWHM of better than 1 keV while the majority of the remaining pixels have FWHM of less than 4 keV. Using the Diamond Light Source synchrotron, a 10 μm collimated beam of monochromatic 20 keV X-rays has been used to map the spatial variation in the detector response and the effects of charge sharing corrections on detector efficiency and resolution. The mapping measurements revealed the presence of inclusions in the detector and quantified their effect on the spectroscopic resolution of pixels.

  15. Achieving sensitive, high-resolution laser spectroscopy at CRIS

    Energy Technology Data Exchange (ETDEWEB)

    Groote, R. P. de [Instituut voor Kern- en Stralingsfysica, KU Leuven (Belgium); Lynch, K. M., E-mail: kara.marie.lynch@cern.ch [EP Department, CERN, ISOLDE (Switzerland); Wilkins, S. G. [The University of Manchester, School of Physics and Astronomy (United Kingdom); Collaboration: the CRIS collaboration

    2017-11-15

    The Collinear Resonance Ionization Spectroscopy (CRIS) experiment, located at the ISOLDE facility, has recently performed high-resolution laser spectroscopy, with linewidths down to 20 MHz. In this article, we present the modifications to the beam line and the newly-installed laser systems that have made sensitive, high-resolution measurements possible. Highlights of recent experimental campaigns are presented.

  16. Set of instruments for solar EUV and soft X-ray monitoring onboard satellite Coronas-Photon

    Science.gov (United States)

    Kotov, Yury; Kochemasov, Alexey; Kuzin, Sergey; Kuznetsov, Vladimir; Sylwester, Janusz; Yurov, Vitaly

    Coronas-Photon mission is the third satellite of the Russian Coronas program on solar activity observation. The main goal of the "Coronas-Photon" is the study of solar hard electromagnetic radiation in the wide energy range from UV up to high energy gamma-radiation (2000MeV). Scientific payload for solar radiation observation consists of three types of instruments: Monitors (Natalya-2M, Konus-RF, RT-2, Penguin-M, BRM, PHOKA, Sphin-X, SOKOL spectral and timing measurements of full solar disk radiation have timing in flare/burst mode up to one msec. Instruments Natalya-2M, Konus-RF, RT-2 will cover the wide energy range of hard X-rays and soft gamma-rays (15keV to 2000MeV) and will together constitute the largest area detectors ever used for solar observations. Detectors of gamma-ray monitors are based on structured inorganic scintillators. For X-ray and EUV monitors the scintillation phoswich detectors, gas proportional counter, CdZnTe assembly and filter-covered Si-diodes are used. Telescope-spectrometer TESIS for imaging solar spectroscopy in X-rays has angular resolution up to 1arcsec in three spectral lines. Satellite platform and scientific payload is under construction to be launched in autumn 2008. Satellite orbit is circular with initial height 550km and inclination 82.5degrees. Accuracy of the spacecraft orientation to the Sun is better 3arcmin. In the report the capability of PHOKA, SphinX, SOKOL and TESIS as well as the observation program are described and discussed.

  17. An atlas of high-resolution IRAS maps on nearby galaxies

    Science.gov (United States)

    Rice, Walter

    1993-01-01

    An atlas of far-infrared IRAS maps with near 1 arcmin angular resolution of 30 optically large galaxies is presented. The high-resolution IRAS maps were produced with the Maximum Correlation Method (MCM) image construction and enhancement technique developed at IPAC. The MCM technique, which recovers the spatial information contained in the overlapping detector data samples of the IRAS all-sky survey scans, is outlined and tests to verify the structural reliability and photometric integrity of the high-resolution maps are presented. The infrared structure revealed in individual galaxies is discussed. The atlas complements the IRAS Nearby Galaxy High-Resolution Image Atlas, the high-resolution galaxy images encoded in FITS format, which is provided to the astronomical community as an IPAC product.

  18. Spectroscopic Investigations of Highly Charged Tungsten Ions - Atomic Spectroscopy and Fusion Plasma Diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Clementson, Joel [Lund Univ. (Sweden)

    2010-05-01

    The spectra of highly charged tungsten ions have been investigated using x-ray and extreme ultraviolet spectroscopy. These heavy ions are of interest in relativistic atomic structure theory, where high-precision wavelength measurements benchmark theoretical approaches, and in magnetic fusion research, where the ions may serve to diagnose high-temperature plasmas. The work details spectroscopic investigations of highly charged tungsten ions measured at the Livermore electron beam ion trap (EBIT) facility. Here, the EBIT-I and SuperEBIT electron beam ion traps have been employed to create, trap, and excite tungsten ions of M- and L-shell charge states. The emitted spectra have been studied in high resolution using crystal, grating, and x-ray calorimeter spectrometers. In particular, wavelengths of n = 0 M-shell transitions in K-like W55+ through Ne-like W64+, and intershell transitions in Zn-like W44+ through Co-like W47+ have been measured. Special attention is given to the Ni-like W46+ ion, which has two strong electric-dipole forbidden transitions that are of interest for plasma diagnostics. The EBIT measurements are complemented by spectral modeling using the Flexible Atomic Code (FAC), and predictions for tokamak spectra are presented. The L-shell tungsten ions have been studied at electron-beam energies of up to 122 keV and transition energies measured in Ne-like W64+ through Li-like W71+. These spectra constitute the physics basis in the design of the ion-temperature crystal spectrometer for the ITER tokamak. Tungsten particles have furthermore been introduced into the Sustained Spheromak Physics Experiment (SSPX) spheromak in Livermore in order to investigate diagnostic possibilities of extreme ultraviolet tungsten spectra for the ITER divertor. The spheromak measurement and spectral modeling using FAC suggest that tungsten ions in charge states around Er-like W6+ could be useful for

  19. Development of high speed integrated circuit for very high resolution timing measurements

    International Nuclear Information System (INIS)

    Mester, Christian

    2009-10-01

    A multi-channel high-precision low-power time-to-digital converter application specific integrated circuit for high energy physics applications has been designed and implemented in a 130 nm CMOS process. To reach a target resolution of 24.4 ps, a novel delay element has been conceived. This nominal resolution has been experimentally verified with a prototype, with a minimum resolution of 19 ps. To further improve the resolution, a new interpolation scheme has been described. The ASIC has been designed to use a reference clock with the LHC bunch crossing frequency of 40 MHz and generate all required timing signals internally, to ease to use within the framework of an LHC upgrade. Special care has been taken to minimise the power consumption. (orig.)

  20. Development of high speed integrated circuit for very high resolution timing measurements

    Energy Technology Data Exchange (ETDEWEB)

    Mester, Christian

    2009-10-15

    A multi-channel high-precision low-power time-to-digital converter application specific integrated circuit for high energy physics applications has been designed and implemented in a 130 nm CMOS process. To reach a target resolution of 24.4 ps, a novel delay element has been conceived. This nominal resolution has been experimentally verified with a prototype, with a minimum resolution of 19 ps. To further improve the resolution, a new interpolation scheme has been described. The ASIC has been designed to use a reference clock with the LHC bunch crossing frequency of 40 MHz and generate all required timing signals internally, to ease to use within the framework of an LHC upgrade. Special care has been taken to minimise the power consumption. (orig.)