WorldWideScience

Sample records for etch detectors based

  1. Development of a reader for track etch detectors based on a commercially available slide scanner

    CERN Document Server

    Steele, J D; Tanner, R J; Bartlett, D T

    1999-01-01

    NRPB has operated a routine neutron personal dosimetry service based on the electrochemical etch of PADC elements since 1986. Since its inception it has used an automated reader based on a video camera and real time analysis. A new and more powerful replacement system has been developed using a commercially available photographic slide scanner. This permits a complete image of the dosemeter to be grabbed in a single scan, generating a 2592x3888 pixel file which is saved for subsequent analysis. This gives an effective pixel size of 10x10 mu m with an image of the entire dosemeter in one field of view. Custom written software subsequently analyses the image to assess the number of etched pits on the dosemeter and read the detector identification number (code). Batch scanning of up to 40 detectors is also possible using an autofeed attachment. The system can be used for electrochemically etched tracks for neutron detectors and chemically etched tracks for radon detectors.

  2. ICP etching for InAs-based InAs/GaAsSb superlattice long wavelength infrared detectors

    Science.gov (United States)

    Huang, Min; Chen, Jianxin; Xu, Jiajia; Wang, Fangfang; Xu, Zhicheng; He, Li

    2018-05-01

    In this work, we study and report the dry etching processes for InAs-based InAs/GaAsSb strain-free superlattice long wavelength infrared (LWIR) detectors. The proper etching parameters were first obtained through the parametric studies of Inductively Coupled Plasma (ICP) etching of both InAs and GaSb bulk materials in Cl2/N2 plasmas. Then an InAs-based InAs/GaAsSb superlattice LWIR detector with PπN structure was fabricated by using the optimized etching parameters. At 80 K, the detector exhibits a 100% cut-off wavelength of 12 μm and a responsivity of 1.5 A/W. Moreover, the dark current density of the device under a bias of -200 mV reaches 5.5 × 10-4 A/cm2, and the R0A is 15 Ω cm2. Our results pave the way towards InAs-based superlattice LWIR detectors with better performances.

  3. Method of plastic track detector electrochemical etching

    International Nuclear Information System (INIS)

    D'yakov, A.A.

    1984-01-01

    The review of studies dealing with the development of the method for the electro-chemical etching (ECE) of the plastic track detectors on the base of polyethy-leneterephthalate (PET) and polycarbonate (PC) is given. Physical essence of the method, basic parameters of the processes, applied equipment and methods of measurement automation are considered. The advantages of the method over the traditional chemical etching are pointed out. Recommendations on the detector operation modes when detecting fission fragments, α-particles and fast neutrons are given. The ECE method is based on the condition that during chemical etching the high-voltage sound frequency alternating electric field is applied to the detector. In this case the detector serves as an isolating layer betWeen two vessels with etching solution in which high-voltage electrode are submerged. At a fixed electric field potential higher (over than the threshold value) at the end of the etching track cone atree-like discharge spot arises. It is shown that when PET is used for fast neutron detection it is advisable to apply for ECE the PEW solution (15g KOH+40 g C 2 H 2 OH + 45g H 2 O) the field potential should constitute 30 kVxcm -1 at the freqUency of 9 kHz. In the case of fission fragment detection Using ECE and PC the following ECE conditions are recommended: 30% KOH etcher, field potential of 10 kVxcm -1 , 2-4 kHz frequency. It is concluded that the ECE method permits considerably eXtend the sphere of plastic track detector application for detecting ionizing particles,

  4. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  5. Model calculations for electrochemically etched neutron detectors

    International Nuclear Information System (INIS)

    Pitt, E.; Scharmann, A.; Werner, B.

    1988-01-01

    Electrochemical etching has been established as a common method for visualisation of nuclear tracks in solid state nuclear track detectors. Usually the Mason equation, which describes the amplification of the electrical field strength at the track tip, is used to explain the treeing effect of electrochemical etching. The yield of neutron-induced tracks from electrochemically etched CR-39 track detectors was investigated with respect to the electrical parameters. A linear dependence on the response from the macroscopic field strength was measured which could not be explained by the Mason equation. It was found that the reality of a recoil proton track in the detector does not fit the boundary conditions which are necessary when the Mason equation is used. An alternative model was introduced to describe the track and detector geometry in the case of a neutron track detector. The field strength at the track tip was estimated with this model and compared with the experimental data, yielding good agreement. (author)

  6. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  7. Determination of nuclear tracks parameters on sequentially etched PADC detectors

    Science.gov (United States)

    Horwacik, Tomasz; Bilski, Pawel; Koerner, Christine; Facius, Rainer; Berger, Thomas; Nowak, Tomasz; Reitz, Guenther; Olko, Pawel

    Polyallyl Diglycol Carbonate (PADC) detectors find many applications in radiation protection. One of them is the cosmic radiation dosimetry, where PADC detectors measure the linear energy transfer (LET) spectra of charged particles (from protons to heavy ions), supplementing TLD detectors in the role of passive dosemeter. Calibration exposures to ions of known LET are required to establish a relation between parameters of track observed on the detector and LET of particle creating this track. PADC TASTRAK nuclear track detectors were exposed to 12 C and 56 Fe ions of LET in H2 O between 10 and 544 keV/µm. The exposures took place at the Heavy Ion Medical Accelerator (HIMAC) in Chiba, Japan in the frame of the HIMAC research project "Space Radiation Dosimetry-Ground Based Verification of the MATROSHKA Facility" (20P-240). Detectors were etched in water solution of NaOH with three different temperatures and for various etching times to observe the appearance of etched tracks, the evolution of their parameters and the stability of the etching process. The applied etching times (and the solution's concentrations and temperatures) were: 48, 72, 96, 120 hours (6.25 N NaOH, 50 O C), 20, 40, 60, 80 hours (6.25 N NaOH, 60 O C) and 8, 12, 16, 20 hours (7N NaOH, 70 O C). The analysis of the detectors involved planimetric (2D) measurements of tracks' entrance ellipses and mechanical measurements of bulk layer thickness. Further track parameters, like angle of incidence, track length and etch rate ratio were then calculated. For certain tracks, results of planimetric measurements and calculations were also compared with results of optical track profile (3D) measurements, where not only the track's entrance ellipse but also the location of the track's tip could be directly measured. All these measurements have been performed with the 2D/3D measurement system at DLR. The collected data allow to create sets of V(LET in H2 O) calibration curves suitable for short, intermediate and

  8. Influence of variation of etching conditions on the sensitivity of PADC detectors with a new evaluation method

    International Nuclear Information System (INIS)

    Fiechtner-Scharrer, A.; Mayer, S.; Boschung, M.; Whitelaw, A.

    2011-01-01

    At the Paul Scherrer Institut, a personal neutron dosimetry system based on chemically etched poly allyl diglycol carbonate (PADC) detectors and an automatic track counting (Autoscan 60) for neutron dose evaluations has been in routine use since 1998. Today, the hardware and the software of the Autoscan 60 are out of date, no spare components are available anymore and more sophisticated image-analysis systems are already developed. Therefore, a new evaluation system, the 'TASLIMAGE', was tested thoroughly in 2009 for linearity, reproducibility, influence of etching conditions and so forth, with the intention of replacing the Autoscan 60 in routine evaluations. The TASLIMAGE system is based on a microscope (high-quality Nikon optics) and an ultra-fast three-axis motorised control for scanning the detectors. In this paper, the TASLIMAGE system and its possibilities for neutron dose calculation are explained in more detail and the study of the influence of the variation of etching conditions on the sensitivity and background of the PADC detectors is described. The etching temperature and etching duration were varied, which showed that the etching conditions do not have a significant influence on the results of non-irradiated detectors. However, the sensitivity of irradiated detectors decreases by 5 % per 1 deg. C when increasing the etching temperature. For the variation of the etching duration, the influence on the sensitivity of irradiated detectors is less pronounced. (authors)

  9. Radon Measurements in Egypt using passive etched track detectors. A Review

    Energy Technology Data Exchange (ETDEWEB)

    Gomaa, M A [National Network of Radiation Physics. Atomic Energy Authority (Egypt); Hussein, A S [Radiation Protection Department, Nuclear Power Plants Authority, (Egypt); El-Arabi, A M [Physics Department, Faculty of Science, South Valley University, Qena, (Egypt)

    2005-04-01

    Radon and its progeny may cause serious radiation harm to human health such as lung cancer and other types. Radon measurements based on alpha particles etched track detectors (LR-115, CR-39) are very attractive for assessment of radon exposure. This is due to their high sensitivity, low cost, easy to handle and retain a permanent record of data. Also these detectors can incorporate the effects of seasonal and diurnal fluctuation of radon activity concentrations due to physical, geological and meteorological factors. The present review is based mainly on the topic of passive etched track detectors for the measurements of radon in Egypt in the recent years. Published papers includes the measurements of radon in dwellings, working places, Cairo Metro stations, ancient Pharaonic places and uranium exploration galleries as well as assessment of radon in drinking water.

  10. Radon Measurements in Egypt using passive etched track detectors. A Review

    International Nuclear Information System (INIS)

    Gomaa, M.A.; Hussein, A.S.; El-Arabi, A.M.

    2005-01-01

    Radon and its progeny may cause serious radiation harm to human health such as lung cancer and other types. Radon measurements based on alpha particles etched track detectors (LR-115, CR-39) are very attractive for assessment of radon exposure. This is due to their high sensitivity, low cost, easy to handle and retain a permanent record of data. Also these detectors can incorporate the effects of seasonal and diurnal fluctuation of radon activity concentrations due to physical, geological and meteorological factors. The present review is based mainly on the topic of passive etched track detectors for the measurements of radon in Egypt in the recent years. Published papers includes the measurements of radon in dwellings, working places, Cairo Metro stations, ancient Pharaonic places and uranium exploration galleries as well as assessment of radon in drinking water

  11. Effect of track etch rate on geometric track characteristics for polymeric track detectors

    International Nuclear Information System (INIS)

    Abdel-Naby, A.A.; El-Akkad, F.A.

    2001-01-01

    Analysis of the variable track etch rate on geometric track characteristic for polymeric track detectors has been applied to the case of LR-155 II SSNTD. Spectrometric characteristics of low energy alpha particles response by the polymeric detector have been obtained. The track etching kinematics theory of development of minor diameter of the etched tracks has been applied. The calculations show that, for this type of detector, the energy dependence of the minor track diameter d is linear for small-etched removal layer h. The energy resolution gets better for higher etched removal layer

  12. CONTRIBUTION OF DIFFERENT PARTICLES MEASURED WITH TRACK ETCHED DETECTORS ONBOARD ISS.

    Science.gov (United States)

    Ambrožová, I; Davídková, M; Brabcová, K Pachnerová; Tolochek, R V; Shurshakov, V A

    2017-09-29

    Cosmic radiation consists of primary high-energy galactic and solar particles. When passing through spacecraft walls and astronauts' bodies, the spectrum becomes even more complex due to generating of secondary particles through fragmentation and nuclear interactions. Total radiation exposure is contributed by both these components. With an advantage, space research uses track etched detectors from the group of passive detectors visualizing the tracks of particles, in this case by etching. The detectors can discriminate between various components of cosmic radiation. A method is introduced for the separation of the different types of particles according to their range using track etched detectors. The method is demonstrated using detectors placed in Russian segment of the International Space Station in 2009. It is shown that the primary high-energy heavy ions with long range contribute up to 56% of the absorbed dose and up to 50% to the dose equivalent. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  13. LET spectrometry with track etch detectors-Use in high-energy radiation fields

    International Nuclear Information System (INIS)

    Jadrnickova, I.; Spurny, F.

    2008-01-01

    For assessing the risk from ionizing radiation it is necessary to know not only the absorbed dose but also the quality of the radiation; radiation quality is connected with the physical quantity linear energy transfer (LET). One of the methods of determination of LET is based on chemically etched track detectors. This contribution concerns with a spectrometer of LET based on the track detectors and discusses some results obtained at: ·high-energy radiation reference field created at the SPS accelerator at CERN; and ·onboard of International Space Station where track-etch based LET spectrometer has been exposed 273 days during 'Matrjoshka - R' experiment. Results obtained are compared with the results of studies at some lower-energy neutron sources; some conclusions on the registrability of neutrons and the ability of this spectrometer to determine dose equivalent in high-energy radiation fields are formulated

  14. Vertically etched silicon nano-rods as a sensitive electron detector

    International Nuclear Information System (INIS)

    Hajmirzaheydarali, M; Akbari, M; Soleimani-Amiri, S; Sadeghipari, M; Shahsafi, A; Akhavan Farahani, A; Mohajerzadeh, S

    2015-01-01

    We have used vertically etched silicon nano-rods to realize electron detectors suitable for scanning electron microscopes. The results of deep etching of silicon nano-structures are presented to achieve highly ordered arrays of nano-rods. The response of the electron detector to energy of the primary electron beam and the effects of various sizes and materials has been investigated, indicating its high sensitivity to secondary and back-scattered electrons. The miniaturized structure of this electron detector allows it to be placed in the vicinity of the specimen to improve the resolution and contrast. This detector collects electrons and converts the electron current to voltage directly by means of n-doped silicon nano-rods on a p-type silicon substrate. Silicon nano-rods enhance the surface-to-volume ratio of the detector as well as improving the yield of electron detection. The use of nano-structures and silicon nanowires as an electron detector has led to higher sensitivities than with micro-structures. (paper)

  15. Equipment for electrochemical etching of dielectric track detectors

    International Nuclear Information System (INIS)

    Turek, K.; Novak, M.

    1992-01-01

    The facility is designed for electrochemical etching of solid state track detectors, devised for thicknesses in excess of 200 μm as employed for direct detection of charged particles or neutrons. The device consists of a high-voltage a.c. supply for the electrodes and an assembly whose body consists of a flat tank, on the surface of which is formed a channel for feeding the heating and cooling media. The tank is covered by a gasket, an earthed metal plate for the detector, a mask with holes determining the shape of the etched area, and a pressure plate. The pressure plate is fitted with a system of holes for the etching solution. Needle-shaped high-voltage electrodes are accommodated in the holes of the mask. The underlying principle of the invention consists in the fact that a rubber pad with guide holes for the needle-shaped electrodes lies on the pressure plate. Each electrode is composed of a central tip and an outer jacket, separated by an insulating layer; connection is provided by a light emitting diode. (Z.S.). 2 figs

  16. Study of etching processes in the GEM detectors

    CERN Document Server

    Zavazieva, Darina

    2016-01-01

    Gaseous Electron Multiplier (GEM) detectors are known to operate stably at high gains and high particle fluxes. Though, at very high gains and fluxes it was observed that the insulating polyimide layer between the GEM electrodes gets etched, changing the original shape of the hole, and therefore varying the gain and the energy resolution of the detector. The idea of the project to observe degradation effect of the GEM foils during the Triple GEM detector operation in extreme conditions under X-ray radiation.

  17. Some aspects of the etching behavior of cellulose nitrate as track detector

    International Nuclear Information System (INIS)

    Hildebrand, D.; Reitz, G.; Buecker, H.

    1976-01-01

    Experimental results are presented to support the hypothesis that the etching velocity in cellulose nitrate detectors is dependent on the local water content of the foil. The consequence is drawn that high concentrations (> 4.7 n) of the etching solution should not be used for high precision track etching. The possibility to vary the Vsub(t)/Vsub(b) ratio is reported to have a useful application in biological experiments. Further more an influence of etch products at low NaOH concentrations was found. The cellulose nitrate detectors used in this investigation are 250 μ sheets made by Daicel, Nippon (plasticized) and 100 μ sheets made by Kodak, France (Type CA 80-15, plasticized). No qualitative differences in the etching behaviour of these two materials were obtained regarding the reported investigations, although the differences are partly large regarding other features. (orig.) [de

  18. Dosimetry and microdosimetry using LET spectrometer based on the track-etch detector: radiotherapy Bremsstrahlung beam, onboard aircraft radiation field

    International Nuclear Information System (INIS)

    Jadrnickova, I.; Spurny, F.

    2006-01-01

    The spectrometer of linear energy transfer (Let) based on the chemically etched poly-allyl-diglycol-carbonate (P.A.D.C.) track-etch detector was developed several years ago in our institute. This Let spectrometer enables determining Let of particles approximately from 10 to 700 keV/μm. From the Let spectra, dose characteristics can be calculated. The contribution presents the Let spectra and other dosimetric characteristics obtained onboard a commercial aircraft during more than 6 months long exposure and in the 18 MV radiotherapy Bremsstrahlung beam. (authors)

  19. Thermal stability of dyed tracks and electrochemical etching sensitivity of some polymeric detectors

    International Nuclear Information System (INIS)

    Monnin, M.; Gourcy, J.; Somogyi, G.; Dajko, D.

    1980-01-01

    Recent results on the mechanism of the formation of tracks obtained by the dyed tracks technique are given and the thermal annealing of the detectors is used to demonstrate their ability to retain tracks under more severe conditions than by the etching technique. Electrochemical etching of polycarbonate and polyethylene terephthalate detectors is investigated both from the background and sensitivity points of view. The polyethylene terephthalate detector is shown to be well suited for low neutron flux measurements. (author)

  20. Evaluation study between the chemical and electrochemical etching for solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Ramos, S.; Espinosa, G.; Golzarri, J.I.

    1991-01-01

    Since there are several methods of etching in the solid state nuclear track detectors (SSNTD) it is necessary to know which gives the best results for a specific problem. The purpose of this work is to analyze and compare both the chemical etching and the electrochemical etching. The SSNTD has a preferential response to certain kinds of particles and energies, according to the material used as detector. On the other hand the efficiency is a function of the incidence angle of the radiation and some other parameters such as temperature, concentration and type of solvent used in the etching process, and the method used for the etching. Therefore, it is necessary to extend as much as possible our knowledge of such parameters in order to choose the more efficient one for a specific problem

  1. Surface Passivation of CdZnTe Detector by Hydrogen Peroxide Solution Etching

    Science.gov (United States)

    Hayes, M.; Chen, H.; Chattopadhyay, K.; Burger, A.; James, R. B.

    1998-01-01

    The spectral resolution of room temperature nuclear radiation detectors such as CdZnTe is usually limited by the presence of conducting surface species that increase the surface leakage current. Studies have shown that the leakage current can be reduced by proper surface preparation. In this study, we try to optimize the performance of CdZnTe detector by etching the detector with hydrogen peroxide solution as function of concentration and etching time. The passivation effect that hydrogen peroxide introduces have been investigated by current-voltage (I-V) measurement on both parallel strips and metal-semiconductor-metal configurations. The improvements on the spectral response of Fe-55 and 241Am due to hydrogen peroxide treatment are presented and discussed.

  2. Track etch detectors with air gap for measurements of radon in soil

    Energy Technology Data Exchange (ETDEWEB)

    Turek, K; Bednar, J [Czech Academy of Sciences, 18086 Prague (Czech Republic). Nuclear Research Inst., Dept. of Radiation Dosimetry; Neznal, M [Radon Corp., 28922 Lysa nad Labem (Czech Republic)

    1996-12-31

    The main aim of this study was to develop a method of radon concentration measurements in soil using track etch detectors without cups. Our approach enables to minimize the detector dimensions resulting into smaller diameter of drilled holes, more rigid construction, easier handling and mailing, lower consumption of material and consequently in lower costs. The parallel arrangement of two track etch detectors in the open metallic holder seems to be promising as the complementary method to the commonly used cup-technique for radon measurement. The firmness, simple and compact construction, small size as well as low costs could be successfully utilized mainly in field measurement. The possibility of a variable sensitivity by the distance between the detectors makes the system versatile for many applications, 2 detectors with different h can practically exclude of under- or overexposure. The more precise calibrations including exposures in radon-chamber and study of an eventual influence of humidity are supposed to be done in the nearest future. (J.K) 2 tabs.

  3. Vacuum effect on the etch induction time and registration sensitivity of polymer track detectors

    International Nuclear Information System (INIS)

    Csige, I.; Hunyadi, I.; Somogyi, G.

    1988-01-01

    The effect of a vacuum on etch induction time and track etch rate ratio of some polymer track detectors was studied systematically with alpha particles of different energies. It was found that the etch induction time increases, and the track etch rate ratio decreases, drastically when the detectors were irradiated in a vacuum and also kept in a vacuum for a few hours before and for a few minutes after the irradiation. These times proved to be characteristic for the outgassing of oxygen from the sheets and the stabilization of latent tracks, respectively. The role of oxygen in latent track formation is discussed. We have found that the vacuum effect is most significant near the surface. Its diminution with depth depends on the time of outgassing in accordance with the time variation of the dissolved oxygen concentration profile inside the sheets. (author)

  4. Vacuum effect on the etch induction time and registration sensitivity of polymer track detectors

    Energy Technology Data Exchange (ETDEWEB)

    Csige, I.; Hunyadi, I.; Somogyi, G. (Magyar Tudomanyos Akademia, Debrecen (Hungary). Atommag Kutato Intezete); Fujii, M. (Institute of Space and Astronautical Science, Sagamihara (Japan))

    1988-01-01

    The effect of a vacuum on etch induction time and track etch rate ratio of some polymer track detectors was studied systematically with alpha particles of different energies. It was found that the etch induction time increases, and the track etch rate ratio decreases, drastically when the detectors were irradiated in a vacuum and also kept in a vacuum for a few hours before and for a few minutes after the irradiation. These times proved to be characteristic for the outgassing of oxygen from the sheets and the stabilization of latent tracks, respectively. The role of oxygen in latent track formation is discussed. We have found that the vacuum effect is most significant near the surface. Its diminution with depth depends on the time of outgassing in accordance with the time variation of the dissolved oxygen concentration profile inside the sheets. (author).

  5. A fast neutron spectrometer based on an electrochemically etched CR-39 detector with degrader and front radiator

    International Nuclear Information System (INIS)

    Matiullah; Durrani, S.A.

    1987-01-01

    In addition to having promising applications for the development of a fast-neutron dosemeter, electrochemically etched (ECE) CR-39 detectors also offer the possibility of energy-selective fast-neutron detection. This property stems basically from the fact that, to produce 'sparkable' trails in the polymeric detector subjected to ECE, the charged particle resulting from a neutron interaction must fall within a definite 'energy window'. The lower and upper limits of proton energies that can yield ECE spots in CR-39 have been experimentally determined to be ∼ 50 keV and ∼ 2.2 MeV under our processing conditions. To accomplish our objective, we have developed a technique based on ECE spot-density measurements in CR-39 detectors placed in conjuction with judiciously chosen thicknesses of a polyethylene radiator and a lead degrader. The optimum thicknesses of the radiator and the degrader, for a given neutron energy, are determined by computer calculations. (author)

  6. Study on the etching conditions of polycarbonate detectors for particle analysis of safeguards environmental samples

    International Nuclear Information System (INIS)

    Iguchi, K.; Esaka, K.T.; Lee, C.G.; Inagawa, J.; Esaka, F.; Onodera, T.; Fukuyama, H.; Suzuki, D.; Sakurai, S.; Watanabe, K.; Usuda, S.

    2005-01-01

    The fission track technique was applied to the particle analysis for safeguards environmental samples to obtain information about the isotope ratio of nuclear materials in individual particles. To detect the particles containing nuclear material with high detection efficiency and less particle loss, the influence of uranium enrichments on etching conditions of a fission track detector made of polycarbonate was investigated. It was shown that the increase in uranium enrichment shortened the suitable etching time both for particle detection and for less particle loss. From the results obtained, it was suggested that the screening of the uranium particles according to the enrichment is possible by controlling the etching time of the detector

  7. Bulk etching characteristics of CR-39 track detectors in hydroxide solutions

    International Nuclear Information System (INIS)

    Fonseca, E.S. da; Knoefel, T.M.J.; Tavares, O.A.P.

    1983-01-01

    A systematic study of the bulk etch rate of CR-39 track detectors in KOH and NaOH aqueous solutions is presented. A number of unirradiated and non-thermally treated CR-39 samples were chemically attacked in KOH and NaOH solutions of concentration and temperature in the range 2-10 N and 50-90 0 C, respectively. From measurements of the thickness of layers removed as a function of the etching time, the bulk etch rate υ β and the induction time T ο for surface removal were obtained for each etching condition. For both NaOH and KOH solution the activation energy of the process was derived as E = 0.76 ± 0.05 eV. It was observed that the induction time decreases both with increasing normality and temperature of the solution. (author) [pt

  8. Optimization of microwave-induced chemical etching for rapid development of neutron-induced recoil tracks in CR-39 detectors

    International Nuclear Information System (INIS)

    Sahoo, G.S.; Tripathy, S.P.; Bandyopadhyay, T.

    2014-01-01

    A systematic investigation is carried out to optimize the recently established microwave-induced chemical etching (MICE) parameters for rapid development of neutron-induced recoil tracks in CR-39 detectors. Several combinations of all available microwave powers with different etching durations were analysed to determine the most suitable etching condition. The etching duration was found to reduce with increasing microwave power and the tracks were observed at about 18, 15, 12, and 6 min for 300, 450, 600 and 900 W of microwave powers respectively compared to a few hours in chemical etching (CE) method. However, for complete development of tracks the etching duration of 30, 40, 50 and 60 min were found to be suitable for the microwave powers of 900, 600, 450 and 300 W, respectively. Temperature profiles of the etchant for all the available microwave powers at different etching durations were generated to regulate the etching process in a controlled manner. The bulk etch rates at different microwave powers were determined by 2 methods, viz., gravimetric and removed thickness methods. A logarithmic expression was used to fit the variation of bulk etch rate with microwave power. Neutron detection efficiencies were obtained for all the cases and the results on track parameters obtained with MICE technique were compared with those obtained from another detector processed with chemical etching. - Highlights: • Microwave-induced chemical etching method is optimized for rapid development of recoil tracks due to neutrons in CR-39 detector. • Several combinations of microwave powers and etching durations are investigated to standardize the suitable etching condition. • Bulk-etch rates are determined for all microwave powers by two different methods, viz. gravimetric and removed thickness method. • The method is found to be simple, effective and much faster compared to conventional chemical etching

  9. Excimer laser beam profile recording based on electrochemical etched polycarbonate

    International Nuclear Information System (INIS)

    Parvin, P.; Jaleh, B.; Zangeneh, H.R.; Zamanipour, Z.; Davoud-Abadi, Gh.R.

    2008-01-01

    There is no polymeric detector used to register the beam profile of UV lasers. Here, a method is proposed for the measurement of intensive UV beam pattern of the excimer lasers based on the photoablated polycarbonate detector after coherent UV exposure and the subsequent electrochemical etching. UV laser induced defects in the form of self-microstructuring on polycarbonate are developed to replicate the spatial intensity distribution as a beam profiler

  10. Excimer laser beam profile recording based on electrochemical etched polycarbonate

    Energy Technology Data Exchange (ETDEWEB)

    Parvin, P. [Physics Department, Amirkabir University of Technology, P.O. Box 15875-4413, Hafez Ave, Tehran (Iran, Islamic Republic of); Laser Research Center, AEOI, P.O. Box 1165-8486, Tehran (Iran, Islamic Republic of)], E-mail: parvin@aut.ac.ir; Jaleh, B. [Physics Department, Bu-Ali Sina University, Postal Code 65174, Hamedan (Iran, Islamic Republic of); Zangeneh, H.R. [Physics Department, Amirkabir University of Technology, P.O. Box 15875-4413, Hafez Ave, Tehran (Iran, Islamic Republic of); Zamanipour, Z. [Laser Research Center, AEOI, P.O. Box 1165-8486, Tehran (Iran, Islamic Republic of); Davoud-Abadi, Gh.R. [Physics Department, Amirkabir University of Technology, P.O. Box 15875-4413, Hafez Ave, Tehran (Iran, Islamic Republic of)

    2008-08-15

    There is no polymeric detector used to register the beam profile of UV lasers. Here, a method is proposed for the measurement of intensive UV beam pattern of the excimer lasers based on the photoablated polycarbonate detector after coherent UV exposure and the subsequent electrochemical etching. UV laser induced defects in the form of self-microstructuring on polycarbonate are developed to replicate the spatial intensity distribution as a beam profiler.

  11. Personal neutron monitoring using TLD albedo combined with etched tracks detector

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, N.; Momose, T. [Japan Nuclear Cycle Development Institute, Ibarakiken (Japan)

    2002-07-01

    The albedo dosimetry has been carried out in personal neutron monitoring in the MOX fuel plant of JNC Tokai Works, however, it has shortcomings mainly due to the inherently poor energy response. This paper describes our efforts to overcome these difficulties in practical use of albedo dosemeters. The following four subjects are presented: (1) the neutron energy response functions of albedo TLD obtained from the mono-energetic neutron irradiation experiments and the Monte-Carlo calculations, (2) the location- dependent correction factors calculated from the response functions and neutron energy spectra measured in the workplaces, (3) the results of the international personal neutron dosimetry intercomparison program, and (4) the operational comparison program of TLD albedo and etched tracks detector worn by workers engaged in the fabrication process of the MOX fuel plant. Finally, the characteristics of the combination neutron dosemeter using TLD albedo and solid state etched track detector are summarized.

  12. Etched track radiometers in radon measurements: a review

    CERN Document Server

    Nikolaev, V A

    1999-01-01

    Passive radon radiometers, based on alpha particle etched track detectors, are very attractive for the assessment of radon exposure. The present review considers various devices used for measurement of the volume activity of radon isotopes and their daughters and determination of equilibrium coefficients. Such devices can be classified into 8 groups: (i) open or 'bare' detectors, (ii) open chambers, (iii) sup 2 sup 2 sup 2 Rn chambers with an inlet filter, (iv) advanced sup 2 sup 2 sup 2 Rn radiometers, (v) multipurpose radiometers, (vi) radiometers based on a combination of etched track detectors and an electrostatic field, (vii) radiometers based on etched track detectors and activated charcoal and (viii) devices for the measurement of radon isotopes and/or radon daughters by means of track parameter measurements. Some of them such as the open detector and the chamber with an inlet filter have a variety of modifications and are applied widely both in geophysical research and radon dosimetric surveys. At the...

  13. Neutron activation analysis of uranium by means of electrochemical etching of tracks in lawsan detectors

    International Nuclear Information System (INIS)

    Kim Son Chun; Chuburkov, Yu.T.; Zvara, I.I.

    1982-01-01

    The method of neutron activation analysis of uranium in natural and artificial materials using track lavsan detectors of fission fragments has been developed. The method of electrochemical etching (etching reagent NaOH) of fragment tracks in lavsan is improved. Using statistical method of experiment planning the equation, describing the dependence of diometer value of fission fragment tracks on parameters of etching process, is obtained. The analysis sensitivity is 10 - 7 g/g - 10 - 8 g/g

  14. Etching Properties of Poly ethyleneterephthalate (PET) Melinex-E Nuclear Track Detectors (NTDs)

    International Nuclear Information System (INIS)

    Ghanim, E.H.; Hussein, A.; El-samman, H.M.; Tretyakova, S.P.

    2009-01-01

    One of the main parameters that control track formation is the bulk etch rate, VB. The dependence of VB on etchant concentrations and temperatures was extensively carried out. It is found that, VB of the PET Melinex-E (C 10 H 8 O 4 ) depends upon the etchant temperature T through an Arrhenius equation. While, the dependence of VB on the etchant concentration; C followed the relation VB = A C n. The activation energy of etching, Eb, for the studied Melinex-E detector was calculated. An average value of Eb = 0.83 ± 0.03 eV was extracted. The variation of, VB, of PET with etching duration was studied and compared with that of CR-39 plastic at certain etching temperature; T e =60 degree C and at different etchant concentrations. The irradiation facilities were performed with the 252 Cf fission fragments and 129 Xe +8 (θi =π/2). Results of these studies were discussed in the frame work of nuclear track formation and etching theories

  15. Enlarging the fission fragment tracks in glass detectors by etching in weak solutions of HF - a safe etchant

    International Nuclear Information System (INIS)

    Singh, V.P.; Sharma, A.P.

    1982-01-01

    The effect of etchant concentration and temperature on track revelation properties of soda glass detectors has been studied. Etch rate ratio, maximum observable diameter and the energy resolution of the fission fragment tracks of 252 Cf in glasses are increased when the samples are etched in 1.25 vol% HF as compared to higher concentrations of HF and other etching solutions. The critical angle of etching is found to decrease with decrease in etchant concentration. The activation energies for bulk etching and track etching have also been estimated. Better results were obtained by using lower etching temperatures. (author)

  16. A passive monitor for radon using electrochemical track etch detector

    International Nuclear Information System (INIS)

    Massera, G.E.; Hassib, G.M.; Piesch, E.

    1980-01-01

    A passive, inexpensive monitor for radon detection and dosimetry is described in detail. It consists of a Makrofoil track etch detector inside a diffusion chamber which is sealed by a fibreglass filter through which radon may diffuse while radon daughters and aerosols are retained on the surface of the filter. The α-particle tracks are revealed by etching the Makrofoil in KOH. The lower detection limit of the radon dosimeter is equivalent to a mean dose in the lung of 130 mrem. After an exposure period of 3 months, a mean radon concentration of 0.3 pCi/l can be detected. The instrument is intended for use in a study to measure the long-term radon exposure in buildings in West Germany. (UK)

  17. Study etching characteristics of a track detector CR-39 with ultraviolet laser irradiation

    International Nuclear Information System (INIS)

    Dwaikat, Nidal; Iida, Toshiyuki; Sato, Fuminobu; Kato, Yushi; Ishikawa, Ippei; Kada, Wataru; Kishi, Atsuya; Sakai, Makoto; Ihara, Yohei

    2007-01-01

    The effect of pulsed ultraviolet Indium-doped Yttrium Aluminum Garnet (UV-In:YAG) laser of λ=266 nm, pulse energy 42 mJ/pulse at repetition rate10 Hz on the etching characteristics of Japanese CR-39 was studied at various energy intensities. Fifteen detectors were divided into two sets, each of seven samples and one sample was kept as a reference.The first set (post-exposed) was first exposed to alpha radiation with close contact to 241 Am and then treated in air with laser in the energy intensity range from 40 to160 J/cm 2 , 20 J/cm 2 in step. The second set (pre-exposed) was irradiated in reverse process (laser+alpha) with the same sources as the first set and under the same condition. The laser energy intensities ranged between 20 and 140 J/cm 2 , 20 J/cm 2 in step. For post-exposed samples (alpha+laser) bulk etch rate decreases up to 60 J/cm 2 and increases thereafter, while for pre-exposed samples (laser+alpha) the bulk etch rate oscillates without showing any precise periodicity. The bulk etch rate for both sets was found to be the same at 60≤energy intensity≤80 J/cm 2 and this may indicate that the same structural changes have happened. The track etch rate was found to be equal to the bulk etch rate for both sets, so the sensitivity is constant. In both sets several changes on the detector surfaces: tracks of different sizes and shapes and high density within the laser spot were observed. Out of the laser spot, the tracks become larger and lower density, indicating cross-linking and scission have happened, simultaneously, on the same surface as a result of UV-laser irradiation

  18. Track etch and thermo luminescent detectors response to high energy charged particles

    Czech Academy of Sciences Publication Activity Database

    Spurný, František; Jadrníčková, Iva

    2008-01-01

    Roč. 43, Supp. 1 (2008), S169-S173 ISSN 1350-4487. [International Conference on Solids /23./. Beijing, 11.09.2006-15.09.2006] R&D Projects: GA ČR GA202/04/0795 Grant - others:Evropské společenství(XE) ILSRA - 2004 - 248 Institutional research plan: CEZ:AV0Z10480505 Keywords : track etch detector * thermoluminescent detectors * LET spectrometry Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.267, year: 2008

  19. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  20. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  1. Track-etched detectors for the dosimetry of the radiation of cosmic origin

    International Nuclear Information System (INIS)

    Spurny, F.; Turek, K.

    2004-01-01

    Cosmic rays contribute to the exposure on the Earth's surface as well as in its surroundings. At the surface and/or at aviation altitudes, there are mostly secondary particles created through the cosmic rays interaction in the atmosphere, which contribute to this type of exposure. Onboard a spacecraft, the exposure comes mostly from primary cosmic rays. Track-etched detectors (TED) are able to characterise both these types of exposure. The contribution of neutrons, of cosmic origin, on the Earth's surface was studied at altitudes from few hundreds to 3000 m using TED in a moderator sphere. The results obtained are compared with other data on this type of natural radiation background. The results of studies performed onboard aircraft and/or spacecraft are presented afterwards. We used TED-based neutron dosemeter, as well as a spectrometer of linear energy transfer based on a chemically etched TED. The results of studies performed onboard aircraft, as well as spacecraft, are presented and discussed, including an attempt to estimate a neutron component onboard the spacecraft. It was found that they correlate with the results of other independent investigations. (authors)

  2. Comparison and limitations of three different bulk etch rate measurement methods used for gamma irradiated PM-355 detectors

    Energy Technology Data Exchange (ETDEWEB)

    Fazal-ur-Rehman E-mail: fazalr@kfupm.edu.sa; Abu-Jarad, F.; Al-Jarallah, M.I.; Farhat, M

    2001-06-01

    Samples of Nuclear Track Detectors (PM-355) were exposed to high gamma doses from 1x10{sup 5} Gy (10 Mrad) up to 1.2x10{sup 6} Gy (120 Mrad) at an incremental dose of 1x10{sup 5} Gy (10 Mrad). The gamma source was a 9.03 PBq (244 kCi) Co-60 source used for sterilization of medical syringes. The bulk etch rate (V{sub b}) was measured for various high gamma doses by three different methods: 1--thickness change method; 2--mass change method; 3--fission track diametric method. The study gives a comparison and limitations of these three methods used for bulk etch rate measurements in the detectors as a function of high gamma doses. The track etch rate (V{sub t}) and the sensitivity (V) of the detector were also measured using the fission track diametric method. It was observed that V{sub b} increases with the increase of the gamma absorbed dose at a fixed etching time in each bulk etch measuring method. The bulk etch rate decreases exponentially with the etching time at a fixed gamma absorbed dose in all three methods. The thickness change and mass change methods have successfully been applied to measure V{sub b} at higher gamma doses up to 1.2x10{sup 6} Gy (120 Mrad). The bulk etch rate determined by the mass change and thickness change methods was almost the same at a certain gamma dose and etching time whereas it was quite low in the case of the fission track diametric method due to its limitations at higher doses. Also in this method it was not possible to measure the fission fragment track diameters at higher doses due to the quick disappearance of the fission tracks and therefore the V{sub b} could not be estimated at higher gamma doses.

  3. A new parameter in the electrochemical etching of polymer track detectors

    International Nuclear Information System (INIS)

    Sohrabi, M.; Katouzi, M.

    1993-01-01

    It was discovered that the pressure applied to the electrochemical etching (ECE) chamber system and in turn to washers holding the detector tight in place between two semi-chambers has a direct effect on the internal heating and time to breakdown of the polymer detector. The effect was found to be dependent on the type, material, shape and size of the washers holding the detector in place under pressure. To verify such parameters, a pressure ECE chamber (PECE) with measurable and reproducible pressure was designed and constructed. Three types of rubber washers, such as ''O'' rings, flat rings and sheets as well as polycarbonate (PC) detectors glued directly between two semi-syringes, were used. Flat rubber sheets were shown to have relatively minor effects on the internal heating rate and are recommended. The effect seems to be due to forced vibrations of the detector under an electric field, the frequency of which depends on the degree to which the detector is stretched under pressure, like winding the strings of a musical instrument. The results of the above studies are presented and discussed. (orig.)

  4. Estimation of track registration efficiency in solution medium and study of gamma irradiation effects on the bulk-etch rate and the activation energy for bulk etching of CR-39 (DOP) Solid State Nuclear Track Detector

    International Nuclear Information System (INIS)

    Kalsi, P.C.

    2010-01-01

    The fission track registration efficiency of diethylene glycol bis allyl carbonate (dioctyl phthalate doped) (CR-39 (DOP)) solid state nuclear track detector (SSNTD) in solution medium (K wet ) has been experimentally determined and is found to be (9.7 ± 0.5).10 -4 cm. This is in good agreement with the values of other SSNTDs. The gamma irradiation effects in the dose range of 50.0-220.0 kGy on the bulk etch rate, V b and the activation energy for bulk etching, E of this solid state nuclear track detector (SSNTD) have also been studied. It is observed that the bulk etch rates increase and the activation energies for bulk etching decrease with the increase in gamma dose. These results have been explained on the basis of scission of the detector due to gamma irradiation

  5. The use of CH3OH additive to NaOH for etching alpha particle tracks in a CR-39 plastic nuclear track detector

    International Nuclear Information System (INIS)

    Ashry, A.H.; Abdalla, A.M.; Rammah, Y.S.; Eisa, M.; Ashraf, O.

    2014-01-01

    Fast detection of alpha particles in CR-39 detectors was investigated using a new chemical etchant. 252 Cf and 241 Am sources were used for irradiating samples of CR-39 SSNTDs with fission fragments and alpha particles in air at normal temperature and pressure. A series of experimental chemical etching are carried out using new etching solution (8 ml of 10N NaOH+1 ml CH 3 OH) at 60 °C to detect alpha particle in short time in CR-39 detectors. Suitable analyzing software has been used to analyze experimental data. From fission and alpha track diameters, the value of bulk etching rate is equal to 2.73 μm/h. Both the sensitivity and etching efficiency were found to vary with the amount of methanol in the etching solution. Pure NaOH was used as a control to compare with the result from etching in NaOH with different concentrations of CH 3 OH. The etching efficiency is determined and compared with conventional aqueous solution of 6.25N NaOH at 70 °C for etching time equals 5 h. In this study, the obtained etching efficiency shows a considerable agreement with the previous work. - Highlights: • The value of bulk etching rate is equal to 2.73 μm/h. • Fast detection of alpha particles in CR-39 detectors. • Samples of CR-39 have been irradiated with fission fragments. • Etching efficiency was determined

  6. The effect of ArF laser irradiation (193 nm) on the photodegradation and etching properties of alpha-irradiated CR-39 detectors

    Energy Technology Data Exchange (ETDEWEB)

    Shakeri Jooybari, B. [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Ghergherehchi, M. [College of Information and Technology/ school of Electronic and Electrical Engineering, Sungkyunkwan University, Suwon (Korea, Republic of); Afarideh, H., E-mail: hafarideh@aut.ac.ir [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Lamehi-Rachti, M. [Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of)

    2015-01-01

    The effects of ArF laser irradiation (λ=193nm) at various fluences (energy dose or energy density) on the etching properties of pre-exposed (laser + alpha) CR-39 detectors were studied. First, UV–Vis and Fourier transform infrared (FTIR) spectra were acquired for non-laser-irradiated and laser-irradiated samples to detect the influence of the ArF laser on the chemical modification of the CR-39. Changes observed in the spectra indicated that the predominant process that occurred upon ArF laser irradiation was a bond-scission process. Thereafter, the mean track and bulk etching parameters were experimentally measured in ArF-laser-irradiated CR-39 detectors exposed to an alpha source ({sup 241}Am, E = 5.49 MeV). Inhomogeneous regions in the laser-irradiated side of the CR-39 demonstrated a variable etching rate on only the front side of the CR-39 detector. New equations are also presented for the average bulk etching rate for these inhomogeneous regions (front side). The mean bulk and track etching rates and the mean track dimensions increased in a fluence range of 0–37.03 mJ/cm{sup 2} because of photodegradation and the scission of chemical bonds, which are the predominant processes in this range. When the fluence was increased from 37.03 to 123.45 mJ/cm{sup 2}, the bulk and track etching rates and the track dimensions slowly decreased because of the formation of cross-linked structures on the CR-39 surface. The behavior of the bulk and track etching rates and the track dimensions appears to be proportional to the dose absorbed on the detector surface. It was observed that as the etching time was increased, the bulk and track etching rates and the track dimensions of the laser-irradiated samples decreased because of the shallow penetration depth of the 193 nm laser and the reduction in the oxygen penetration depth.

  7. Effect of various etching conditions on the response of Cr-39 plastic track detector applied for radon dosimetry in environment

    International Nuclear Information System (INIS)

    Maged, A.F.; Ashraf, F.A.

    1997-01-01

    A solid state nuclear track detector Cr-39 has been used for measuring the radon concentration in the soil air and indoor concentration. The bulk etch rate, C B of Cr-39 has been measured in various concentrations of NaOH in the range (6-8 mole) at temperature 70 degree C. In addition, the track etch rate, V T , and the ratio V = V T /V B , of alpha particles emitted from radon gas exists in nature have been measured in a similar range of etching conditions. This study shows that 8 M NaOH at 70 degree C represent the optimum etching conditions for Cr-39, with the range of the present study. The equilibrium factor and gamma-dose equivalent were calculated by using the track densities of open and filtered solid state nuclear track detectors

  8. Track-etched detectors for the dosimetry of the radiation of cosmic origin

    Czech Academy of Sciences Publication Activity Database

    Spurný, František; Turek, Karel

    2004-01-01

    Roč. 109, č. 4 (2004), s. 375-381 ISSN 0144-8420 R&D Projects: GA AV ČR KSK4055109 Grant - others:EC project(XE) FIGM-CT2000-00068 Institutional research plan: CEZ:AV0Z1048901 Keywords : track-etched detectors * cosmic rays * aircraft Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.617, year: 2003

  9. A passive monitor for radon using electrochemical track etch detector

    International Nuclear Information System (INIS)

    Massera, G.E.; Hassib, G.M.; Piesch, E.

    1980-01-01

    A passive monitor for radon and its decay products based on the electrochemical etching (ECE) of α-particle tracks on Makrofol is described. The monitor has been constructed in such a way that radon and radon daughters attached to aerosols can easily pass through a chamber while dust, heavy particles and water droplets are collected outside. The decay products are accumulated on the bottom of the chamber and a Makrofol detector foil is fixed on the top to register alpha particles. The ECE condition was maintained to detect alpha particles coming mainly from radon daughters trapped on the bottom of the chamber. The response of the monitor was determined at different exposure conditions and compared with those of some active techniques such as working level meters. The merits of this system are low cost, good sensitivity, portability and reliable, unattended operation. (author)

  10. Track etch parameters and annealing kinetics assessment of protons of low energy in CR-39 detector

    International Nuclear Information System (INIS)

    Jain, R.K.; Kumar, Ashok; Singh, B.K.

    2012-01-01

    Highlights: ► We calibrate CR-39 detector with very low energy protons. ► We establish linear relationship between track diameter and time/energy up to 200 keV. ► We determine activation energy of annealing using different models. ► We justify concept of single annealing activation energy in CR-39. - Abstract: In this paper threshold of the registration sensitivity of very low energy proton in CR-39 is investigated. Irradiation of CR-39 (poly-allyl-diglycol carbonate) was carried out with very low energy mono energetic protons of 20–60 keV from a mini proton accelerator. Nearly 10 4 /cm 2 fluence of protons was used. The variation of track diameter with etching time as well as proton energy response curve was carefully calibrated. The bulk and track etch rates were measured by using proton track diameters. Bulk etch rate was also measured by the thickness of removed surface layer. The thermal annealing of proton track at temperatures ranging from 100 to 200 °C in CR-39 was studied by several models. Activation energy of annealed CR-39 detectors was calculated by slope of track etch rate and temperature plot. The data of proton tracks of 200, 250 and 300 keV from 400 kV Van-de-Graaff accelerator was also used and compared with the track diameters of different energies of proton.

  11. Radiation dosimetry for microbial experiments in the International Space Station using different etched track and luminescent detectors

    Czech Academy of Sciences Publication Activity Database

    Goossens, O.; Vanhavere, F.; Leys, N.; De Boever, P.; O'Sullivan, D.; Zhou, D.; Spurný, František; Yukihara, E.; Gaza, R.; McKeever, S.

    2006-01-01

    Roč. 120, 1- 4 (2006), s. 433-437 ISSN 0144-8420 R&D Projects: GA MŠk 1P05OC032 Institutional research plan: CEZ:AV0Z10480505 Keywords : bacteria l experiments * space flight * etched track detectors * thermoluminescent detectors Subject RIV: DN - Health Impact of the Environment Quality Impact factor: 0.446, year: 2006

  12. Gamma dosimetry with CR-39 etch track detector

    International Nuclear Information System (INIS)

    Matiullah; Dogar, A.H.; Ahmad, N.; Amin, M.; Kudo, Katsuhisa

    1999-01-01

    To preserve and improve the safety of food for commercial purposes, it is exposed to high gamma-ray doses. The gamma-ray doses used for this purpose range from 0.15 kGy to 50 kGy. At such high doses, the etching characteristics of CR-39 are severely affected. This property, therefore, can be used to develop a CR-39-based gamma dosimeter. In this context, systematic studies were carried out and the bulk etching rate was determined as a function of gamma-ray dose using different methods. (author)

  13. Dose-rate effects on the bulk etch-rate of CR-39 track detector exposed to low-LET radiations

    CERN Document Server

    Yamauchi, T; Oda, K; Ikeda, T; Honda, Y; Tagawa, S

    1999-01-01

    The effect of gamma-rays and pulsed electrons has been investigated on the bulk etch rate of CR-39 detector at doses up to 100 kGy under various dose-rate between 0.0044 and 35.0 Gy/s. The bulk etch rate increased exponentially with the dose at every examined dose-rates. It was reveled to be strongly depend on the dose-rate: the bulk etch rate was decreased with increasing dose-rate at the same total dose. A primitive model was proposed to explain the dose-rate effect in which oxygen dissolved was assumed to dominate the damage formation process.

  14. Operational comparison of TLD albedo dosemeters and etched-track detectors in the PuO2-UO2 mixed oxide fuel fabrication facilities

    International Nuclear Information System (INIS)

    Tsujimura, N.; Takada, C.; Yoshida, T.; Momose, T.

    2005-01-01

    Full text: The authors carried out an operational study that compared the use of TLD albedo dosemeters with etched-track detector in plutonium environments of Japan Nuclear Cycle Development Institute, Tokai Works. A selected group of workers engaged in the fabrication process of MOX (PuO 2 -UO 2 mixed oxide) fuel wore both TLD albedo dosemeters and etched-track detectors over a period from 1991 to 1993. The TLD albedo dosemeter is the Panasonic model UD-809P and the etched-track detector is the NEUTRAK (polyallyl diglycol carbonate + 1mm-t polyethylene radiator) commercially available from Nagase-Landauer Ltd. Both dosemeters were issued and read monthly. It was found that the TL readings were generally proportional to the counted etch-pits, and thus the dose equivalent results obtained from TLD albedo dosemeter agreed with those from etched-track detector within a factor of 1.5. This result indicates that, in the workplaces of the MOX plants, the neutron spectrum remained almost constant in terms of time and space, and the appropriate range of field-specific correction with spectrum variations could be small in albedo dosimetry. In addition, the calibrations of both dosemeters in the workplaces and in a bare and moderated 252 Cf calibration field were performed for quantitative validation for the results from the operational comparison. In the former experiments, locations were selected that were representative of typical neutron measurements according to the prior neutron spectra measurements with the multi-sphere spectrometer. In the latter experiments, the workplace environments were simulated by using a 252 Cf source surrounded with cylindrical steel/PMMA moderators. From both experiments, the relationship between TL readings and counted etch-pits with neutron spectrum variation was determined. As expected, the relationship obtained from the simulated workplace field calibration reproduced that from the operational comparison. (author)

  15. Study of gamma irradiation effects on the etching and optical properties of CR-39 solid state nuclear track detector and its application to uranium assay in soil samples

    International Nuclear Information System (INIS)

    Amol Mhatre; Kalsi, P.C.

    2011-01-01

    The gamma irradiation effects in the dose range of 2.5-43.0 Mrad on the etching and optical characteristics of CR-39 solid state nuclear track detector (SSNTD) have been studied by using etching and UV-Visible spectroscopic techniques. From the measured bulk etch rates at different temperatures, the activation energies for bulk etching at different doses have also been determined. It is seen that the bulk etch rates increase and the activation energies for bulk etching decrease with the increase in gamma dose. The optical band gaps of the unirradiated and the gamma -irradiated detectors determined from the UV-Visible spectra were found to decrease with the increase in gamma dose. These results have been explained on the basis of scission of the detector due to gamma irradiation. The present studies can be used for the estimation of gamma dose in the range of 2.5-43.0 Mrad and can also be used for estimating track registration efficiency in the presence of gamma dose. The CR-39 detector has also been applied for the assay of uranium in some soil samples of Jammu city. (author)

  16. Determination of the depth of an etch pit through studies of diffraction rings

    Energy Technology Data Exchange (ETDEWEB)

    Basu, B. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Dey, S.; Maulik, A. [Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Raha, Sibaji [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Saha, S. [Nuclear and Atomic Physics Division, Saha Institute of Nuclear Physics, Kolkata 700 064 (India); Saha, Swapan K. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India)], E-mail: swapan@bosemain.boseinst.ac.in; Syam, D. [Department of Physics, Presidency College, Kolkata 700 073 (India)

    2009-04-15

    A Solid State Nuclear Track Detector (SSNTD) can be used to identify an impinging ion as well as to determine the energy of that ion. The track of the ion is made visible by chemically 'etching' the detector after exposure. By finding out the ratio of the track-etch rate (V{sub t}) to the bulk-etch rate (V{sub g}), together with the range of the ion in the detector, the identity and the energy of the ion can be ascertained. The required measurements can be conveniently made with the help of a microscope when the angle of incidence of the ion, with respect to the normal direction to the detector surface, is more than 15 deg.. For normal or near normal incidence, uncertainties plague the measurement of the depth of the etch pit and hence the range of the particle. Through this article we wish to suggest an alternative method of assessment, based on the observation of diffraction rings, of the depth of an etch pit.

  17. Single charged-particle damage to living cells: a new method based on track-etch detectors

    International Nuclear Information System (INIS)

    Durante, M.; Grossi, G.F.; Pugliese, M.; Manti, L.; Nappo, M.; Gialanella, G.

    1994-01-01

    Biological effects of ionizing radiation are usually expressed as a function of the absorbed dose. Low doses of high-LET radiation correspond to one or few particle traversals through the cell. In order to study the biological effectiveness of single charged particles, we have developed a new method based on solid state nuclear track detectors. Cells are seeded on mylar and a LR-115 film is stuck below the mylar base. After irradiation, the LR-115 film is etched and cells observed at a phase contrast microscope connected to a video camera and an image analyzer. In this way, it is possible to measure the number of traversals through the cell nucleus or cytoplasm. Coordinates of each cell on the microscope bench are saved. After incubation for about one week, cells are fixed and stained and the colonies observed at the microscope. The fate of each irradiated cell is therefore correlated to the number of traversals. We have tested this method with two different rodent embryo fibroblast cell lines, C3H 10T1/2 and V79, exposed to 3.2 MeV accelerated α-particles (LET =124 keV/μm). The studied endpoint was cell killing. Preliminary biological results suggest that few α-particle tracks in V79 hamster cells are sufficient to reduce surviving fraction. ((orig.))

  18. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  19. Response of LET spectrometer based on track etching at some neutron sources

    International Nuclear Information System (INIS)

    Spurny, Frantisek; Brabcova, Katerina; Jadrnickova, Iva

    2008-01-01

    There is still need to develop upgrade, and test further methods able to characterise the external exposure to neutrons. This contribution presents further results obtained with the goal to enlarge and upgrade the possibility of neutron dosimetry and microdosimetry with a LET spectrometer based on the chemically etched track detectors (TED). As TED we have used several types of polyallyldiglycolcarbonates (PADC). The PADC detectors have been exposed in: high energy neutron beams at iThemba facility, Cape Town, South Africa, and in monoenergetic neutron beams at JRC Geel, Belgium. The studies have been performed in the frame of the ESA supported project DOBIES. (author)

  20. Radon measurements by etched track detectors applications in radiation protection, earth sciences and the environment

    CERN Document Server

    Durrani, Saeed A

    1997-01-01

    Exposure to radon gas, which is present in the environment naturally, constitutes over half the radiation dose received by the general public annually. At present, the most widely used method of measuring radon concentration levels throughout the world, both in dwellings and in the field, is by etched track detectors - also known as Solid State Nuclear Detectors (SSNTDs). Although this is not only the most widely used method but is also the simplest and the cheapest, yet there is at present no book available on the market globally, devoted exclusively or largely to the methodology of, and deal

  1. Etch induction time in cellulose nitrate: a new particle identification parameter

    International Nuclear Information System (INIS)

    Ruddy, F.H.; Knowles, H.B.; Luckstead, S.C.; Tripard, G.E.

    1977-01-01

    By the use of a 'continuous etch' method, it has been ascertained that particle tracks do not appear in cellulose nitrate track detectors until a certain finite time after etch has been started: this etch induction time may provide a unique signal for distinguishing ions of different atomic number, Z, and possibly also resolving the mass, M, of such ions. Empirical relations between etch induction time and various experimental quantities are described, as is a simple theory of the cause of etch induction time, which can be related to experimental evidence on hand. There is reason to believe that etch induction time appears in other types of plastic track detectors and may indeed be a general phenomenon in all track detectors. (Auth.)

  2. Identification of charged particles by etching the solid state nuclear track detectors in successive intervals

    International Nuclear Information System (INIS)

    Randhawa, G.S.; Virk, H.S.

    1997-01-01

    The suitability of the method of charged particle identification by etching the samples in successive intervals developed by Grabez et al. has been checked in CR-39 exposed to heavy ions 238 U, 208 Pb, 197 Au and 132 Xe in the interval 11.0 to 17.0 MeV/u. A similar study has been made on soda glass detectors irradiated by 238 U, 132 Xe, 56 Fe and 48 Ti ions having energy 4.0 to 6.0 MeV/u. It is concluded that this method of particle identification can be used successfully in CR-39 and soda glass detectors. (author)

  3. Sources of error in etched-track radon measurements and a review of passive detectors using results from a series of radon intercomparisons

    International Nuclear Information System (INIS)

    Ibrahimi, Z.-F.; Howarth, C.B.; Miles, J.C.H.

    2009-01-01

    Etched-track passive radon detectors are a well established and apparently simple technology. As with any measurement system, there are multiple sources of uncertainty and potential for error. The authors discuss these as well as good quality assurance practices. Identification and assessment of sources of error is crucial to maintain high quality standards by a measurement laboratory. These sources can be found both within and outside the radon measurement laboratory itself. They can lead to changes in track characteristics and ultimately detector response to radon exposure. Changes don't just happen during etching, but can happen during the recording or counting of etched-tracks (for example ageing and fading effects on track sensitivity, or focus and image acquisition variables). Track overlap means the linearity of response of detectors will vary as exposure increases. The laboratory needs to correct the calibration curve due to this effect if it wishes to offer detectors that cover a range of exposures likely to be observed in the field. Extrapolation of results to estimate annual average concentrations also has uncertainty associated with it. Measurement systems need to be robust, reliable and stable. If a laboratory is not actively and constantly monitoring for anomalies via internal testing, the laboratory may not become aware of a problem until some form of external testing occurs, eg an accreditation process, performance test, interlaboratory comparison exercise or when a customer has cause to query results. Benchmark standards of accuracy and precision achievable with passive detectors are discussed drawing on trends from the series of intercomparison exercises for passive radon detectors which began in 1982, organised by the National Radiological Protection Board (NRPB), subsequently the Health Protection Agency (HPA).

  4. Fast neutron spectrometry based on proton detection in CR-39 detector

    Energy Technology Data Exchange (ETDEWEB)

    Dajko, G.; Somogyi, G.

    1986-01-01

    The authors have developed a home-made proton-sensitive CR-39 track detector called MA-ND/p. Using this and the n-p scattering process the performance of a fast neutron spectrometer has been studied by applying two different methods. These are based on track density determinations by using varying radiator thicknesses at constant etching time and by using varying etching times at fixed radiator thickness, respectively. For both methods studied a computer programme is made to calculate the theoretically expected neutron sensitivity as a function of neutron energy. For both methods the neutron sensitivities, expressed in terms of observable etched proton tracks per neutron, are determined experimentally for 3.3 and 14.7 MeV neutron energies. The theoretical and experimental data obtained are compared.

  5. Fast neutron spectrometry based on proton detection in CR-39 detector

    International Nuclear Information System (INIS)

    Dajko, G.; Somogyi, G.

    1986-01-01

    The authors have developed a home-made proton-sensitive CR-39 track detector called MA-ND/p. Using this and the n-p scattering process the performance of a fast neutron spectrometer has been studied by applying two different methods. These are based on track density determinations by using varying radiator thicknesses at constant etching time and by using varying etching times at fixed radiator thickness, respectively. For both methods studied a computer programme is made to calculate the theoretically expected neutron sensitivity as a function of neutron energy. For both methods the neutron sensitivities, expressed in terms of observable etched proton tracks per neutron, are determined experimentally for 3.3 and 14.7 MeV neutron energies. The theoretical and experimental data obtained are compared. (author)

  6. Development of a new approach to simulate a particle track under electrochemical etching in polymeric detectors

    International Nuclear Information System (INIS)

    Mostofizadeh, Ali; Huang, Yudong; Kardan, M. Reza; Babakhani, Asad; Sun Xiudong

    2012-01-01

    A numerical approach based on image processing was developed to simulate a particle track in a typical polymeric detector, e.g., polycarbonate, under electrochemical etching. The physical parameters such as applied voltage, detector thickness, track length, the radii of curvature at the tip of track, and the incidence angle of the particle were considered, and then the boundary condition of the problem was defined. A numerical method was developed to solve Laplace equation, and then the distribution of the applied voltage was obtained through the polymer volume. Subsequently, the electric field strengths in the detector elements were computed. In each step of the computation, an image processing technique was applied to convert the computed values to grayscale images. The results showed that a numerical solution to Laplace equation is dedicatedly an attractive approach to provide us the accurate values of electric field strength through the polymeric detector volume as well as the track area. According to the results, for a particular condition of the detector thickness equal to 445 μm, track length of 21 μm, the radii of 2.5 μm at track tip, the incidence angle of 90°, and the applied voltage of 2080 V, after computing Laplace equation for an extremely high population of 4000 × 4000 elements of detector, the average field strength at the tip of track was computed equal to 0.31 MV cm −1 which is in the range of dielectric strength for polymers. The results by our computation confirm Smythe’s model for estimating the ECE-tracks.

  7. Effect of neutron irradiation on etching, optical and structural properties of microscopic glass slide used as a solid state nuclear track detector

    International Nuclear Information System (INIS)

    Singh, Surinder; Kaur Sandhu, Amanpreet; Prasher, Sangeeta; Prakash Pandey, Om

    2007-01-01

    Microscopic glass slides are soda-lime glasses which are readily available and are easy to manufacture with low production cost. The application of these glasses as nuclear track detector will help us to make use of these glasses as solid-state nuclear track detector. The present paper describes the variation in the etching, optical and structural properties of the soda-lime microscopic glass slides due to neutron irradiation of different fluences. The color transformation and an increase in the optical absorption with neutron irradiation are observed. Both the bulk and track etch rates are found to increase with neutron fluence, thus showing a similar dependence on neutron fluence, but the sensitivity remains almost constant

  8. Processing of plastic track detectors

    International Nuclear Information System (INIS)

    Somogyi, G.

    1977-01-01

    A survey of some actual problems of the track processing methods available at this time for plastics is presented. In the case of the conventional chemical track-etching technique, mainly the etching situations related to detector geometry, and the relationship between registration sensitivity and the etching parameters are considered. Special attention is paid to the behaviour of track-revealing by means of electrochemical etching. Finally, some properties of a promising new track processing method based on graft polymerization are discussed. (author)

  9. Processing of plastic track detectors

    International Nuclear Information System (INIS)

    Somogyi, G.

    1976-01-01

    A survey of some actual problems of the track processing methods available at this time for plastics is presented. In the case of the conventional chemical track etching technique mainly the etching situations related to detector geometry and the relationship of registration sensitivity and the etching parameters are considered. A special attention is paid to the behaviour of track revealing by means of electrochemical etching. Finally, some properties of a promising new track processing method based on graft polymerization is discussed. (orig.) [de

  10. Measurement of radon and thoron present in the environment using nuclear track etch detector technique

    International Nuclear Information System (INIS)

    Ramachandran, T.V.; Lalit, B.Y.; Mishra, U.C.

    1986-01-01

    The use of solid state nuclear track detectors (SSNTD) is one of the most convenient techniques to assess the average radiation levels of alpha activities in the environment. This technique has been used to assess the radon and thoron concentrations in some high background areas of South India and underground non-uranium mines in Bihar State. Exposed SSNTD films are chemically etched in an alkali solution and the alpha tracks are evaluated under an optical microscope. The detailed procedure for this study and the calibration of the etched films for conversion of alpha track density to radon and thoron concentrations in pCi l -1 are given in this paper. It was found that 1.9 tracks cm -2 day -1 and 6.2 tracks cm -2 day -1 were produced by exposing the LR-115 foils to 1 pCi l -1 of thoron and radon respectively. (author)

  11. Optimize Etching Based Single Mode Fiber Optic Temperature Sensor

    OpenAIRE

    Ajay Kumar; Dr. Pramod Kumar

    2014-01-01

    This paper presents a description of etching process for fabrication single mode optical fiber sensors. The process of fabrication demonstrates an optimized etching based method to fabricate single mode fiber (SMF) optic sensors in specified constant time and temperature. We propose a single mode optical fiber based temperature sensor, where the temperature sensing region is obtained by etching its cladding diameter over small length to a critical value. It is observed that th...

  12. On the long standing question of nuclear track etch induction time: Surface-cap model

    International Nuclear Information System (INIS)

    Rana, Mukhtar Ahmed

    2008-01-01

    Using a systematic set of experiments, nuclear track etch induction time measurements in a widely used CR-39 detector were completed for accessible track-forming particles (fission fragments, 5.2 MeV alpha particles and 5.9 MeV antiprotons). Results of the present work are compared with appropriately selected published results. The possibility of the use of etch induction time for charged particle identification is evaluated. Analysis of experimental results along with the use of well-established theoretical concepts yielded a model about delay in the start of chemical etching of nuclear tracks. The suggested model proposes the formation of a surface-cap (top segment) in each nuclear track consisting of chemically modified material with almost same or even higher resistance to chemical etching compared with bulk material of the track detector. Existing track formation models are reviewed very briefly, which provide one of the two bases of the proposed model. The other basis of the model is the general behavior of hot or energised material having a connection with an environment containing a number of species like ordinary air. Another reason for the delay in the start of etching is suggested as the absence of localization of etching atoms/molecules, which is present during etching at depth along the latent track

  13. Solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Medeiros, J.A.; Carvalho, M.L.C.P. de

    1992-12-01

    Solid state nuclear track detectors (SSNTD) are dielectric materials, crystalline or vitreous, which registers tracks of charged nuclear particles, like alpha particles or fission fragments. Chemical etching of the detectors origin tracks that are visible at the optical microscope: track etching rate is higher along the latent track, where damage due to the charged particle increase the chemical potential, and etching rate giving rise to holes, the etched tracks. Fundamental principles are presented as well as some ideas of main applications. (author)

  14. Nuclear track evolution by capillary condensation during etching in SSNT detectors

    International Nuclear Information System (INIS)

    Martín-Landrove, R.; Sajo-Bohus, L.; Palacios, D.

    2013-01-01

    The microscopic process taking place during chemical etching is described in terms of a dynamic framework governed by capillary condensation. The aim is to obtain physical information on how the cone shaped tracks with curved walls evolve during chemical etching under a close examination of first principles. The results obtained with the proposed theory are compared with published values to establish their range of validity. - Highlights: ► Capillary condensation seems to play a role at early etched track evolution. ► The etched track shape and the first principles behind it are easily related. ► In spite of its simplicity, theory was able to pass stringent experimental tests. ► Theory results have a simple analytical form which includes etch induction time

  15. Radiation dosimetry for microbial experiments in the International Space Station using different etched track and luminescent detectors

    International Nuclear Information System (INIS)

    Goossens, O.; Vanhavere, F.; Leys, N.; De Boever, P.; O'Sullivan, D.; Zhou, D.; Spurny, F.; Yukihara, E. G.; Gaza, R.; McKeever, S. W. S.

    2006-01-01

    The laboratory of Microbiology at SCK.CEN, in collaboration with different universities, participates in several ESA programmes with bacterial experiments that are carried out in the International Space Station (ISS). The main objective of these programmes is to study the effects of space flight conditions such as microgravity and cosmic radiation on the general behaviour of model bacteria. To measure the radiation doses received by the bacteria, different detectors accompanied the microbiological experiments. The results obtained during two space flight missions are discussed. This dosimetry experiment was a collaboration between different institutes so that the doses could be estimated by different techniques. For measurement of the high linear energy transfer (LET) doses (>10 keV μm -1 ), two types of etched track detectors were used. The low LET part of the spectrum was measured by three types of thermoluminescent detectors ( 7 LiF:Mg,Ti; 7 LiF:Mg,Cu,P; Al 2 O 3 :C) and by the optically stimulated luminescence technique using Al 2 O 3 :C detectors. (authors)

  16. Nuclear track detector kit for use in teaching

    Energy Technology Data Exchange (ETDEWEB)

    Medveczky, L.; Somogyi, G.; Nagy, M.

    1986-01-01

    By the use of solid state nuclear track detectors (SSNTDs) one may carry out several useful and impressive educational experiments and demonstrations to illustrate different phenomena when teaching of nuclear physics. Realizing this situation the authors have published, since 1970, reports on several experiments for teaching demonstrations. Based on the authors instructions, a factory in Hungary (TANFRT, National Manufacturers and Suppliers of School Equipment, Budapest) constructed a kit for the use of nuclear track detectors in teaching. The portable kit contains the following items: alpha-emitting weak sources, solid state nuclear track detectors (unirradiated, irradiated, unetched and etched sheets), simple tools for carrying out experiments (facilities for irradiation and etching, etc.), slides showing photos of typical etch-tracks of light and heavy nuclei, user manual. By the help of the kit both pupils and teachers can perform various useful experiments and/or demonstrations.

  17. Microdosimetry for a carbon ion beam using track-etched detectors

    International Nuclear Information System (INIS)

    Ambrozova, I.; Ploc, O.; Davidkova, M.; Vondracek, V.; Sefl, M.; Stepan, V.; Pachnerova Brabcova, K.; Incerti, S.

    2015-01-01

    Track-etched detectors (TED) have been used as linear energy transfer (LET) spectrometers in heavy ion beams for many years. LET spectra and depth -dose distribution of a carbon ion beam were measured behind polymethylmethacrylate degraders at Heavy Ion Medical Accelerator in Chiba, Japan. The measurements were performed along monoenergetic beam with energy 290 MeV u -1 in different positions: (1) at beam extraction area, (2) at beginning, (3) maximum and (4) behind the Bragg peak region (0, 117, 147 and 151 mm of water-equivalent depth, respectively). The LET spectra inside and outside of the primary ion beam have been evaluated. TED record only heavy charged particles with LET above 8 -10 keV μm -1 , while electrons and ions with lower LET are not detected. The Geant4 simulation toolkit version 4.9.6.P01 has been used to estimate the contribution of non-detected particles to absorbed dose. Presented results demonstrate the applicability of TED for microdosimetry measurements in therapeutic carbon ion beams. (authors)

  18. Single Mode Optical Fiber based Refractive Index Sensor using Etched Cladding

    OpenAIRE

    Kumar, Ajay; Gupta, Geeta; Mallik, Arun; Bhatnagar, Anuj

    2011-01-01

    The use of optical fiber for sensor applications is a topic of current interest. We report the fabrication of etched single mode optical fiber based refractive index sensor. Experiments are performed to determine the etch rate of fiber in buffered hydrofluoric acid, which can be high or low depending upon the temperature at which etching is carried out. Controlled wet etching of fiber cladding is performed using these measurements and etched fiber region is tested for refractive index sensing...

  19. Nuclear track detector kit for use in teaching

    International Nuclear Information System (INIS)

    Medveczky, L.; Somogyi, G.

    1986-01-01

    By the use of solid state nuclear track detectors (SSNTDs) one may carry out several useful and impressive educational experiments and demonstrations to illustrate different phenomena when teaching of nuclear physics. Realizing this situation the authors have published, since 1970, reports on several experiments for teaching demonstrations. Based on the authors instructions, a factory in Hungary (TANFRT, National Manufacturers and Suppliers of School Equipment, Budapest) constructed a kit for the use of nuclear track detectors in teaching. The portable kit contains the following items: alpha-emitting weak sources, solid state nuclear track detectors (unirradiated, irradiated, unetched and etched sheets), simple tools for carrying out experiments (facilities for irradiation and etching, etc.), slides showing photos of typical etch-tracks of light and heavy nuclei, user manual. By the help of the kit both pupils and teachers can perform various useful experiments and/or demonstrations. (author)

  20. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  1. Method of plasma etching Ga-based compound semiconductors

    Science.gov (United States)

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  2. Comparison of neutron dose measured by Albedo TLD and etched tracks detector at PNC plutonium fuel facilities

    International Nuclear Information System (INIS)

    Tsujimura, N.; Momose, T.; Shinohara, K.; Ishiguro, H.

    1996-01-01

    Power Reactor and Nuclear Fuel Development Corporation (PNC) has fabricated Plutonium and Uranium Mixed OXide (MOX) fuel for FBR MONJU at Tokai works. In this site, PNC/Panasonic albedo TLDs/1/ are used for personnel neutron monitoring. And a part of workers wore Etched Tracks Detector (ETD) combined with TLD in order to check the accuracy of the neutron dose estimated by albedo TLD. In this paper, the neutron dose measured by TLD and ETD in the routine monitoring is compared at PNC plutonium fuel facilities. (author)

  3. Study of α-energy discrimination in CR-39 track etch detectors for use as a radon/thoron dosemeter

    International Nuclear Information System (INIS)

    Kandaiya, S.; Al-Najjar, S.A.R.; Piesch, E.

    1988-01-01

    The properties of CR 39 nuclear track detectors were evaluated for their α-energy discrimination up to 8.77 MeV using a combination of chemical-electrochemical track revealing techniques. Using three field strengths, α-energy discrimination by ECE track diameter and track density as a function of chemical pre-etching time were studied. α-energy spectra using different irradiation geometries were then evaluated using the optimum conditions and then compared with those obtained form other techniques. (author)

  4. Field calibration of PADC track etch detectors for local neutron dosimetry in man using different radiation qualities

    Energy Technology Data Exchange (ETDEWEB)

    Haelg, Roger A., E-mail: rhaelg@phys.ethz.ch [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Besserer, Juergen [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Boschung, Markus; Mayer, Sabine [Division for Radiation Safety and Security, Paul Scherrer Institut, CH-5232 Villigen (Switzerland); Clasie, Benjamin [Department of Radiation Oncology, Massachusetts General Hospital, 30 Fruit Street, Boston, MA 02114 (United States); Kry, Stephen F. [Department of Radiation Physics, The University of Texas M.D. Anderson Cancer Center, 1515 Holcombe Blvd., Houston, TX 77030 (United States); Schneider, Uwe [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Vetsuisse Faculty, University of Zurich, Winterthurerstrasse 204, CH-8057 Zurich (Switzerland)

    2012-12-01

    In order to quantify the dose from neutrons to a patient for contemporary radiation treatment techniques, measurements inside phantoms, representing the patient, are necessary. Published reports on neutron dose measurements cover measurements performed free in air or on the surface of phantoms and the doses are expressed in terms of personal dose equivalent or ambient dose equivalent. This study focuses on measurements of local neutron doses inside a radiotherapy phantom and presents a field calibration procedure for PADC track etch detectors. An initial absolute calibration factor in terms of H{sub p}(10) for personal dosimetry is converted into neutron dose equivalent and additional calibration factors are derived to account for the spectral changes in the neutron fluence for different radiation therapy beam qualities and depths in the phantom. The neutron spectra used for the calculation of the calibration factors are determined in different depths by Monte Carlo simulations for the investigated radiation qualities. These spectra are used together with the energy dependent response function of the PADC detectors to account for the spectral changes in the neutron fluence. The resulting total calibration factors are 0.76 for a photon beam (in- and out-of-field), 1.00 (in-field) and 0.84 (out-of-field) for an active proton beam and 1.05 (in-field) and 0.91 (out-of-field) for a passive proton beam, respectively. The uncertainty for neutron dose measurements using this field calibration method is less than 40%. The extended calibration procedure presented in this work showed that it is possible to use PADC track etch detectors for measurements of local neutron dose equivalent inside anthropomorphic phantoms by accounting for spectral changes in the neutron fluence.

  5. Direct determination of bulk etching rate for LR-115-II solid state ...

    Indian Academy of Sciences (India)

    The thickness of the removed layer of the LR-115-II solid state nuclear track detector during etching is measured directly with a rather precise instrument. Dependence of bulk etching rate on temperature of the etching solution is investigated. It has been found that the bulk etching rate is 3.2 m/h at 60°C in 2.5 N NaOH of ...

  6. Study of the characteristics of ionizing particles record of CR-39 track detectors

    International Nuclear Information System (INIS)

    Brandao, Luis Eduardo Barreira

    1983-01-01

    The bulk and track etching proprieties of a new Solid State Nuclear Track Detector CR-39 were investigated under different etching conditions. The discussion is based on results obtained using aqueous solutions of KOH with addition of alcoholic solvent to aqueous solutions. It was found that track registration sensitivity can be dramatically changed by using the proper chemical treatment. A method to enlarge and dye etch tracks to be viewed by simple projection on a screen is discussed. The applications of CR-39 in neutron fluence measurements are shown. Graphs are presented of the densities of the registered traces by the detector as a function of etch time both for samples with and without a polycarbonate radiator. (author)

  7. Characterization of saturation of CR-39 detector at high alpha-particle fluence

    Directory of Open Access Journals (Sweden)

    M. El Ghazaly

    2018-04-01

    Full Text Available The occurrence of saturation in the CR-39 detector reduces and limits its detection dynamic range; nevertheless, this range could be extended using spectroscopic techniques and by measuring the net bulk rate of the saturated CR-39 detector surface. CR-39 detectors were irradiated by 1.5 MeV high alpha-particle fluence varying from 0.06 × 108 to 7.36 × 108 alphas/cm2 from Am-241 source; thereafter, they were etched in a 6.25N NaOH solution at a temperature of 70°C for different durations. Net bulk etch rate measurement of the 1.5 MeV alpha-irradiated CR-39 detector surface revealed that rate increases with increasing etching time and reaches its maximum value at the end of the alpha-particle range. It is also correlated with the alpha-particle fluence. The measurements of UV–Visible (UV–Vis absorbance at 500 and 600 nm reveal that the absorbance is linearly correlated with the fluence of alpha particles at the etching times of 2 and 4 hour. For extended etching times of 6, 10, and 14.5 hour, the absorbance is saturated for fluence values of 4.05 × 108, 5.30 × 108, and 7.36 × 108 alphas/cm2. These new methods pave the way to extend the dynamic range of polymer-based solid state nuclear track detectors (SSNTDs in measurement of high fluence of heavy ions as well as in radiation dosimetry. Keywords: Alpha Particle, Bulk Etch Rate, CR-39 Detector, Saturated Regime, UV–Vis Spectroscopy

  8. Registration of alpha particles in Makrofol-E nuclear track detectors

    Energy Technology Data Exchange (ETDEWEB)

    Rammah, Y.S. [Physics Department, Faculty of Science, Menoufia University, Shebin El-Koom (Egypt); Abdalla, Ayman M., E-mail: aymanabdalla62@hotmail.com [Physics Department, Faculty of Sciences and Arts, Najran University, P. O. Box. 11001, Najran (Saudi Arabia); Promising Centre for Sensors and Electronic Devices, Faculty of Arts and Sciences, Najran University (Saudi Arabia); Ashraf, O., E-mail: osama.ashraf@edu.asu.edu.eg [Physics Department, Faculty of Education, Ain Shams University, Cairo 11575 (Egypt); Ashry, A.H. [Physics Department, Faculty of Education, Ain Shams University, Cairo 11575 (Egypt)

    2016-06-15

    Highlights: • Makrofol-E detectors have been irradiated with alpha particles and fission fragments. • Fast detection of alpha particles in Makrofol-E detectors. • Bulk etching rate was calculated from fission track diameters. - Abstract: Fast detection of alpha particles in the range from 1 to 5 MeV in Makrofol-E polycarbonate nuclear track detectors (PCTDs) using a new chemical etchant was investigated. {sup 252}Cf and {sup 241}Am-thin open sources were used for irradiating Makrofol-E detectors with fission fragments and alpha particles in air at normal pressure and temperature (NPT). A chain of experimental work has been carried out using new etchants to register alpha particle in short time in Makrofol-E polycarbonate detectors. The etching efficiency were exhibited a clear dependence on the amount of methanol in the etching solution and etching time. The optimized chemical condition obtained at this stage of development for 200 μm Makrofol-E detectors are (8 ml of 10 N NaOH + 2 ml CH{sub 3}OH) etching solutions at 60 °C for 3 h. In this study; it is possible to observe energy detection windows for Makrofol-E detectors according to applied etching duration. Makrofol-E introduced the characteristic Bragg peak, which indicates the advantages of this detector as alpha spectrometer. Consequently, the suggested new etchant can be developed for heavy ions detection and monitoring radon levels and its daughters.

  9. Effects of high neutron doses and duration of the chemical etching on the optical properties of CR-39

    International Nuclear Information System (INIS)

    Sahoo, G.S.; Tripathy, S.P.; Paul, S.; Sharma, S.C.; Joshi, D.S.; Gupta, A.K.; Bandyopadhyay, T.

    2015-01-01

    Effects of the duration of chemical etching on the transmittance, absorbance and optical band gap width of the CR-39 (Polyallyl diglycol carbonate) detectors irradiated to high neutron doses (12.7, 22.1, 36.0 and 43.5 Sv) were studied. The neutrons were produced by bombardment of a thick Be target with 12 MeV protons of different fluences. The unirradiated and neutron-irradiated CR-39 detectors were subjected to a stepwise chemical etching at 1 h intervals. After each step, the transmission spectra of the detectors were recorded in the range from 200 to 900 nm, and the absorbances and optical band gap widths were determined. The effect of the etching on the light transmittance of unirradiated detectors was insignificant, whereas it was very significant in the case of the irradiated detectors. The dependence of the optical absorbance on the neutron dose is linear at short etching periods, but exponential at longer ones. The optical band gap narrows with increasing etching time. It is more significant for the irradiated dosimeters than for the unirradiated ones. The rate of the narrowing of the optical band gap with increasing neutron dose increases with increasing duration of the etching. - Highlights: • The variation of optical properties of CR-39 at very high neutron dose is analyzed. Etching process is found to play a crucial role for change in optical properties of neutron-irradiated CR-39. • The optical absorbance varies linearly at lower dose, at very high dose absorbance saturation occurs. The dose at which saturation absorbance is observed shifts towards lower neutron dose with increase in etching time. • The rate of decrease in optical band gap with respect to neutron dose is found to be more at higher etching durations

  10. Analysis of InP-based single photon avalanche diodes based on a single recess-etching process

    Science.gov (United States)

    Lee, Kiwon

    2018-04-01

    Effects of the different etching techniques have been investigated by analyzing electrical and optical characteristics of two-types of single-diffused single photon avalanche diodes (SPADs). The fabricated two-types of SPADs have no diffusion depth variation by using a single diffusion process at the same time. The dry-etched SPADs show higher temperature dependence of a breakdown voltage, larger dark-count-rate (DCR), and lower photon-detection-efficiency (PDE) than those of the wet-etched SPADs due to plasma-induced damage of dry-etching process. The results show that the dry etching damages can more significantly affect the performance of the SPADs based on a single recess-etching process.

  11. An energy and direction independent fast neutron dosemeter based on electrochemically etched CR-39 nuclear track detectors

    International Nuclear Information System (INIS)

    James, K.; Matiullah; Durrani, S.A.

    1987-01-01

    A computer-based model is presented, which simulates the dose equivalent response of electrochemically etched CR-39 to fast neutrons of various energies and angles of incidence. Most previous calculations of the response of CR-39 have neglected the production of recoiling oxygen and carbon nuclei as well as α particles in the CR-39. We calculate that these 'heavy recoils' and α particles are the major source of electrochemically etchable tracks in bare CR-39 at neutron energies above approx. 2 MeV under typical etching conditions. Our calculations have been extended to predict the response of CR-39 used in conjunction with various combinations of polymeric front radiators and we have determined the radiator stack configuration with produces the most energy independent response. Again, the heavy recoils and α particles cannot be neglected and, for energies above approx. 2 MeV, these produce typically about 20% of the total response of our optimum stack. This type of fast neutron dosemeter is, however, strongly direction dependent. We have integrated the response over all appropriate angles to predict the dose equivalent response for two representative neutron fields, and we suggest a method for minimising the angular dependence. (author)

  12. Interrelated temperature dependence of bulk etch rate and track length saturation time in CR-39 detector

    International Nuclear Information System (INIS)

    Azooz, A.A.; Al-Jubbori, M.A.

    2013-01-01

    Highlights: • New empirical parameterization of CR-39 bulk etch rate. • Bulk etch rates measurements using two different methods give consistent results. • Temperature independence of track saturation length. • Two empirical relation between bulk etch rate and temperature are suggested. • Simple inverse relation between bulk etch rate and track saturation time. -- Abstract: Experimental measurements of the etching solution temperature dependence of bulk etch rate using two independent methods revealed a few interesting properties. It is found that while the track saturation length is independent of etching temperature, the etching time needed to reach saturation is strongly temperature-dependent. It is demonstrated that there is systematic simple inverse relation between track saturation time, and etching solution temperature. In addition, and although, the relation between the bulk etch rate and etching solution temperature can be reasonably described by a modified form of the Arrhenius equation, better fits can be obtained by another equation suggested in this work

  13. Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    International Nuclear Information System (INIS)

    Li Yongliang; Xu Qiuxia

    2010-01-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 0 C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N 2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case. (semiconductor technology)

  14. 3D computation of the shape of etched tracks in CR-39 for oblique particle incidence and comparison with experimental results

    International Nuclear Information System (INIS)

    Doerschel, B.; Hermsdorf, D.; Reichelt, U.; Starke, S.; Wang, Y.

    2003-01-01

    Computation of the shape of etch pits needs to know the varying track etch rate along the particle trajectories. Experiments with alpha particles and 7 Li ions entering CR-39 detectors under different angles showed that this function is not affected by the inclination of the particle trajectory with respect to the normal on the detector surface. Track formation for oblique particle incidence can, therefore, be simulated using the track etch rates determined for perpendicular incidence. 3D computation of the track shape was performed applying a model recently described in literature. A special program has been written for computing the x,y,z coordinates of points on the etch pit walls. In addition, the etch pit profiles in sagittal sections as well as the contours of the etch pit openings on the detector surface have been determined experimentally. Computed and experimental results were in good agreement confirming the applicability of the 3D computational model in combination with the functions for the depth-dependent track etch rates determined experimentally

  15. Response of cellulose nitrate track detectors to electron doses

    CERN Document Server

    Segovia, N; Moreno, A; Vazquez-Polo, G; Santamaría, T; Aranda, P; Hernández, A

    1999-01-01

    In order to study alternative dose determination methods, the bulk etching velocity and the latent track annealing of LR 115 track detectors was studied during electron irradiation runs from a Pelletron accelerator. For this purpose alpha irradiated and blank detectors were exposed to increasing electron doses from 10.5 to 317.5 kGy. After the irradiation with electrons the detectors were etched under routine conditions, except for the etching time, that was varied for each electron dose in order to reach a fixed residual thickness. The variation of the bulk etching velocity as a function of each one of the electron doses supplied, was interpolated in order to obtain dosimetric response curves. The observed annealing effect on the latent tracks is discussed as a function of the total electron doses supplied and the temperature.

  16. Fast neutron detection using solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Vilela, E.C.

    1990-01-01

    CR-39 and Makrofol-E solid state nuclear track detectors were studied aiming their application to fast neutron detection. Optimum etching conditions of those two kinds of materials were determined the followings - the Makrofol-E detector is electrochemically etched in a PEW solution (15% KOH, 40% ethilic alcohol and 45% water) for 2 h., with an applied electric field strength of 30 kV/cm (r/m/s/) and frequency of 2 kHz, at room temperature; - the CR-39 detector is chemically pre-etched during 1 h in a 20% (w/v) NaOH solution at 70 sup(0)C, followed by 13 h electrochemical etch using the same solution at room temperature and an electric field strength of 30 kV/cm (r.m.s.) and frequency of 2 kHz.(E.G.)

  17. Long-term measurements of equilibrium factor with electrochemically etched CR-39 SSNTD

    International Nuclear Information System (INIS)

    Ng, F.M.F.; Nikezic, D.; Yu, K.N.

    2007-01-01

    Recently, our group proposed a method (proxy equilibrium factor method) using a bare LR 115 detector for long-term monitoring of the equilibrium factor. Due to the presence of an upper alpha-particle energy threshold for track formation in the LR 115 detector, the partial sensitivities to 222 Rn, 218 Po and 214 Po were the same, which made possible measurements of a proxy equilibrium factor F p that was well correlated with the equilibrium factor. In the present work, the method is extended to CR-39 detectors which have better-controlled etching properties but do not have an upper energy threshold. An exposed bare CR-39 detector is first pre-etched in 6.25 N NaOH solution at 70 o C for 6 h, and then etched electrochemically in a 6.25 N NaOH solution with ac voltage of 400 V (peak to peak) and 5 kHz applied across the detectors for 1 h at room temperature. Under these conditions, for tracks corresponding to incident angles larger than or equal to 50 deg., the treeing efficiency is 0% and 100% for incident energies smaller than and larger than 4 MeV, respectively. A simple method is then proposed to obtain the total number of tracks formed below the upper energy threshold of 4 MeV, from which the proxy equilibrium factor method can apply

  18. Nitride-based Schottky diodes and HFETs fabricated by photo-enhanced chemical wet etching

    International Nuclear Information System (INIS)

    Su, Y.K.; Chang, S.J.; Kuan, T.M.; Ko, C.H.; Webb, J.B.; Lan, W.H.; Cherng, Y.T.; Chen, S.C.

    2004-01-01

    Photo-enhanced chemical (PEC) wet etching technology was used to etch GaN and AlGaN epitaxial layers. It was found that the maximum etch rates were 510, 1960, 300, and 0 nm/mm for GaN, Al 0.175 Ga 0.825 N, Al 0.23 Ga 0.77 N, and Al 0.4 Ga 0.6 N, respectively. It was also found that we could achieve a high Al 0.175 Ga 0.825 N to GaN etch rate ratio of 12.6. Nitride-based Schottky diodes and heterostructure field effect transistors (HFETs) were also fabricated by PEC wet etching. It was found that we could achieve a saturated I D larger than 850 mA/mm and a maximum g m about 163 mS/mm from PEC wet etched HFET with a 0.5 μm gate length. Compared with dry etched devices, the leakage currents observed from the PEC wet etched devices were also found to be smaller

  19. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  20. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    International Nuclear Information System (INIS)

    Souto, E.B.; Campos, L.L.

    2006-01-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm 2 of the polycarbonate were irradiated with 5 mSv of fast neutrons ( 241 Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H 2 O, 40% C 2 H 5 OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in the plateau to avoid that small

  1. NEW LENSLET BASED IFS WITH HIGH DETECTOR PIXEL EFFICIENCY

    Science.gov (United States)

    Gong, Qian

    2018-01-01

    Three IFS types currently used for optical design are: lenslet array, imager slicer, and lenslet array and fiber combined. Lenslet array based Integral Field Spectroscopy (IFS) is very popular for many astrophysics applications due to its compactness, simplicity, as well as cost and mass savings. The disadvantage of lenslet based IFS is its low detector pixel efficiency. Enough spacing is needed between adjacent spectral traces in cross dispersion direction to avoid wavelength cross-talk, because the same wavelength is not aligned to the same column on detector. Such as on a recent exoplanet coronagraph instrument study to support the coming astrophysics decadal survey (LUVOIR), to cover a 45 λ/D Field of View (FOV) with a spectral resolving power of 200 at shortest wavelength, a 4k x 4k detector array is needed. This large format EMCCD pushes the detector into technology development area with a low TRL. Besides the future mission, it will help WFIRST coronagraph IFS by packing all spectra into a smaller area on detector, which will reduce the chance for electrons to be trapped in pixels, and slow the detector degradation during the mission.The innovation we propose here is to increase the detector packing efficiency by grouping a number of lenslets together to form many mini slits. In other words, a number of spots (Point Spread Function at lenslet focus) are aligned into a line to resemble a mini slit. Therefore, wavelength cross-talk is no longer a concern anymore. This combines the advantage of lenslet array and imager slicer together. The isolation rows between spectral traces in cross dispersion direction can be reduced or removed. So the packing efficiency is greatly increased. Furthermore, the today’s microlithography and etching technique is capable of making such a lenslet array, which will relax the detector demand significantly. It will finally contribute to the habitable exoplanets study to analyzing their spectra from direct images. Detailed theory

  2. The use of track-etch detectors for assessing radon concentrations

    International Nuclear Information System (INIS)

    Kendall, G.M.

    2002-01-01

    The author describes the track-etch dosimetry system used by the National Radiological Protection Board for measuring radon concentrations in dwellings and in workplaces. It also considers the criteria which should be satisfied by an ideal radon dosimetry system aimed at large-scale assessments of the risk from inhalation of radon decay products in room air. The present NRPB track-etch dosimetry system is matched against the requirements of such and ideal system. There is a brief description of the role of screening measurements designed to show whether there are dangerous levels of radon decay products in a house without giving an accurate assessment of their true long-term average concentration

  3. Effect of gamma irradiation on the etching properties of Lexan and Makrofol-DE polycarbonate plastics

    International Nuclear Information System (INIS)

    Ashok Kumar; Jain, R.K.; Praveen Yadav; Chakraborty, R.N.; Singh, B.K.; Nayak, B.K.

    2013-01-01

    It is observed that for Lexan and Makrofol-DE polycarbonate plastic detectors the mean diameters of fission fragments from a 252 Cf source increases as a result of gamma-ray exposure. We have studied the bulk etching rate and track etching rate before and after gamma-ray irradiation on Lexan and Makrofol-DE polycarbonate plastics. The mechanism of Lexan and Makrofol-DE polycarbonate plastic detectors can be understood with the help of this exposures. It is also noted that degree of ordering of Lexan and Makrofol-DE polycarbonate is dependent on the gamma ray dose due to degradation and cross-linking processes. The results show that bulk and track etch rate increases with gamma dose while activation energy associated with bulk and track etch rates at a particular temperature and sensitivity decreases with gamma dose. (author)

  4. A comparison of etched-geometry and overgrown silicon permeable base transistors by two-dimensional numerical simulations

    Science.gov (United States)

    Vojak, B. A.; Alley, G. D.

    1983-08-01

    Two-dimensional numerical simulations are used to compare etched geometry and overgrown Si permeable base transistors (PTBs), considering both the etched collector and etched emitter biasing conditions made possible by the asymmetry of the etched structure. In PTB devices, the two-dimensional nature of the depletion region near the Schottky contact base grating results in a smaller electron barrier and, therefore, a larger collector current in the etched than in the overgrown structure. The parasitic feedback effects which result at high base-to-emitter bias levels lead to a deviation from the square-law behavior found in the collector characteristics of the overgrown PBT. These structures also have lower device capacitances and smaller transconductances at high base-to-emitter voltages. As a result, overgrown and etched structures have comparable predicted maximum values of the small signal unity short-circuit current gain frequency and maximum oscillation frequency.

  5. HgI{sub 2} detector fabrication; Construccion de detectores de HgI{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, M.; Perez, J. M.

    1996-07-01

    The aim of the present work is to describe the steps followed to fabricate an ionizing radiation detector based on synthetic mercuric iodide monocrystal layers. Firstly, the crystalline orientation method has been describe, which is needed before the layer cutting perpendicularly to the (001) crystallographic. It is also defined the proceeding to crystal face finishing by a mechanical polishing and subsequent chemical etching. then, the metal electrode deposition and the view connection has been explained. Finally, the technique followed to encapsulate the detector with a polymeric thin film deposition has been described. (Author) 10 refs.

  6. Radially Polarized Conical Beam from an Embedded Etched Fiber

    OpenAIRE

    Kalaidji , D.; Spajer , M.; Marthouret , N.; Grosjean , T.

    2009-01-01

    International audience; We propose a method for producing a conical beam based on the lateral refraction of the TM01 mode from a two-mode fiber after chemical etching of the cladding, and for controlling its radial polarization. The whole power of the guided mode is transferred to the refracted beam with low diffraction. Polarization control by a series of azimuthal detectors and a stress controller affords the transmission of a stabilized radial polarization through an optical fiber. A solid...

  7. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  8. A spatial track formation model and its use for calculating etch-pit parameters of light nuclei

    International Nuclear Information System (INIS)

    Somogyi, G.; Scherzer, R.; Grabisch, K.; Enge, W.

    1976-01-01

    A generalized geometrical model of etch-pit formation in three dimensions is presented for nuclear particles entering isotropic solids at arbitrary angles of incidence. With this model one can calculate the relations between any particle parameter /Z = charge, M = mass, R = range, theta = angle of incidence/ and etching or track parameter /h = removed detector layer, L = track length, d = track diameter, etch-pit profile and contour/ for track etching rates varying monotonically along the trajectory of particles. Using a computer algorithm, calculations have been performed to study identification problems of nuclei of Z = 1-8 registered in a stack of polycarbonate sheets. For these calculations the etching rate ratio vs residual range curves were parametrized with a form of V -1 (R) = 1-Σasub(i) exp (- bsub(i)R) which does not involve the existence of a threshold for track registration. Particular attention was paid to the study of the evolution of etch-pit sizes for relatively high values of h. For this case, data are presented for the charge and isotope resolving power of the identification methods based on the relations L(R) of d(R). Calculations were also made to show the effect of the relative /parallel and opposite/ orientations between the directions of track etching and particle speed on etch-pit evolution. These studies offered new identification methods based on the determination of the curves L(parallel) vs L(opposite) and d(parallel) vs d(opposite), respectively. (orig.) [de

  9. The influence of chemical etching time on efficiency of radon detection using CR-39

    Energy Technology Data Exchange (ETDEWEB)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C., E-mail: adrireway@hotmail.com, E-mail: jaquelinekappke@gmail.com, E-mail: daninarloch@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Fisica; Del Claro, Flavia; Paschuk, Sergei A., E-mail: flaviadelclaro@gmail.com, E-mail: spaschuk@gmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Programa de Pos-Graduaca em Engenharia Eletrica e Informatica Industrial; Correa, Janine N., E-mail: janine_nicolosi@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Construcao Civil

    2015-07-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of {sup 222}Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of {sup 222}Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  10. The influence of chemical etching time on efficiency of radon detection using CR-39

    International Nuclear Information System (INIS)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C.; Del Claro, Flavia; Paschuk, Sergei A.; Correa, Janine N.

    2015-01-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of 222 Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of 222 Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  11. An XPS study of bromine in methanol etching and hydrogen peroxide passivation treatments for cadmium zinc telluride radiation detectors

    International Nuclear Information System (INIS)

    Babar, S.; Sellin, P.J.; Watts, J.F.; Baker, M.A.

    2013-01-01

    Highlights: ► CdZnTe single crystal etched in bromine-in-methanol and passivated in H 2 O 2 . ► XPS depth used to accurately determine enriched Te layer and TeO 2 thickness. ► For 0.2 and 2.0 (v/v) % bromine-in-methanol treatments, enriched Te layer thickness determined to be 1.3 and 1.8 nm, respectively. ► After passivation in 30 wt.% H 2 O 2 , the oxide thickness varies between 1.0 and 1.25 nm depending on the calculation method. - Abstract: The performance of single crystal CdZnTe radiation detectors is dependent on both the bulk and the surface properties of the material. After single crystal fabrication and mechanical polishing, modification of the surface to remove damage and reduce the surface leakage current is generally achieved through chemical etching followed by a passivation treatment. In this work, CdZnTe single crystals have been chemically etched using a bromine in methanol (BM) treatment. The BM concentrations employed were 0.2 and 2.0 (v/v) % and exposure times varied between 5 and 120 s. Angle resolved XPS and sputter depth profiling has been employed to characterize the surfaces for the different exposure conditions. A Te rich surface layer was formed for all exposures and the layer thickness was found to be independent of exposure time. The enriched Te layer thickness was accurately determined by calibrating the sputter rate against a CdTe layer of known thickness. For BM concentrations of 0.2 (v/v) % and 2 (v/v) %, the Te layer thickness was determined to be 1.3 ± 0.2 and 1.8 ± 0.2 nm, respectively. The BM etched surfaces have subsequently been passivated in a 30 wt.% H 2 O 2 solution employing exposure time of 15 s. The oxide layer thickness has been calculated using two standard XPS methodologies, based on the Beer–Lambert expression. The TeO 2 thickness calculated from ARXPS data are slightly higher than the thickness obtained by the simplified Beer–Lambert expression. For BM exposures of 30–120 s followed by a passivation

  12. HgI2 detector fabrication

    International Nuclear Information System (INIS)

    Gonzalez, M.; Perez, J. M.

    1996-01-01

    The aim of the present work is to describe the steps followed to fabricate an ionizing radiation detector based on synthetic mercuric iodide monocrystal layers. Firstly, the crystalline orientation method has been describe, which is needed before the layer cutting perpendicularly to the (001) crystallographic. It is also defined the proceeding to crystal face finishing by a mechanical polishing and subsequent chemical etching. then, the metal electrode deposition and the view connection has been explained. Finally, the technique followed to encapsulate the detector with a polymeric thin film deposition has been described. (Author) 10 refs

  13. HgI2 detector fabrication

    International Nuclear Information System (INIS)

    Gonzalez, M.; Perez, J.M.

    1996-01-01

    The aim of the present work is to describe the steps followed to fabricate an ionizing radiation detector based on synthetic mercuric iodide monocrystal layers. Firstly, the crystalline orientation method has been describe, which is needed before the layer cutting perpendicullarly to the (001) crystallographyc. It is also defined the proceeding to crystal face finishing by a mechanical polishing and subsequent chemical etching. Then, the metal electrode deposition and the wire connection has been explained. Finally, the technique followed to encapsulate the detector with a polimeric thin film deposition has been described

  14. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  15. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  16. Track-etch detection of radon in soils

    International Nuclear Information System (INIS)

    Cervantes Gonzales, P.; Gonzalez, D.

    1990-01-01

    In this work it is described the methodology to apply the track-etch technique, using detectors of nitrocellulose LR-115, for the detection of radon in soil. It is supported the use of the new detector carries and determined the parameters for revealing and counting of tracks in our conditions. It is shown in a preliminary way that this method gives better possibilities for analysis than another traditional technique to radon detection. The existence of radon was determined in the test zone. 15 refs

  17. Hydrogen iodide-based dry etching of GaAs, InP, and related compounds

    International Nuclear Information System (INIS)

    Pearton, S.J.; Chakrabarti, U.K.; Hobson, W.S.; Abernathy, C.R.; Katz, A.; Ren, F.; Fullowan, T.R.; Perley, A.P.

    1992-01-01

    In this paper HI/H 2 /Ar discharges are shown to be universal etchants for III-V semiconductors, giving rise to highly anisotropic features with smooth surface morphologies. At low dc Self bias (-V) and low pressure (1 mTorr), etch rates for all III-V materials of >2000 Angstrom · min -1 are possible for high HI percentages in the discharges, whereas rates greater than 1 μm · min -1 are obtained at higher pressures and dc biases. These etch rates are approximately an order of magnitude faster than for CH 4 /H 2 Ar mixtures under the same conditions and there is no polymer deposition on the mask or within the reactor chamber with HI/H 2 /Ar. Auger electron spectroscopy reveals residue-free, stoichiometric surfaces after dry etching in this mixture. As a result, photoluminescent intensities from dry etched samples remain high with little apparent damage introduction. Changes in the near-surface carrier concentration due to hydrogen passivation effects are also negligible with HI-based mixtures in comparison to CH 4 -based dry etching

  18. Measurement of the variable track-etch rate of hydrogen, carbon and oxygen Ions in CR-39

    International Nuclear Information System (INIS)

    Lengar, I.; Skvarc, J.; Ilic, R.

    2003-01-01

    The ratio of the track-etch rate to the bulk-etch rate for hydrogen, carbon and oxygen ions was studied for the CR-39 detector with addition of dioctylphthalate. The response was reconstructed from etch-pit growth curves obtained by the multi-step etching technique. A theoretical analysis of the correctness of the method due to the 'missing track segment' is assessed and utilisation of the results obtained for the calibration of fast neutron dosimetry is discussed. (author)

  19. Bulk etch rate of LR-115 cellulose nitrate film

    International Nuclear Information System (INIS)

    Harris, M.J.; Schlenker, R.A.

    1977-01-01

    Bulk etch rate (V/sub b/) of Kodak LR-115 cellulose nitrate film has been studied, and values for the parameter are presented. An interesting variability of V/sub b/ has been found which has implications for microdosimetry using this type of integrating nuclear track detector. Short-term and longer-term thickness changes have been observed which may increase the uncertainty in estimations of dose using this type of detector

  20. Radially polarized conical beam from an embedded etched fiber.

    Science.gov (United States)

    Kalaidji, Djamel; Spajer, Michel; Marthouret, Nadège; Grosjean, Thierry

    2009-06-15

    We propose a method for producing a conical beam based on the lateral refraction of the TM(01) mode from a two-mode fiber after chemical etching of the cladding, and for controlling its radial polarization. The whole power of the guided mode is transferred to the refracted beam with low diffraction. Polarization control by a series of azimuthal detectors and a stress controller affords the transmission of a stabilized radial polarization through an optical fiber. A solid component usable for many applications has been obtained.

  1. A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation

    International Nuclear Information System (INIS)

    Roozeboom, F; Kniknie, B; Lankhorst, A M; Winands, G; Knaapen, R; Smets, M; Poodt, P; Dingemans, G; Keuning, W; Kessels, W M M

    2012-01-01

    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF 6 to form gaseous SiF x etch products, and 2) passivation with C 4 F 8 that polymerizes as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. In this work we report on a novel alternative and disruptive technology concept of Spatially-divided Deep Reactive Ion Etching, S-DRIE, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by inert gas bearing 'curtains' of heights down to ∼20 μm. These curtains confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to the overall cycle. A second improvement in the spatially divided approach is the replacement of the CVD-based C 4 F 8 passivation steps by ALD-based oxide (e.g. SiO 2 ) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D interconnects (TSVs), MEMS manufacturing and advanced patterning, e.g., in nanoscale transistor line edge roughness using Atomic Layer Etching.

  2. Method to improve the evaluation of a combination track-etch dosimeter/spectrometer

    International Nuclear Information System (INIS)

    Brackenbush, L.W.; Parkhurst, M.A.; Hadlock, D.E.; Faust, L.G.

    1983-09-01

    A paper is summarized which describes a method of determining the neutron energy spectrum through spectrum unfolding techniques to more accurately assess the dose equivalent from track-etch dosimeters. A mathematical technique is described which can be used in conjunction with the neutron detectors to more accurately estimate neutron dose equivalent. The technique is based upon solutions to a system of Fredholm integral equations of the first type

  3. Beam profile measurement with CR-39 track detector for low-energy ions

    CERN Document Server

    Sato, F; Tanaka, T; Iida, T; Yamauchi, T; Oda, K

    1999-01-01

    A CR-39 track detector was successfully used to measure the outline of thin low-energy ion beams. After the etching, the surface of the detector was examined with an observation system composed of a Normarski microscope, a CCD camera and a digital image processing computer. Beam images obtained with the system were in good agreement on the outline of the beam formed with a beam aperture. Also, the resolving power in the beam outline measurement was roughly explained from the consideration of the ion range and the etch-pit growth in the chemical etching for the CR-39 detector.

  4. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    Energy Technology Data Exchange (ETDEWEB)

    Souto, E.B.; Campos, L.L. [Instituto de Pesquisas Energeticas e Nucleares, IPEN- CNEN/SP Radiation Metrology Center (CMR) Av. Prof. Lineu Prestes, 2242 CEP: 05508-000 Sao Paulo - SP (Brazil)]. e-mail: ebsouto@ipen.br

    2006-07-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm{sup 2} of the polycarbonate were irradiated with 5 mSv of fast neutrons ({sup 241}Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H{sub 2}O, 40% C{sub 2}H{sub 5}OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in

  5. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  6. The etching property of the surface of CR-39 and the track core radius of fission fragment

    CERN Document Server

    Mineyama, D; Yamauchi, T; Oda, K; El-Rahman, A

    2002-01-01

    The etch pits of fission fragments in CR-39 detector have been observed carefully using an atomic force microscope (AFM) after extremely short chemical etching in stirred 6N KOH solution kept at 70degC. It was found that there existed a thin layer where the bulk etch rate is relativity from large the etch-pit growth curve for the etching duration between 10 and 1800 seconds. The track core radius of fission fragment was evaluated to be about 6 nm from the extrapolation of the growth curve in a thinner region. (author)

  7. Calibration of Nuclear Track Detectors

    International Nuclear Information System (INIS)

    Vukovic, J.B; Antanasijevic, R.; Novakovic, V.; Tasic, M.

    1998-01-01

    In this work we compare some of our preliminary results relating to the calibration Nuclear Track Detectors (NTD) with corresponding results obtained from other participants at the First International Intercomparison of Image Analyzers (III 97/98). Thirteen laboratories from Algeria, China, Czech Rep., France. Germany, Greece, Hungary, India, Italy, Mexico, Saudi Arabia, Slovenia and Yugoslavia participated in the III A 97/98. The NTD was 'Tustrack', Bristol. This type of CR-39 detector was etched by the organizer (J.Paltarey of al, Atomic Energy Research Institute, HPD, Budapest, Hungary). Etching condition was: 6N NaOH, 70 0C . Seven series detectors were exposed with the sources: B(n,a)Li, Am-241, Pu-Be(n,p), Radon and Am-Cm-Pu. Following parameters of exposed detectors were measured: track density of different sorts of tracks (circular, elliptical, track overlapping, their diameters, major and minor axis and other). (authors)

  8. Alkaline glass as induced fission fragment detectors

    International Nuclear Information System (INIS)

    Amorim, A.M.M.

    1986-01-01

    The slide glass, registered trade marks INLAB, INVICT and PERFECTA were compared. For the three kinds of glasses the following studies were done: chemical composition; general dissolution rate for hydrofluoric acid solutions of concentrations between 1 and 10M, at 30 0 C and ultrasound shaking; relative efficiency for recording fission fragment tracks from 252 Cf. The INLAB glass was selected due to the better quality of its surface after chemical etching. The HF concentration 2.5M was determined for chemical etching of INLAB glass, and the optimum etching time was chosen between 8 and 10 minutes. The thermal attenuation of latent tracks in the environmental temperature was observed for intervals uo to 31 days between the detector exposure to the fission fragment source and etching of tracks. Several methods were used for determining the detector parameters, such as: critical angle, angle of the cone and efficiency of etching. The effects of gamma irradiation from 60 Co and reactor neutrons in material properties as track detector were studied. Attenuation of latent tracks and saturation of color centers were observed for doses over 100M Rad. Since this kind of material contains uranium as impurity, uniformely distributed, slide glass were calibrated to be applied as a monitor of thermal neutron flux in nuclear reactor. (Author) [pt

  9. Application of solid state nuclear track detectors in radiation protection

    International Nuclear Information System (INIS)

    Ramachandran, T.V.; Subba Ramu, M.C.; Mishra, U.C.

    1989-01-01

    This article reviews the current status of the application of nuclear track detectors with emphasis on recent developments in the field of radiation protection. Track etch detectors have been used for the measurements of low level radiation in the environment, fast neutron and radon daughter inhalation dose. Recent developments in the field of dosimetry seem to be promising. In fast neutron dosimetry, track etch detectors can be used without inclusion of fissile materials by using the electrochemical etching technique. These detectors can provide important information in the energy range upto 250 keV. Survey of this range of energy with TLD is difficult because they are extremely energy dependent and over-respond to low energy neutrons. Measurement of radon using track detectors can help to lower the cost of the radon dosimeters. Certain detectors are sensitive to alpha particles from radon and their progeny. Higher sensitivity permits their use in a passive type of personnel dosimeter, which does not require the troublesome aspects of air sampling for the collection of radon daughter samples. (author), 38 refs., 8 tabs., 12 figs

  10. Characterization of CR 39 nuclear track detector for use as a radon/thoron dosemeter

    International Nuclear Information System (INIS)

    Kandaiya, S.

    1988-02-01

    For the estimation of radon, thoron and their short-lived daughter products in air radon diffusion chambers with passive α-track etch detectors have been used. The report describes the properties of CR 39 track etch detectors in particular with respect to the spectrometric detection of α-particles in the energy range up to 8.77 MeV using chemical and a combination of chemical-electrochemical etching technique. In order to optimize the etching conditions for an α-energy discrimination in the energy range up to 8.77 MeV, the ECE track size diameter and the track density have been investigated as a function of the chemical pre-etching time using three electrical field strengths. In a mixed α-spectrum the contributions of various α-particles with energies between 4.6 to 8.77 MeV have been determined experimentally in CR 39 and compared with the spectral measurement using a surface barrier detector and the same irradiation geometry. Beside CR 39 detectors etched chemically and electrochemically, in addition surface barrier detectors and a Monte Carlo calculation have been used to evaluate the α-energy spectrum for thoron and its daughter products emitted by α-decays in the air volume and the plate-out of daughters at the inner surface on the diffusion chamber. (orig./HP) [de

  11. Evaluating the shear bond strength of enamel and dentin with or without etching: A comparative study between dimethacrylate-based and silorane-based adhesives

    Science.gov (United States)

    Hajizadeh, Hila; Nasseh, Atefeh; Rahmanpour, Naim

    2015-01-01

    Background Silorane-based composites and their specific self-etch adhesive were introduced to conquest the polymerization shrinkage of methacrylate-based composites. It has been shown that additional etching of enamel and dentin can improve the bond strength of self-etch methacrylate-based adhesives but this claim is not apparent about silorane-based adhesives. Our objective was to compare the shear bond strength (SBS) of enamel and dentin between silorane-based adhesive resin and a methacrylate-based resin with or without additional etching. Material and Methods 40 sound human premolars were prepared and divided into two groups: 1- Filtek P60 composite and Clearfil SE Bond adhesive; 2- Filtek P90 composite and Silorane adhesive. Each group divided into two subgroups: with or without additional etching. For additional etching, 37% acid phosphoric was applied before bonding procedure. A cylinder of the composite was bonded to the surface. After 24 hours storage and 500 thermo cycling between 5-55°C, shear bond strength was assessed with the cross head speed of 0.5 mm/min. Then, bonded surfaces were observed under stereomicroscope to determine the failure mode. Data were analyzed with two-way ANOVA and Fischer exact test. Results Shear bond strength of Filtek P60 composite was significantly higher than Filtek P90 composite both in enamel and dentin surfaces (Penamel or dentin for each of the composites (P>0.05). There was no interaction between composite type and additional etching (P>0.05). Failure pattern was mainly adhesive and no significant correlation was found between failure and composite type or additional etching (P>0.05). Conclusions Shear bond strength of methacrylate-based composite was significantly higher than silorane-based composite both in enamel and dentin surfaces and additional etching had no significant effect on shear bond strength in enamel or dentin for each of the composites. The mode of failure had no meaningful relation to the type of

  12. ECE laboratory in the Vinca Institute - its basic characteristics and fundamentals of electrochemical etching on polycarbonate

    International Nuclear Information System (INIS)

    Zunic, Z.S.; Ujic, P.; Celikovic, I.; Fujimoto, K.

    2003-01-01

    This paper deals with the introductory aspects of the Electrochemical Etching Laboratory installed at the VINCA Institute in the year 2003. The main purpose of the laboratory is its field application for radon and thoron large-scale survey using passive radon/thoron UFO type detectors. Since the etching techniques together with the laboratory equipment were transferred from the National Institute of Radiological Sciences, Chiba, Japan, it was necessary for both etching conditions to be confirmed and to be checked up, i. e., bulk etching speeds of chemical etching and electrochemical etching in the VINCA Electrochemical Etching Laboratory itself. Beside this initial step, other concerns were taken into consideration in this preliminary experimental phase such as the following: the measurable energy range of the polycarbonate film, background etch pit density of the film and its standard deviation and reproducibility of the response to alpha particles for different sets of etchings. (author)

  13. Energy-dependent etching-related impacts on CR-39 alpha detection efficiency for the Rn-222 and Rn-220 decay chains

    Science.gov (United States)

    Tan, Y.; Yuan, H.; Kearfott, K. J.

    2018-04-01

    CR-39 detectors are widely used to measure environmental levels of Rn-222, Rn-220 and their progeny. Prior research reported the CR-39 detection efficiency for alpha particles from Rn-222, Rn-220 and their progeny under a variety of etching conditions. This paper provides an explanation for interesting observations included in that work, namely that the critical incidence angle decreases with the increasing particle energy and the detection efficiency for 8.78 MeV alpha particles is zero. This paper explains these phenomena from a consideration of the interaction of alpha particles with the CR-39 detectors and the physics of etching dynamics. The proposed theory provides a rationale for an approach to optimizing the etching conditions of CR-39 detector for measuring Rn-222, Rn-220 and their progenies.

  14. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  15. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    Energy Technology Data Exchange (ETDEWEB)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi [Hitachi, Ltd., Central Research Laboratory, 1-280, Higashi-Koigakubo, Kokubunji, Tokyo 185-8601 (Japan)

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaning method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.

  16. Modifications of radiation detection response of PADC track detectors by photons

    CERN Document Server

    Sinha, D

    1998-01-01

    Photon induced modifications in polyalyldiglycol carbonate (PADC) track detectors have been studied in the dose range of 10 sup 1 -10 sup 6 Gy. It was found that some of the properties like bulk-etch rate, track-etch rate got enhanced at the dose of 10 sup 6 Gy. Activation energy for bulk-etching has been determined for different gamma doses. In order to correlate the high etch rate with the chemical modifications, UV-Vis, IR and ESR studies were carried out. These studies clearly give the indication that radiation damage results into radical formation through bond cleavage. TGA study was performed for understanding the thermal resistance of this detector. The results are presented and discussed.

  17. Etched-hole formation in LR-115 cellulose nitrate detector irradiated with fast neutrons

    International Nuclear Information System (INIS)

    Sawamura, Teruko; Yamazaki, Hatsuo

    1988-01-01

    This paper deals with the neutron detection sensitivity of LR-115 cellulose nitrate by counting the etched holes of α-tracks produced by the (n,α) reactions of the constituent nuclei of the cellulose nitrate. A formula for the etched-hole formation efficiency is derived, and applied to obtain the efficiency for each of the (n,α) reactions of 14 N, 16 O and 12 C by using an experimental expression relating the track-to-bulk etch-rate ratio to the residual range of the α-particle. From the efficiencies obtained, and the reaction cross sections, the neutron detection sensitivity is evaluated against neutron energy up to 11 MeV, and compared with the experimental values in the energy region between 2.2 and 5 MeV; the agreement is fairly good in the region. (author)

  18. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  19. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  20. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    Science.gov (United States)

    Held, J.; Gaspar, J.; Ruther, P.; Hagner, M.; Cismak, A.; Heilmann, A.; Paul, O.

    2010-02-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  1. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    International Nuclear Information System (INIS)

    Held, J; Gaspar, J; Ruther, P; Paul, O; Hagner, M; Cismak, A; Heilmann, A

    2010-01-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  2. Measuring depths of sub-micron tracks in a CR-39 detector from replicas using Atomic Force Microscopy

    International Nuclear Information System (INIS)

    Yu, K.N.; Ng, F.M.F.; Nikezic, D.

    2005-01-01

    One of the challenging tasks in the application of solid-state nuclear track detectors (SSNTDs) is the measurement of the depth of the tracks, in particular, the shallow ones resulting from short etching periods. In the present work, a method is proposed to prepare replicas of tracks from α particles in the CR-39 SSNTDs and to measure their heights using atomic force microscopy (AFM). After irradiation, the detectors were etched in a 6.25N aqueous solution of NaOH maintained at 70 deg. C. The etched detectors were immersed into a beaker of the replicating fluid, which was placed in a water bath under ultrasonic vibration and maintained at room temperature to facilitate the filling of the etched tracks with the replicating fluid. As an example of application, these results have been used to derive a V function for the CR-39 detectors used in the present study (for the specified etching conditions)

  3. Measurement of the energy spectrum of {sup 252}Cf fission fragments using nuclear track detectors and digital image processing

    Energy Technology Data Exchange (ETDEWEB)

    Espinosa, G.; Golzarri, J. I. [UNAM, Instituto de Fisica, Circuito Exterior, Ciudad Universitaria, 04510 Mexico D. F. (Mexico); Castano, V. M. [UNAM, Centro de Fisica Aplicada y Tecnologia Avanzada, Boulevard Juriquilla 3001, Santiago de Queretaro, 76230 Queretaro (Mexico); Gaso, I. [ININ, Carretera Mexico-Toluca s/n, Ocoyoacac 52750, Estado de Mexico (Mexico); Mena, M.; Segovia, N. [UNAM, Instituto de Geofisica, Circuito de la Investigacion Cientifica, Ciudad Universitaria, 04510 Mexico D. F. (Mexico)

    2010-02-15

    The energy spectrum of {sup 252}Cf fission fragments was measured using nuclear track detectors and digital image analysis system. The detection material was fused silica glass. The detectors were chemically etched in an 8% HF solution. After experimenting with various etching time, it was found that the best resolution of the track diameter distribution was obtained after 30 minutes of etching. Both Gaussian and Lorentzian curves were fit to the track diameter distribution histograms and used to determine the basic parameters of the distribution of the light (N{sub L}) and heavy (N{sub H}) formed peaks and the minimum of the central valley (N{sub V}). Advantages of the method presented here include the fully-automated analysis process, the low cost of the nuclear track detectors and the simplicity of the nuclear track method. The distribution resolution obtained by this method is comparable with the resolution obtained by electronic analysis devices. The descriptive variables calculated were very close to those obtained by other methods based on the use of semiconductor detectors. (Author)

  4. High energy charged particle registration in CR-39 polycarbonated detector

    International Nuclear Information System (INIS)

    Abdel-Wahab, M.S.; El Enany, N.; El Fiki, S.; Eissa, H.M.; El-Adl, E.H.; El-Feky, M.A.

    1991-01-01

    Track etch rate characteristics of CR-39 plastic detector exposed to 28 Si ions of 670 MeV energy have been investigated. Experimental results were obtained in terms of frequency distribution of the track diameter, track density and bulk etching rate. A dependence of the mean track diameter on energy was found. The application of the radiation effect of heavy ions on CR-39 in the field of radiation detection and dosimetry are discussed. Results indicated that it is possible to produce etchable tracks of 28 Si in this energy range in CR-39. We also report the etching characteristics of these tracks in the CR-39 detector. (orig.) [de

  5. Properties of polymer foils used as solid-state track detectors

    International Nuclear Information System (INIS)

    Spurny, F.

    1973-05-01

    Polymer foils were studied with a view to their application as solid-state alpha track detectors. The detection efficiency was determined as was its alpha energy dependence and the quality of the surface and the natural background of the foils were evaluated. The kinetics of etching was studied in three selected type of foils. Characteristic constants for the selected foils and methods of etching were calculated. The possible applications of the foils as track detectors are discussed and the effect is dealt with of the selected foil and of the method of chemical etching on the foil applicability in nuclear sciences, especially in fast neutron dosimetry and in alpha spectrometry. (author)

  6. Methods of manufacturing a detector device

    International Nuclear Information System (INIS)

    Wotherspoon, J.T.M.

    1982-01-01

    In the manufacture of an infra-red radiation detector device, a body of rho-type cadmium mercury telluride is bombarded with ions to etch away a part of the body and to produce from the etched-away part of the body an excess concentration of mercury which acts as a dopant source converting an adjacent part of the body into n-type material. The energy of the bombarding ions is less than 30 keV, and by appropriately choosing the ion dose this conversion can be effected over a depth considerably greater than the penetration depth of the ions. A p-n junction can be fabricated in this way for a photovoltaic detector. The conductivity type conversion may even be effected through the body thickness. The etching and conversion can be localised by masking part of the body surface against the ion bombardment. (author)

  7. Experimental study on the aging process of the LR 115 cellulose nitrate radon detector

    International Nuclear Information System (INIS)

    Siems, M.; Freyer, K.; Treutler, H.-C.; Joensson, G.; Enge, W.

    2001-01-01

    An experimental determination of the aging process of cellulose nitrate detector material was based on the examination of special properties of the LR 115 solid state nuclear track detectors (SSNTDs) of various ages up to 18 years. The examined relevant parameters are the bulk etching rate v b and the track etching rate v t . These parameters are responsible for the appearance, the size and the registration efficiency of tracks of α-particles from radon gas in the detector. To find a correlation between these material parameters and the detector sensitivity an experimental calibration of indoor room and outdoor soil detector devices based on LR 115 took place at the Umweltforschungszentrum Leipzig-Halle (Germany). To avoid routine calibration work in external radon exposure facilities a correction of the age dependent calibration factors with the material parameters measured in one's own laboratory was targeted. In this study a general age dependence, however, was not found. The following statements for practical applications can be made. (i) the bulk etching rate v b for detectors of the same batch has a depth dependence and this dependence is constant over 2 years (LR 115 September 1994). (ii) detectors of different batches older than 5 years and stored at room temperature show an odd v b behaviour when v b is used for describing track shapes. (iii) the calibration factor of detectors of different batches that were stored at about +4 deg. C is constant over 5 years (LR 115 September 1994 and February 1999, Table 2). The conclusion is that LR 115 detectors not older than 5 years and stored in a refrigerator at about +4 deg. C should be preferred for radon measurements. Furthermore these detectors should be recalibrated every year and the microscope work of this calibrations should be performed by the same person who performs the measurements. In addition, a phenomenon related to fundamental track formation mechanisms was found, that the time straggling of the

  8. Low-level radon measurements by nuclear track detectors

    International Nuclear Information System (INIS)

    Koksal, E. M.; Goksel, S. A.; Alkan, H.

    1985-01-01

    In the work to be described here we have developed a passive nuclear track dosimeter to measure the integrated value of indoor radon (Rn-222) over a long period of time. Passive radon dosimeter which we have developed in our laboratories makes use of two small pieces of CR-39 plastic (Allyl diglycol carbonate) as detectors for registering tracks of alpha particles emitted by radon. These CR-39 plastic detectors are fixed on the inside bottom of a cup-shaped polystrene enclosure which is closed at the top by a tissue permeable for gases only. CR-39 detectors exposed to radon gas in the indoor air for a period of six months then are removed and chemically etched to make the alpha particle tracks visible under the microscope. The counts of tracks are evaluated to determine the radon concentration in the air in comparison with the number of tracks produced by a known concentration of radon gas. By using the passive dosimeters developed and the chemical etching procedure descriped here, measurements of indoor radon concentrations were carried out in 45 houses in different districts of the city of Istanbul. In this pilot experiment mean radon concentrations between 0.7 and 3.5 pCi/l have been found in these houses. In order to improve the counting of alpha tracks produced on the detectors a prototype electrochemical etching system in addition to chemical etching, is being developed. (author)

  9. Hydrogen detector

    International Nuclear Information System (INIS)

    Kumagaya, Hiromichi; Yoshida, Kazuo; Sanada, Kazuo; Chigira, Sadao.

    1994-01-01

    The present invention concerns a hydrogen detector for detecting water-sodium reaction. The hydrogen detector comprises a sensor portion having coiled optical fibers and detects hydrogen on the basis of the increase of light transmission loss upon hydrogen absorption. In the hydrogen detector, optical fibers are wound around and welded to the outer circumference of a quartz rod, as well as the thickness of the clad layer of the optical fiber is reduced by etching. With such procedures, size of the hydrogen detecting sensor portion can be decreased easily. Further, since it can be used at high temperature, diffusion rate is improved to shorten the detection time. (N.H.)

  10. GPU based 3D feature profile simulation of high-aspect ratio contact hole etch process under fluorocarbon plasmas

    Science.gov (United States)

    Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho

    2013-09-01

    Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.

  11. Nuclear radiation detectors

    International Nuclear Information System (INIS)

    Kapoor, S.S.; Ramamurthy, V.S.

    1986-01-01

    The present monograph is intended to treat the commonly used detectors in the field of nuclear physics covering important developments of the recent years. After a general introduction, a brief account of interaction of radiation with matter relevant to the processes in radiation detection is given in Chapter II. In addition to the ionization chamber, proportional counters and Geiger Mueller counters, several gas-filled detectors of advanced design such as those recently developed for heavy ion physics and other types of studies have been covered in Chapter III. Semiconductor detectors are dealt with in Chapter IV. The scintillation detectors which function by sensing the photons emitted by the luminescence process during the interaction of the impinging radiation with the scintillation detector medium are described in Chapter V. The topic of neutron detectors is covered in Chapter VI, as in this case the emphasis is more on the method of neutron detection rather than on detector type. Electronic instrumentation related to signal pulse processing dealt with in Chapter VII. The track etch detectors based on the visualization of the track of the impinging charge particle have also been briefly covered in the last chapter. The scope of this monograph is confined to detectors commonly used in low and medium energy nuclear physics research and applications of nuclear techniques. The monograph is intended for post-graduate students and those beginning to work with the radiation detectors. (author)

  12. Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

    Energy Technology Data Exchange (ETDEWEB)

    Malik Rizwan [State Key Laboratory of Digital Manufacturing Equipment and technology, Huazhong University of Science and Technology, 1037 Luoyu road, Wuhan, China 43007 (China); Shi Tielin; Tang Zirong; Liu Shiyuan, E-mail: zirong@mail.hust.edu.cn, E-mail: rizwanmalik@smail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, 1037 Luoyu road Wuhan, 430074 (China)

    2011-02-01

    Deep reactive ion etching (DRIE) process is a key growth for fabrication of micro-electromechanical system (MEMS) devices. Due to complexity of this process, including interaction of the process steps, full analytical modeling is complex. Plasma process holds deficiency of understanding because it is very easy to measure the results empirically. However, as device parameters shrink, this issue is more critical. In this paper, our process was modeled qualitatively based on 'High Density Plasma Etch Model'. Deep trench solutions of etch rate based on continuity equation were successfully generated first time through mathematical analysis. It was also proved that the product of fluorine and gas phase concentration in SF{sub 6} remains identical during both deposition and etching stages. The etching process was treated as a combination of isotropic, directional and angle-dependent component parts. It exploited a synergistic balance of chemical as well as physical etching for promoting silicon trenches and high aspect ratio structures. Simulations were performed for comprehensive analysis of fluxes coming towards the surface during chemical reaction of gas. It is observed that near the surface, the distribution of the arrival flux follows a cosine distribution. Our model is feasible to analyze various parameters like gas delivery, reactor volume and temperature that help to assert large scale effects and to optimize equipment design.

  13. Sub-micrometer-scale patterning on Zr-based metallic glass using focused ion beam irradiation and chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Kawasegi, Noritaka [Graduate School of Science and Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Morita, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Yamada, Shigeru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Takano, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Oyama, Tatsuo [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Ashida, Kiwamu [Advanced Manufacturing Research Institute, National Institute of Advanced Industrial Science and Technology, 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Momota, Sadao [Department of Intelligent Mechanical Systems Engineering, Kochi University of Technology, 185 Tosayamada, Kochi 782-8502 (Japan); Taniguchi, Jun [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Miyamoto, Iwao [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Ofune, Hitoshi [YKK Corporation, 200 Yoshida, Kurobe, Toyama 938-8601 (Japan)

    2007-09-19

    This report describes a method of sub-micrometer-scale rapid patterning on a Zr-based metallic glass surface using a combination of focused ion beam irradiation and wet chemical etching. We found that a Zr-based metallic glass surface irradiated with Ga{sup +} ions could be selectively etched; a concave structure with a width and depth of several tens to hundreds of nanometers rapidly formed in the irradiated area. Moreover, we determined that the etching was enhanced by the presence of Ga{sup +} ions rather than a change in the crystal structure, and the structure could be fabricated while the substrate remained amorphous. The shape of the structure was principally a function of the dose and the etch time.

  14. Charged particle spectroscopy with solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Hunyadi, I.; Somogyi, G.

    1984-01-01

    Some of earlier and recent methods for differentiation of charged particles according to their energy, based on the use of polymeric etch-track detectors (CN, CA, PC and CR-39) are outlined. The principle of three track methods suitable for nuclear spectroscopy is discussed. These are based on the analysis of the diameter, surface size and shape of etch-track 'cones' produced by charged particles in polymers, after using shorter or longer chemical etching processes. Examples are presented from the results of the last decade in ATOMKI, Debrecen, Hungary, concerning the application of nuclear track spectroscopy to different low-energy nuclear reaction studies, angular distribution and excitation function measurements. These involve the study of (d,α) reaction on sup(14)N, sup(19)F and sup(27)Al nuclei, (sup(3)He,α) reactions on sup(15)N, (p,α) reaction on sup(27)Al and the process sup(12)C(sup(12)C, sup(8)Be)sup(16)O. (author)

  15. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  16. Long-term determination of airborne concentrations of unattached and attached radon progeny using stacked LR 115 detector with multi-step etching

    International Nuclear Information System (INIS)

    Nikezic, D.; Yu, K.N.

    2010-01-01

    We developed the theoretical basis for long-term determination of airborne concentrations of unattached and attached radon progeny. The work was separated into two parts. First, we showed that (stacked and multiply etched) LR 115 detectors could be used to determine airborne concentrations of the short-lived radon progeny, 218 Po and 214 Bi. The equilibrium factor F between radon and its progeny could then be determined through the use of the reduced equilibrium factor F red . The airborne concentrations of 214 Pb could then be determined. Second, we developed a method based on the airborne concentrations of 218 Po, 214 Pb and 214 Bi to determine the parameters of the Jacobi room model, viz., the ventilation rate λ v , aerosol attachment rate λ a , deposition rate of unattached progeny λ d u and the deposition rate of attached progeny λ d u . With these parameters, the unattached fraction f p of the potential alpha energy concentration could also be determined. Knowledge of f p , together with F, would enable more accurate determination of the effective dose in the human lung.

  17. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  18. ECE laboratory in the Vinča institute: Its basic characteristics and fundamentals of electrochemic etching on polycarbonate

    Directory of Open Access Journals (Sweden)

    Žunić Zora S.

    2003-01-01

    Full Text Available This paper deals with the introductory aspects of the Electrochemical Etching Laboratory installed at the VINČA Institute in the year 2003. The main purpose of the laboratory is its field application for radon and thoron large-scale survey using passive radon/thoron UFO type detectors. Since the etching techniques together with the laboratory equipment were transferred from the National Institute of Radiological Sciences, Chiba, Japan, it was necessary for both etching conditions to be confirmed and to be checked up^ i. e., bulk etching speeds of chemical etching and electrochemical etching in the VINCA Electrochemical Etching Laboratory itself. Beside this initial step, other concerns were taken into consideration in this preliminary experimental phase such as the following: the measurable energy range of the polycarbonate film, background etch pit density of the film and its standard deviation and reproducibility of the response to alpha particles for different sets of etchings.

  19. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  20. Measurement of low neutron-fluences using electrochemically etched PC and PET track detectors

    International Nuclear Information System (INIS)

    Somogyi, G.; Dajko, G.; Turek, K.; Spurny, F.

    1979-01-01

    Systematic investigations have been carried out to study different properties of electrochemically etched (ECE) polycarbonate (PC) and polyethylene-terephthalate (PET) foils. The dependence of the density of background discharge spots on surface-thickness removal, electrical field strength and frequency of voltage is given. The effect of these parameters on the neutron sensitivity of polycarbonate and polyethylene-terephthalate foils irradiated at right angles to 14.7 MeV, 241 Am-Be and 252 Cf neutrons is also studied. With knowledge of the background and sensitivity data, the etching and electrical parameters are optimized for low neutron-fluence measurements. (author)

  1. Effects of epitaxial structure and processing on electrical characteristics of InAs-based nBn infrared detectors

    Science.gov (United States)

    Du, X.; Savich, G. R.; Marozas, B. T.; Wicks, G. W.

    2017-02-01

    The conventional processing of the III-V nBn photodetectors defines mesa devices by etching the contact n-layer and stopping immediately above the barrier, i.e., a shallow etch. This processing enables great suppression of surface leakage currents without having to explore surface passivation techniques. However, devices that are made with this processing scheme are subject to lateral diffusion currents. To address the lateral diffusion current, we compare the effects of different processing approaches and epitaxial structures of nBn detectors. The conventional solution for eliminating lateral diffusion current, a deep etch through the barrier and the absorber, creates increased dark currents and an increased device failure rate. To avoid deep etch processing, a new device structure is proposed, the inverted-nBn structure. By comparing with the conventional nBn structure, the results show that the lateral diffusion current is effectively eliminated in the inverted-nBn structure without elevating the dark currents.

  2. Modifications in track registration response of PADC detector by energetic protons

    CERN Document Server

    Dwivedi, K K; Fink, D; Mishra, R; Tripathy, S P; Kulshreshtha, A; Khathing, D T

    1999-01-01

    It has been well established that different ionising radiations modify the track registration properties of dielectric solids. In an effort to study the response of Polyallyl diglycol carbonate (PADC Homalite) detector towards fission fragment, PADC detectors were exposed to 10 sup 4 Gy dose of 62 MeV protons and then one set of samples were exposed to fission fragments from a sup 2 sup 5 sup 2 Cf source. Two of these detectors were containing a thin layer of Buckminsterfullerene (C sub 6 sub 0). The study of the etched tracks by Leitz Optical Microscope reveals that the track diameters are enhanced by more than 70% in the proton irradiated zone as compared to that in the unirradiated zone. Scanning Electron Microscopy was performed after etching the sample in 6 N NaOH at 55 deg. C for different etching times, to study the details of the surface modifications due to proton irradiation of PADC detectors with and without C sub 6 sub 0 layer. Our observations revealed that the diameters and density of proton tra...

  3. Fast neutron dosimetry using CR-39 track detectors with polyethylene as radiator

    International Nuclear Information System (INIS)

    Castillo, F.; Espinosa, G.; Golzarri, J.I.; Osorio, D.; Rangel, J.; Reyes, P.G.; Herrera, J.J.E.

    2013-01-01

    The chemical etching parameters (etching time, temperature, normality of etchant, etc.) for the use of CR-39 (allyl diglycol carbonate – Lantrack ® ) as a fast neutron dosimeter have been optimized. The CR-39 chips, placed under a 1.5 mm polyethylene radiator, were exposed for calibration to an 241 Am-Be source at different time intervals for a given neutron fluence. After several chemical etching processes of the detectors with different conditions, the optimum characteristics for the chemical etching were found at 6N KOH solution, 60 ± 1 °C, for 12 h. An accurate relationship between the dose and fluence calculations was obtained as a function of the track density. - Highlights: ► Optimum etching time for fast neutron irradiated CR-39 track detectors is found. ► Relationship between dose and fluence obtained as a function of the track density. ► Results are consistent with those reported elsewhere, and extend the dose range

  4. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    Science.gov (United States)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  5. Multi-Step Deep Reactive Ion Etching Fabrication Process for Silicon-Based Terahertz Components

    Science.gov (United States)

    Jung-Kubiak, Cecile (Inventor); Reck, Theodore (Inventor); Chattopadhyay, Goutam (Inventor); Perez, Jose Vicente Siles (Inventor); Lin, Robert H. (Inventor); Mehdi, Imran (Inventor); Lee, Choonsup (Inventor); Cooper, Ken B. (Inventor); Peralta, Alejandro (Inventor)

    2016-01-01

    A multi-step silicon etching process has been developed to fabricate silicon-based terahertz (THz) waveguide components. This technique provides precise dimensional control across multiple etch depths with batch processing capabilities. Nonlinear and passive components such as mixers and multipliers waveguides, hybrids, OMTs and twists have been fabricated and integrated into a small silicon package. This fabrication technique enables a wafer-stacking architecture to provide ultra-compact multi-pixel receiver front-ends in the THz range.

  6. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  7. Thermal history-based etching

    Science.gov (United States)

    Simpson, John T.

    2017-11-28

    A method for adjusting an etchability of a first borosilicate glass by heating the first borosilicate glass; combining the first borosilicate glass with a second borosilicate glass to form a composite; and etching the composite with an etchant. A material having a protrusive phase and a recessive phase, where the protrusive phase protrudes from the recessive phase to form a plurality of nanoscale surface features, and where the protrusive phase and the recessive phase have the same composition.

  8. Effect of Cl2- and HBr-based inductively coupled plasma etching on InP surface composition analyzed using in situ x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Bouchoule, S.; Vallier, L.; Patriarche, G.; Chevolleau, T.; Cardinaud, C.

    2012-01-01

    A Cl 2 -HBr-O 2 /Ar inductively coupled plasma (ICP) etching process has been adapted for the processing of InP-based heterostructures in a 300-mm diameter CMOS etching tool. Smooth and anisotropic InP etching is obtained at moderate etch rate (∼600 nm/min). Ex situ x-ray energy dispersive analysis of the etched sidewalls shows that the etching anisotropy is obtained through a SiO x passivation mechanism. The stoichiometry of the etched surface is analyzed in situ using angle-resolved x-ray photoelectron spectroscopy. It is observed that Cl 2 -based ICP etching results in a significantly P-rich surface. The phosphorous layer identified on the top surface is estimated to be ∼1-1.3-nm thick. On the other hand InP etching in HBr/Ar plasma results in a more stoichiometric surface. In contrast to the etched sidewalls, the etched surface is free from oxides with negligible traces of silicon. Exposure to ambient air of the samples submitted to Cl 2 -based chemistry results in the complete oxidation of the P-rich top layer. It is concluded that a post-etch treatment or a pure HBr plasma step may be necessary after Cl 2 -based ICP etching for the recovery of the InP material.

  9. LLL development of a combined etch track: albedo dosimeter

    International Nuclear Information System (INIS)

    Griffith, R.V.; Fisher, J.C.; Harder, C.A.

    1977-01-01

    The addition of polycarbonate sheet to albedo detectors for electrochemical etching provides a simple, inexpensive way to reduce the spectral sensitivity of the personnel dosimeter without losing the albedo features of sensitivity and ease of automation. The ECEP technique also provides the dosimetrist with the potential for identifying conditions of body orientation that might otherwise lead to significant error in dosimeter evaluation

  10. UV-irradiation effects on polyester nuclear track detector

    International Nuclear Information System (INIS)

    Agarwal, Chhavi; Kalsi, P.C.

    2010-01-01

    The effects of UV irradiation (λ=254 nm) on polyester nuclear track detector have been investigated employing bulk-etch technique, UV-visible spectrophotometry and infra-red spectrometry (FTIR). The activation energy values for bulk-etching were found to decrease with the UV-irradiation time indicating the scission of the polymer. Not much shift in the absorption edge due to UV irradiation was seen in the UV-visible spectra. FTIR studies also indicate the scission of the chemical bonds, thereby further validating the bulk-etch rate results.

  11. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  12. Effect of certain production parameters and post-production treatments on the etching characteristics of CR-39 sheets

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, G.; Toth-Szilagyi, M.; Hunyadi, I.; Hafez, A.-F.

    1986-01-01

    There is a permanent need in producing track recording detectors of well defined behaviour from CR-39 monomer. Therefore, more studies on the role of production parameters determining the stability of main etching characteristics (bulk etch rate, Vsub(B);etch rate ratio, Vsub(T)/Vsub(B);opaqueness after longer etching periods) are highly required. In our studies we have examined different commercial CR-39 sheets (Homalite, Pershore, MA-ND) to compare their registration sensitivity to selected alpha and proton energies. In addition, we have investigated the main etching parameters of CR-39 sheets manufactured under different casting conditions varying the concentration of initiator and certain additives. Finally, the effect of some post-production treatments, annealing and storage in vacuum, on the Vsub(B) and Vsub(T)/Vsub(B) values is studied.

  13. Status of development in the field of Cr-39 track detectors

    International Nuclear Information System (INIS)

    Somogyi, G.

    1982-01-01

    The present situation concerning the manufacture and etching characteristics of the CR-39 nuclear track detector is surveyed. Especial attention is paid to the trends in research and to the outstanding questions related to the subject. The requirements and procedures in connection with the manufacture of high-quality, thick and thin detector foils are discussed. The main bulk and track etching characteristics are presented, involving the effects of various environmental parameters as well. A statistical account of the typical directions in application is given. (author)

  14. Novel single-cell mega-size chambers for electrochemical etching of panorama position-sensitive polycarbonate ion image detectors

    Science.gov (United States)

    Sohrabi, Mehdi

    2017-11-01

    A novel development is made here by inventing panorama single-cell mega-size electrochemical etching (MS-ECE) chamber systems for processing panorama position-sensitive mega-size polycarbonate ion image detectors (MS-PCIDs) of potential for many neutron and ion detection applications in particular hydrogen ions or proton tracks and images detected for the first time in polycarbonates in this study. The MS-PCID is simply a large polycarbonate sheet of a desired size. The single-cell MS-ECE invented consists of two large equally sized transparent Plexiglas sheets as chamber walls holding a MS-PCID and the ECE chamber components tightly together. One wall has a large flat stainless steel electrode (dry cell) attached to it which is directly in contact with the MS-PCID and the other wall has a rod electrode with two holes to facilitate feeding and draining out the etching solution from the wet cell. A silicon rubber washer plays the role of the wet cell to hold the etchant and the electrical insulator to isolate the dry cell from the wet cell. A simple 50 Hz-HV home-made generator provides an adequate field strength through the two electrodes across the MS-ECE chamber. Two panorama single-cell MS-ECE chamber systems (circular and rectangular shapes) constructed were efficiently applied to processing the MS-PCIDs for 4π ion emission image detection of different gases in particular hydrogen ions or protons in a 3.5 kJ plasma focus device (PFD as uniquely observed by the unaided eyes). The panorama MS-PCID/MS-ECE image detection systems invented are novel with high potential for many applications in particular as applied to 4π panorama ion emission angular distribution image detection studies in PFD space, some results of which are presented and discussed.

  15. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  16. [The durability of three self-etch adhesives bonded to dentin].

    Science.gov (United States)

    Tian, Fu-Cong; Wang, Xiao-Yan; Gao, Xue-Jun

    2013-04-01

    To investigate the durability of self-etch adhesives bonded to dentin in vitro. Forty-two extracted human molars were selected and occlusal dentin surfaces were exposed. The teeth were randomly distributed into three groups based on adhesives applied. The one-step self-etch adhesive B(Adper Prompt) and C(G-Bond) and two-step self-etch adhesive A (Clearfil SE bond) were used. After application of the adhesives to the dentin surfaces, composite crowns were built up, after 24 h water storage, the teeth were sectioned longitudinally into sticks (1.0 mm×1.0 mm bonding area) for microtensile testing or slabs (1 mm thick) for scanning electron microscopec (SEM) observation. Bonding strength (mTBS) and nano-leakage were evaluated immediately after cutting or after 6 months in water. The mTBS was analyzed using one-way ANOVA (SPSS 13.0). The nanoleakage was observed by SEM with a backscattered electron detector. Both adhesives and water storage time affected the mTBS. All adhesives showed decreased bond strength after six-month water aging [A dropped from (40.60 ± 5.76) MPa to (36.04 ± 3.15) MPa; B dropped from (19.06 ± 1.50) MPa to (11.19 ± 1.97) MPa; C dropped from (17.75 ± 1.10) MPa to (9.14 ± 1.15) MPa] (P adhesives tested were probably influenced by water aging, however, the two-step adhesive showed better durability than the one-step adhesives.

  17. Double side multicrystalline silicon passivation by one step stain etching-based porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Mohamed, Seifeddine Belhadj; Ben Rabha, Mohamed; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    In this paper, we investigate the effect of stain etching-based porous silicon on the double side multicrystalline silicon. Special attention is given to the use of the stain etched PS as an antireflection coating as well as for surface passivating capabilities. Stain etching of double side multicrystalline silicon leads to the formation of PS nanostructures, that dramatically decrease the surface reflectivity from 30% to about 7% and increase the effective lifetime from 1 {mu}s to 10 {mu}s at a minority carrier density ({Delta}n) of 10{sup 15} cm{sup -3}. These results let us correlate the rise of the lifetime values to the photoluminescence intensity to the hydrogen and oxide passivation as shown by FTIR analysis. This low-cost PS formation process can be applied in the photovoltaic cell technology as a standard procedure (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Latent tracks in polymeric etched track detectors

    International Nuclear Information System (INIS)

    Yamauchi, Tomoya

    2013-01-01

    Track registration properties in polymeric track detectors, including Poly(allyl diglycol carbonate), Bispenol A polycarbonate, Poly(ethylen terephtarate), and Polyimide, have been investigated by means of Fourie transform Infararede FT-IR spectrometry. Chemical criterion on the track formation threshold has been proposes, in stead of the conventional physical track registration models. (author)

  19. Development and application of the electrochemical etching technique

    International Nuclear Information System (INIS)

    Sanders, M.E.

    1984-07-01

    This report documents the advances achieved in the development and application of several etched damage track plastic dosimeters that can be used to measure dose-equivalent from neutrons with energies from thermal to 20 MeV. The project was initiated with the design of a rem-responding dosimeter that measured fast (> 1 MeV) neutron dose-equivalent as a function of the damage track density directly induced within the volume of polycarbonate foils amplified by electrochemical etching. Stillwagon (1978) adapted electrochemical etching of polycarbonate foils (ECEPF) to alpha dosimetry and used the technique to determine Pu-239 uptake in human bone. Su (1979) extended the usefulness of the ECEPF neutron dosimetry technique to encompass thermal neutron dose measurement. The thermal neutron dosimeter was composed of an external radiator tablet made of 7 LiF in contact with a polycarbonate foil and utilized the thermal neutron-induced 6 Li(n, α) 3 H reaction to give a dose-equivalent response as a function of alpha track density registered in the detector foil. An intermediate (1 eV-1 MeV) neutron dosimeter was developed and has been shown to give an approximately dose-equivalent response to neutrons with energies from 1 eV to 17 MeV. The intermediate neutron dosimeter consists of 6 LiF-Teflon/CR-39 Polymer foil assembly which is enclosed by a (Cd + In) neutron filter. The neutron dose-equivalent is measured as a variable function of the damage track density registered in the CR-39 detector foil due to alpha particles from the 1/v dependent 6 Li(n, α) 3 H reaction, recoil H, C, O nuclei from neutron-induced elastic scattering within the foil volume, and protons from the 6 Li(n, p) reaction for neutron energies above 2 MeV. 46 figs., 6 tabs

  20. Stain-etched porous silicon nanostructures for multicrystalline silicon-based solar cells

    Science.gov (United States)

    Ben Rabha, M.; Hajji, M.; Belhadj Mohamed, S.; Hajjaji, A.; Gaidi, M.; Ezzaouia, H.; Bessais, B.

    2012-02-01

    In this paper, we study the optical, optoelectronic and photoluminescence properties of stain-etched porous silicon nanostructures obtained with different etching times. Special attention is given to the use of the stain-etched PS as an antireflection coating as well as for surface passivating capabilities. The surface morphology has been analyzed by scanning electron microscopy. The evolution of the Si-O and Si-H absorption bands was analyzed by Fourier transform infrared spectrometry before and after PS treatment. Results show that stain etching of the silicon surface drops the total reflectivity to about 7% in the 400-1100 nm wavelength range and the minority carrier lifetime enhances to about 48 μs.

  1. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  2. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  3. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  4. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  5. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  6. ATMS software: Fuzzy Hough Transform in a hybrid algorithm for counting the overlapped etched tracks and orientation recognition

    International Nuclear Information System (INIS)

    Khayat, O.; Ghergherehchi, M.; Afarideh, H.; Durrani, S.A.; Pouyan, Ali A.; Kim, Y.S.

    2013-01-01

    A computer program named ATMS written in MATLAB and running with a friendly interface has been developed for recognition and parametric measurements of etched tracks in images captured from the surface of Solid State Nuclear Track Detectors. The program, using image analysis tools, counts the number of etched tracks and depending on the current working mode classifies them according to their radii (small object removal) or their axis (non-perpendicular or non-circular etched tracks), their mean intensity value and their orientation through the minor and major axes. Images of the detectors' surfaces are input to the code, which generates text and figure files as output, including the number of counted etched tracks with the associated track parameters, histograms and a figure showing edge and center of detected etched tracks. ATMS code is running hierarchically as calibration, testing and measurement modes to demonstrate the reliability, repeatability and adaptability. Fuzzy Hough Transform is used for the estimation of the number of etched tracks and their parameters, providing results even in cases that overlapping and orientation occur. ATMS code is finally converted to a standalone file which makes it able to run out of MATLAB environment. - Highlights: ► Presenting a novel code named ATMS for nuclear track measurements. ► Execution in three modes for generality, adaptability and reliability. ► Using Fuzzy Hough Transform for overlapping detection and orientation recognition. ► Using DFT as a filter for noise removal process in track images. ► Processing the noisy track images and demonstration of the presented code

  7. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  8. Ultrasound effects on the electrolytically controlled etching of nuclear track filters (NTFs)

    International Nuclear Information System (INIS)

    Chakarvarti, S.K.; Mahna, S.K.; Sud, L.V.; Singh, P.

    1990-01-01

    The mechanical stirring of the etchant creates tremendous changes in the etching properties of SSNTDs. Ultrasound stirring also produces a number of effects in liquids by giving a rapid movement to etchant. Cavitation is the most probable phenomenon caused by ultrasound and responsible for most of the effects observed in chemical reactions. Microbubbles are created in liquid medium and explosion of these microbubbles is responsible for momentarily rise in temperature. The possible effects of ultrasound on etching of particle tracks in plastic track detectors as nuclear track filters has been studied. The ultrasound effects on V t and V b have been studied in this work. (author). 5 re fs

  9. Further development of a track detector as the spectrometer of linear energy transfer

    International Nuclear Information System (INIS)

    Spurny, F.; Bednar, J.; Vlcek, B.; Botollier-Depois, J.F.

    1998-01-01

    Track revealing in a track etch detector is a phenomenon related to the linear energy transfer (LET) of the particle registered. The measurements of track parameters permit to determine the LET corresponding to each revealed track, i.e. LET spectrum. We have recently developed a spectrometer of LET based on the chemically etched polyallyldiglycolcarbonate (PADC). In this contribution the results obtained with such spectrometer in some neutron fields are presented, analyzed and discussed. Several radionuclide neutron sources have been used, LET spectrometer has been also exposed in high energy neutron reference fields at CERN and JINR Dubna, and on board aircraft. (author)

  10. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  11. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  12. Influence of external and internal conditions of detector sample treatment on the particle registration sensitivity of Solid State Nuclear Track Detectors of type CR-39

    International Nuclear Information System (INIS)

    Hermsdorf, Dietrich

    2012-01-01

    The sensitivity of charged particle registration with SSNTD is the most important parameter to decide about the applicability of those detectors in research, technology and environmental dosimetry. The sensitivity is strongly influenced by the treatment of detector samples before, during and after the exposure and the final evaluation process by chemical etching. Whereas changes in detection properties by external environmental influences are generally considered, the dependences on the etching conditions are ignored. Commonly the sensitivity is assumed to compensate variations in the etching conditions for track revealing. In the present work the validity of this hypothesis will be checked. In the frame of the existing database the sensitivity is not really independent on variations in etching temperatures and should be corrected for differences in the activation energies for stimulation of the bulk and track etching process. Differences in the concentration dependence may be of minor importance. Furthermore, the registration sensitivity depends on environmental conditions before, during and after the irradiation with particles under investigation. Such external parameters are the air pressure, the sample temperature and modification of bulk material by out-gassing in vacuum and exposure to γ-rays. However, the available database is insufficient and inaccurate to draw final conclusions on the detection properties of SSNTD under various external and internal conditions.

  13. Fiber-optic refractometer based on an etched high-Q π-phase-shifted fiber-Bragg-grating.

    Science.gov (United States)

    Zhang, Qi; Ianno, Natale J; Han, Ming

    2013-07-10

    We present a compact and highly-sensitive fiber-optic refractometer based on a high-Q π-phase-shifted fiber-Bragg-grating (πFBG) that is chemically etched to the core of the fiber. Due to the p phase-shift, a strong πFBG forms a high-Q optical resonator and the reflection spectrum features an extremely narrow notch that can be used for highly sensitivity refractive index measurement. The etched πFBG demonstrated here has a diameter of ~9.3 μm and a length of only 7 mm, leading to a refractive index responsivity of 2.9 nm/RIU (RIU: refractive index unit) at an ambient refractive index of 1.318. The reflection spectrum of the etched πFBG features an extremely narrow notch with a linewidth of only 2.1 pm in water centered at ~1,550 nm, corresponding to a Q-factor of 7.4 × 10(5), which allows for potentially significantly improved sensitivity over refractometers based on regular fiber Bragg gratings.

  14. CCD-based vertex detectors

    CERN Document Server

    Damerell, C J S

    2005-01-01

    Over the past 20 years, CCD-based vertex detectors have been used to construct some of the most precise 'tracking microscopes' in particle physics. They were initially used by the ACCMOR collaboration for fixed target experiments in CERN, where they enabled the lifetimes of some of the shortest-lived charm particles to be measured precisely. The migration to collider experiments was accomplished in the SLD experiment, where the original 120 Mpixel detector was later upgraded to one with 307 Mpixels. This detector was used in a range of physics studies which exceeded the capability of the LEP detectors, including the most precise limit to date on the Bs mixing parameter. This success, and the high background hit densities that will inevitably be encountered at the future TeV-scale linear collider, have established the need for a silicon pixel-based vertex detector at this machine. The technical options have now been broadened to include a wide range of possible silicon imaging technologies as well as CCDs (mon...

  15. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  16. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  17. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  18. Fiber-Optic Refractometer Based on an Etched High-Q ?-Phase-Shifted Fiber-Bragg-Grating

    OpenAIRE

    Zhang, Qi; Ianno, Natale J.; Han, Ming

    2013-01-01

    We present a compact and highly-sensitive fiber-optic refractometer based on a high-Q p-phase-shifted fiber-Bragg-grating (pFBG) that is chemically etched to the core of the fiber. Due to the p phase-shift, a strong pFBG forms a high-Q optical resonator and the reflection spectrum features an extremely narrow notch that can be used for highly sensitivity refractive index measurement. The etched pFBG demonstrated here has a diameter of ~9.3 μm and a length of only 7 mm, leading to a refractive...

  19. Nanosecond laser-induced back side wet etching of fused silica with a copper-based absorber liquid

    Science.gov (United States)

    Lorenz, Pierre; Zehnder, Sarah; Ehrhardt, Martin; Frost, Frank; Zimmer, Klaus; Schwaller, Patrick

    2014-03-01

    Cost-efficient machining of dielectric surfaces with high-precision and low-roughness for industrial applications is still challenging if using laser-patterning processes. Laser induced back side wet etching (LIBWE) using UV laser pulses with liquid heavy metals or aromatic hydrocarbons as absorber allows the fabrication of well-defined, nm precise, free-form surfaces with low surface roughness, e.g., needed for optical applications. The copper-sulphatebased absorber CuSO4/K-Na-Tartrate/NaOH/formaldehyde in water is used for laser-induced deposition of copper. If this absorber can also be used as precursor for laser-induced ablation, promising industrial applications combining surface structuring and deposition within the same setup could be possible. The etching results applying a KrF excimer (248 nm, 25 ns) and a Nd:YAG (1064 nm, 20 ns) laser are compared. The topography of the etched surfaces were analyzed by scanning electron microscopy (SEM), white light interferometry (WLI) as well as laser scanning microscopy (LSM). The chemical composition of the irradiated surface was studied by energy-dispersive X-ray spectroscopy (EDX) and Fourier transform infrared spectroscopy (FT-IR). For the discussion of the etching mechanism the laser-induced heating was simulated with finite element method (FEM). The results indicate that the UV and IR radiation allows micro structuring of fused silica with the copper-based absorber where the etching process can be explained by the laser-induced formation of a copper-based absorber layer.

  20. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  1. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  2. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  3. Taheri-Saramad x-ray detector (TSXD): a novel high spatial resolution x-ray imager based on ZnO nano scintillator wires in polycarbonate membrane.

    Science.gov (United States)

    Taheri, A; Saramad, S; Ghalenoei, S; Setayeshi, S

    2014-01-01

    A novel x-ray imager based on ZnO nanowires is designed and fabricated. The proposed architecture is based on scintillation properties of ZnO nanostructures in a polycarbonate track-etched membrane. Because of higher refractive index of ZnO nanowire compared to the membrane, the nanowire acts as an optical fiber that prevents the generated optical photons to spread inside the detector. This effect improves the spatial resolution of the imager. The detection quantum efficiency and spatial resolution of the fabricated imager are 11% and <6.8 μm, respectively.

  4. Taheri-Saramad x-ray detector (TSXD): A novel high spatial resolution x-ray imager based on ZnO nano scintillator wires in polycarbonate membrane

    Energy Technology Data Exchange (ETDEWEB)

    Taheri, A., E-mail: at1361@aut.ac.ir; Saramad, S.; Ghalenoei, S.; Setayeshi, S. [Department of Energy Engineering and Physics, Amirkabir University of Technology, Tehran 15875-4413 (Iran, Islamic Republic of)

    2014-01-15

    A novel x-ray imager based on ZnO nanowires is designed and fabricated. The proposed architecture is based on scintillation properties of ZnO nanostructures in a polycarbonate track-etched membrane. Because of higher refractive index of ZnO nanowire compared to the membrane, the nanowire acts as an optical fiber that prevents the generated optical photons to spread inside the detector. This effect improves the spatial resolution of the imager. The detection quantum efficiency and spatial resolution of the fabricated imager are 11% and <6.8 μm, respectively.

  5. Optical-fiber strain sensors with asymmetric etched structures.

    Science.gov (United States)

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  6. Application of solid state track detector to neutron dosimetry

    International Nuclear Information System (INIS)

    Tsuruta, Takao

    1979-01-01

    Though solid state track detectors (SSTD) are radiation measuring instrument for heavy charged particles by itself, it can be used as radiation measuring instrument for neutrons, if nuclear reactions such as (n, f) or (n, α) reaction are utilized. Since the means was found, which permits to observe the tracks of heavy charged particles in a solid with an optical microscope by chemically etching the tracks to enlarge them to etch pits, various types of detectors have been developed for the purpose of measuring neutron dose. The paper is described on the materials and construction of the SSTDs for neutron dosimetry, and the sensitivity is explained with mathematical equations. The features of neutron dosimetry with SSTDs are as follows: They are compact, and scarcely disturb neutron field, thus delicate dose distribution can be known; integration measurement is possible regardless of dose rate values because of integrating type detectors; it is not influenced by β-ray or γ-ray except the case when there is high energy radiation such as causing photonuclear reactions or high dose such as degrading solids, it has pretty high sensitivity; track fading is negligible during the normal measuring time around room temperature; and the etching images of tracks are relatively clear, and various automatic counting systems can be employed. (Wakatsuki, Y.)

  7. Image formation in track-etch detectors: Pt. 4

    International Nuclear Information System (INIS)

    Ilic, Radomir; Najzer, Mitja

    1990-01-01

    The radiographic performance of solid state nuclear track detectors was analysed with respect to image quality. Image quality is expressed in terms of three image quality factors: contrast or gradient of the detector, image unsharpness and detail discernment. Equations for the image quality factors were derived from the radiographic transfer function, taking into account image inhomogeneity caused by statistical fluctuations of track density. To find optimal radiographic conditions for a given application, a single quantity called the figure of radiographic merit was defined. It is expressed as the weighted product of the image quality factors. It was found that optimum image quality of a balanced image, characterized by equal importance of all three image quality factors, is obtained at an exposure value (defined as the product of the average visible track area and track density) of unity. (author)

  8. Performance of the Microwire Detector

    International Nuclear Information System (INIS)

    Adeva, B.; Gomez, F.; Iglesias, A.; Labbe, J.C.; Pazos, A.; Plo, M.; Rodriguez, X.M.; Vazquez, P.

    2001-01-01

    We present here the performance of a new micropattern proportional gas detector, developed by kapton etching technique. Several geometries have been tested under high-intensity beams at PSI (presence of HIPs), including amplification gaps of 50 and 125 μm. Performance results are reported under various operating conditions

  9. Performance of the Microwire Detector

    Energy Technology Data Exchange (ETDEWEB)

    Adeva, B.; Gomez, F.; Iglesias, A.; Labbe, J.C.; Pazos, A.; Plo, M.; Rodriguez, X.M.; Vazquez, P. E-mail: fpvazquez@usc.es

    2001-04-01

    We present here the performance of a new micropattern proportional gas detector, developed by kapton etching technique. Several geometries have been tested under high-intensity beams at PSI (presence of HIPs), including amplification gaps of 50 and 125 {mu}m. Performance results are reported under various operating conditions.

  10. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  11. Detectors in 3D available for assessment

    CERN Document Server

    Re, Valerio

    2014-01-01

    This deliverable reports on 3D devices resulting from the vertical integration of pixel sensors and readout electronics. After 3D integration steps such as etching of through-silicon vias and backside metallization of readout integrated circuits, ASICs and sensors are interconnected to form a 3D pixel detector. Various 3D detectors have been devised in AIDA WP3 and their status and performance is assessed here.

  12. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  13. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  14. Fiber-Optic Refractometer Based on an Etched High-Q π-Phase-Shifted Fiber-Bragg-Grating

    Directory of Open Access Journals (Sweden)

    Ming Han

    2013-07-01

    Full Text Available We present a compact and highly-sensitive fiber-optic refractometer based on a high-Q p-phase-shifted fiber-Bragg-grating (pFBG that is chemically etched to the core of the fiber. Due to the p phase-shift, a strong pFBG forms a high-Q optical resonator and the reflection spectrum features an extremely narrow notch that can be used for highly sensitivity refractive index measurement. The etched pFBG demonstrated here has a diameter of ~9.3 μm and a length of only 7 mm, leading to a refractive index responsivity of 2.9 nm/RIU (RIU: refractive index unit at an ambient refractive index of 1.318. The reflection spectrum of the etched pFBG features an extremely narrow notch with a linewidth of only 2.1 pm in water centered at ~1,550 nm, corresponding to a Q-factor of 7.4 ´ 105, which allows for potentially significantly improved sensitivity over refractometers based on regular fiber Bragg gratings.

  15. Coherent light scattering by nuclear etched tracks in the PADC (a form of CR-39)

    Energy Technology Data Exchange (ETDEWEB)

    Groetz, J.E.; Chambaudet, A. [Universite de Franche-Comte, Besancon (France). Lab. de Microanalyses Nucleaires; Lacourt, A. [Laboratoire d`Optique P.M. Duffieux, UMR 6603 CNRS, Universite de Franche-Comte, 16 route de Gray, 25030 Besancon Cedex (France)

    1998-08-01

    A new kind of measurement has been proposed to improve the reading of the solid state nuclear track detector CR-39. This method is based on coherent light scattering (He-Ne laser) by etched proton tracks, and is complementary to observation under an optical microscope and reading by optical density of the CR-39. The irradiated and chemically etched CR-39 sample is illuminated by a laser beam under a normal incidence angle. The light intensity diffracted by the tracks beyond the sample - defined with the bi-directional transmissive distribution functions - is measured with a photodiode. Thus, the bi-directional transmissive distribution functions depend on the characteristics of the irradiation, namely the track density, track sizes and orientations. We have performed a track light diffraction model calculation through the use of the Fraunhofer diffraction, Babinet`s principle and the spatial coherence and incoherence. We compared calculations and experimental results for the different shapes of tracks: conical, oblique and spherical-shaped. (orig.) 14 refs.

  16. Coherent light scattering by nuclear etched tracks in the PADC (a form of CR-39)

    International Nuclear Information System (INIS)

    Groetz, J.E.; Chambaudet, A.

    1998-01-01

    A new kind of measurement has been proposed to improve the reading of the solid state nuclear track detector CR-39. This method is based on coherent light scattering (He-Ne laser) by etched proton tracks, and is complementary to observation under an optical microscope and reading by optical density of the CR-39. The irradiated and chemically etched CR-39 sample is illuminated by a laser beam under a normal incidence angle. The light intensity diffracted by the tracks beyond the sample - defined with the bi-directional transmissive distribution functions - is measured with a photodiode. Thus, the bi-directional transmissive distribution functions depend on the characteristics of the irradiation, namely the track density, track sizes and orientations. We have performed a track light diffraction model calculation through the use of the Fraunhofer diffraction, Babinet's principle and the spatial coherence and incoherence. We compared calculations and experimental results for the different shapes of tracks: conical, oblique and spherical-shaped. (orig.)

  17. Lifetime Extension of the Gas Discharge Detectors with Plasma Etching of Silicon Deposits in 80%CF4 + 20%CO2

    Science.gov (United States)

    Gavrilov, G. E.; Vakhtel, V. M.; Maysuzenko, D. A.; Tavtorkina, T. A.; Fetisov, A. A.; Shvetsova, N. Yu.

    2017-12-01

    A method of elimination of silicon compounds from the anode wire of an aged proportional counter is presented. The aging of a counter with a 70%Ar + 30%CO2 and a 60%Ar + 30%CO2 + 10%CF4 working mixture was stimulated by a 90Sr β source. To accelerate the process of aging, the gas mixture flow to the counter was supplied through a pipe with RTV coated wall. As a result, the amplitude of the signal decreased 70% already at accumulated charge of Q = 0.03 C/cm. The etching of the silicon compounds on the wire surface with an 80%CF4 + 20%CO2 gas mixture discharge led to full recovery of the operating characteristics of detector and an increase in the lifetime. A scanning electron microscopy and X-ray spectroscopy analysis of the recovered wire surface were performed. In accordance with the results, a good quality of wire cleaning from SiO2 compounds was obtained.

  18. STUDYING THE STRUCTURAL, OPTICAL, CHEMICAL AND ELECTROCHEMICAL ETCHING CHANGES OF CR-39 FOR DOSEMETRIC APPLICATIONS.

    Science.gov (United States)

    Zaki, M F; Elshaer, Y H; Taha, Doaa H

    2017-12-01

    The present work shows the induced modification of the structural, optical, chemical etching and electrochemical etching parameters of CR-39 irradiated with alpha-particles. CR-39 polymer track detectors were irradiated with different fluences (1.62 × 106, 2.72 × 106, 3.82 × 106 and 5.21 × 106 particles/cm2) of alpha-particles using 241Am source. The structural and optical properties were measured by FT-IR spectroscopy, X-ray diffraction and UV/Vis spectroscopy, respectively. The FT-IR spectra reveal that no major changes in the typical functional groups of irradiated polymer detectors. The X-ray diffraction patterns show that a broad band in the region of 12° 27°, which refers to the presence of the combination of amorphous and crystalline phases. UV/Vis responses of irradiated polymer track detectors exhibit a single absorption band in the range of 254-352 nm that is correlated to the occurrence of electronic transition. Also, the changes in the chemical and electrochemical parameters due to alpha-irradiation are examined and thoroughly discussed. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  19. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  20. Neutron Fluence Evaluation using an Am-Be Neutron Sources Assembly and P ADC Detectors

    International Nuclear Information System (INIS)

    Seddik, U.

    2008-01-01

    An assembly of four 241 Am-Be sources has been constructed at Nuclear Reactions Unit (NRU) of Nuclear Research Center (NRU) to perform analysis of different materials using thermal and fast neutrons. In the present paper, we measure the value of transmittance (T) in percentage of etched CR-39 detectors using a spectrophotometer at different neutron fluences ,to relate the transmittance of the detector with the neutron fluence values. The exposed samples to neutrons with accumulated fluence of order between 10 10 and 10 12 cm -2 were etched for 15 time intervals between 10-600 min in 6.25 N NaOH at 70 degree C. The etched samples were analyzed using Tech 8500 II spectrophotometer. A trend of the sample transmission and the etching time is observed which is different for each fluence value. A linear relation between the transmittance decay constant and the neutron fluence is observed which could be used as a calibration to determine unknown neutron fluence

  1. Plastic nuclear track detectors as high x-ray and gamma dosimeters

    International Nuclear Information System (INIS)

    Chong Chon Sing

    1995-01-01

    A brief review of recent studies on the effects of high doses of x-ray and gamma ray on the track registration properties of several plastic track detectors is presented. The bulk etching rates and the etched track sizes have been found to increase with the dose in the range up to 100 Mrad. These results suggest that the changes in track registration characteristics can be employed as an index of the radiation dose in the megarad region. In particular, recent results on the effect of X-ray irradiation on two types of cellulose nitrate track detectors obtained in our laboratory are reported in this paper. (author)

  2. Study of surfactant-added TMAH for applications in DRIE and wet etching-based micromachining

    Science.gov (United States)

    Tang, B.; Shikida, M.; Sato, K.; Pal, P.; Amakawa, H.; Hida, H.; Fukuzawa, K.

    2010-06-01

    In this paper, etching anisotropy is evaluated for a number of different crystallographic orientations of silicon in a 0.1 vol% Triton-X-100 added 25 wt% tetramethylammonium hydroxide (TMAH) solution using a silicon hemisphere. The research is primarily aimed at developing advanced applications of wet etching in microelectromechanical systems (MEMS). The etching process is carried out at different temperatures in the range of 61-81 °C. The etching results of silicon hemisphere and different shapes of three-dimensional structures in {1 0 0}- and {1 1 0}-Si surfaces are analyzed. Significantly important anisotropy, different from a traditional etchant (e.g. pure KOH and TMAH), is investigated to extend the applications of the wet etching process in silicon bulk micromachining. The similar etching behavior of exact and vicinal {1 1 0} and {1 1 1} planes in TMAH + Triton is utilized selectively to remove the scalloping from deep reactive-ion etching (DRIE) etched profiles. The direct application of the present research is demonstrated by fabricating a cylindrical lens with highly smooth etched surface finish. The smoothness of a micro-lens at different locations is measured qualitatively by a scanning electron microscope and quantitatively by an atomic force microscope. The present paper provides a simple and effective fabrication method of the silicon micro-lens for optical MEMS applications.

  3. Characterization of CdTe and (CdZn)Te detectors with different metal contacts

    Science.gov (United States)

    Pekárek, J.; Belas, E.; Grill, R.; Uxa, Å.; James, R. B.

    2013-09-01

    In the present work we studied an influence of different types of surface etching and surface passivation of high resistivity CdZnTe-based semiconductor detector material. The aim was to find the optimal conditions to improve the properties of metal-semiconductor contact. The main effort was to reduce the leakage current and thus get better X-ray and gamma-ray spectrum, i.e. to create a detector operating at room temperature based on this semiconductor material with sufficient energy resolution and the maximum charge collection efficiency. Individual surface treatments were characterized by I-V characteristics, spectral analysis and by determination of the profile of the internal electric field.

  4. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    Science.gov (United States)

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  5. A quick method for maintaining the molarity of NaOH solution during continuous etching of CR-39

    International Nuclear Information System (INIS)

    Khan, E.U.; Husaini, S.N.; Malik, F.; Sajid, M.; Karim, S.; Qureshi, I.E.

    2002-01-01

    A series of experiments have been performed to investigate the physio-chemical changes that occurred in the 6 M NaOH solution after etching CR-39 (Pershore and Homalite) detectors, each for 3, 6, 9, 12 and 15 h. Various physical parameters like concentration, electrical conductivity (EC) and density of the solutions have been measured after each etching interval. Results have been discussed in the light of stoichiometrical equation for the interaction of CR-39 with NaOH. It is found that the concentration, EC and the density of the solution, in which CR-39 has been etched, increase linearly with respect to the etching time. The inter-relationship of EC-concentration and density-concentration suggest that the concentration of the etchant can be maintained at certain desired value by adjusting the corresponding value of EC or density, measured through non-destructive and quick methods

  6. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching

    Science.gov (United States)

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-01-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted chemical etching and photo-enhanced post-annealing at 100 °C for 1 min. As a result, the light output power of GaN-based TF-LEDs with wet etching and post-annealing was over 72% more than that of LEDs that did not undergo these treatments.

  7. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  8. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  9. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  10. Recent Developments in GEM-Based Neutron Detectors

    International Nuclear Information System (INIS)

    Saenboonruang, K.

    2014-01-01

    The gas electron multiplier (GEM) detector is a relatively new gaseous detector that has been used for less than 20 years. Since the discovery in 1997 by F. Sauli, the GEM detector has shown excellent properties including high rate capability, excellent resolutions, low discharge probability, and excellent radiation hardness. These promising properties have led the GEM detector to gain popularity and attention amongst physicists and researchers. In particular, the GEM detector can also be modified to be used as a neutron detector by adding appropriate neutron converters. With properties stated above and the need to replace the expensive 3 He-based neutron detectors, the GEM-based neutron detector will be one of the most powerful and affordable neutron detectors. Applications of the GEM-based neutron detectors vary from researches in nuclear and particle physics, neutron imaging, and national security. Although several promising progresses and results have been shown and published in the past few years, further improvement is still needed in order to improve the low neutron detection efficiency (only a few percent) and to widen the possibilities for other uses.

  11. Nanoporous polymeric nanofibers based on selectively etched PS-b-PDMS block copolymers.

    Science.gov (United States)

    Demirel, Gokcen B; Buyukserin, Fatih; Morris, Michael A; Demirel, Gokhan

    2012-01-01

    One-dimensional nanoporous polymeric nanofibers have been fabricated within an anodic aluminum oxide (AAO) membrane by a facile approach based on selective etching of poly(dimethylsiloxane) (PDMS) domains in polystyrene-block-poly(dimethylsiloxane) (PS-b-PDMS) block copolymers that had been formed within the AAO template. It was observed that prior to etching, the well-ordered PS-b-PDMS nanofibers are solid and do not have any porosity. The postetched PS nanofibers, on the other hand, had a highly porous structure having about 20-50 nm pore size. The nanoporous polymeric fibers were also employed as a drug carrier for the native, continuous, and pulsatile drug release using Rhodamine B (RB) as a model drug. These studies showed that enhanced drug release and tunable drug dosage can be achieved by using ultrasound irradiation. © 2011 American Chemical Society

  12. Precision Recess of AlGaN/GaN with Controllable Etching Rate Using ICP-RIE Oxidation and Wet Etching

    NARCIS (Netherlands)

    Sokolovskij, R.; Sun, J.; Santagata, F.; Iervolino, E.; Li, S.; Zhang, G.Y.; Sarro, P.M.; Zhang, G.Q.

    2016-01-01

    A method for highly controllable etching of AlGaN/GaN for the fabrication of high sensitivity HEMT based sensors is developed. The process consists of cyclic oxidation of nitride with O2 plasma using ICP-RIE etcher followed by wet etching of the oxidized layer. Previously reported

  13. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  14. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  15. Di- and tri-carboxylic-acid-based etches for processing high temperature superconducting thin films and related materials

    International Nuclear Information System (INIS)

    Ginley, D.S.; Barr, L.; Ashby, C.I.H.; Plut, T.A.; Urea, D.; Siegal, M.P.; Martens, J.S.; Johansson, M.E.

    1994-01-01

    The development of passive and active electronics from high-temperature superconducting thin films depends on the development of process technology capable of producing appropriate feature sizes without degrading the key superconducting properties. We present a new class of chelating etches based on di- and tri-carboxylic acids that are compatible with positive photoresists and can produce sub-micron feature sizes while typically producing increases the microwave surface resistance at 94 GHz by less than 10%. This simple etching process works well for both the Y--Ba--Cu--O and Tl--Ba--Ca--Cu--O systems. In addition, we demonstrate that the use of chelating etches with an activator such as HF allows the etching of related oxides such as LaAlO 3 , which is a key substrate material, and Pb(Zr 0.53 Ti 0.47 )O 3 (PZT) which is a key ferroelectric material for HTS and other applications such as nonvolatile memories

  16. Deep glass etched microring resonators based on silica-on-silicon technology

    DEFF Research Database (Denmark)

    Ou, Haiyan; Rottwitt, Karsten; Philipp, Hugh Taylor

    2006-01-01

    Microring resonators fabricated on silica-on-silicon technology using deep glass etching are demonstrated. The fabrication procedures are introduced and the transmission spectrum of a resonator is presented.......Microring resonators fabricated on silica-on-silicon technology using deep glass etching are demonstrated. The fabrication procedures are introduced and the transmission spectrum of a resonator is presented....

  17. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  18. Response of CR-39 to 0.9-2.5 MeV protons for KOH and NaOH etching solutions

    Science.gov (United States)

    Bahrami, F.; Mianji, F.; Faghihi, R.; Taheri, M.; Ansarinejad, A.

    2016-03-01

    In some circumstances passive detecting methods are the only or preferable measuring approaches. For instance, defining particles' energy profile inside the objects being irradiated with heavy ions and measuring fluence of neutrons or heavy particles in space missions are the cases covered by these methods. In this paper the ability of polyallyl diglycol carbonate (PADC) track detector (commercially known as CR-39) for passive spectrometry of proton particles is studied. Furthermore, the effect of KOH and NaOH as commonly used chemical etching solutions on the response of the detector is investigated. The experiments were carried out with protons in the energy range of 0.94-2.5 MeV generated by a Van de Graaff accelerator. Then, the exposed track dosimeters were etched in the two aforementioned etchants through similar procedure with the same normality of 6.25 N and the same temperature of 85 °C. Formation of the tracks was precisely investigated and the track diameters were recorded following every etching step for each solution using a multistage etching process. The results showed that the proposed method can be efficiently used for the spectrometry of protons over a wider dynamic range and with a reasonable accuracy. Moreover, NaOH and KOH outperformed each other over different regions of the proton energy range. The detection efficiency of both etchants was approximately 100%.

  19. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  20. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  1. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  2. Design and Fabrication of the Second-Generation KID-Based Light Detectors of CALDER

    Science.gov (United States)

    Colantoni, I.; Cardani, L.; Casali, N.; Cruciani, A.; Bellini, F.; Castellano, M. G.; Cosmelli, C.; D'Addabbo, A.; Di Domizio, S.; Martinez, M.; Tomei, C.; Vignati, M.

    2018-04-01

    The goal of the cryogenic wide-area light detectors with excellent resolution project is the development of light detectors with large active area and noise energy resolution smaller than 20 eV RMS using phonon-mediated kinetic inductance detectors (KIDs). The detectors are developed to improve the background suppression in large-mass bolometric experiments such as CUORE, via the double readout of the light and the heat released by particles interacting in the bolometers. In this work we present the fabrication process, starting from the silicon wafer arriving to the single chip. In the first part of the project, we designed and fabricated KID detectors using aluminum. Detectors are designed by means of state-of-the-art software for electromagnetic analysis (SONNET). The Al thin films (40 nm) are evaporated on high-quality, high-resistivity (> 10 kΩ cm) Si(100) substrates using an electron beam evaporator in a HV chamber. Detectors are patterned in direct-write mode, using electron beam lithography (EBL), positive tone resist poly-methyl methacrylate and lift-off process. Finally, the chip is diced into 20 × 20 mm2 chips and assembled in a holder OFHC (oxygen-free high conductivity) copper using PTFE support. To increase the energy resolution of our detectors, we are changing the superconductor to sub-stoichiometric TiN (TiN x ) deposited by means of DC magnetron sputtering. We are optimizing its deposition by means of DC magnetron reactive sputtering. For this kind of material, the fabrication process is subtractive and consists of EBL patterning through negative tone resist AR-N 7700 and deep reactive ion etching. Critical temperature of TiN x samples was measured in a dedicated cryostat.

  3. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Novak, Spencer; Richardson, Kathleen [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, South Carolina 29634 (United States); Fathpour, Sasan, E-mail: fathpour@creol.ucf.edu [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Electrical Engineering and Computer Science, University of Central Florida, Orlando, Florida 32816 (United States)

    2015-03-16

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  4. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    International Nuclear Information System (INIS)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh; Novak, Spencer; Richardson, Kathleen; Fathpour, Sasan

    2015-01-01

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes

  5. Calibration of new batches and a study of applications of nuclear track detectors under the harsh conditions of nuclear fusion experiments

    Energy Technology Data Exchange (ETDEWEB)

    Malinowska, A., E-mail: a.malinowska@ncbj.gov.pl [National Centre for Nuclear Research, Andrzeja Soltana 7 Str., 05-400 Otwock (Poland); Szydlowski, A.; Jaskola, M.; Korman, A.; Malinowski, K.; Kuk, M. [National Centre for Nuclear Research, Andrzeja Soltana 7 Str., 05-400 Otwock (Poland)

    2012-06-15

    craters observed in non irradiated and non heated samples. Finally, based on the collected data an attempt was made to compare the sensitivity function of detectors from different deliveries, as well as those that were subjected to extreme external conditions. To this end we estimated the values of the sensitivity function v=(v{sub T})/(v{sub B}) for the detectors in question using mainly the simple model of two etching rates v{sub T} and v{sub B} .

  6. Evidence of formation of trans-Fe nuclei in Fe+Al interactions at 1.88 GeV using Cr-39 (DOP) detector

    International Nuclear Information System (INIS)

    Ganguly, A.K.; Chaudhuri, Biva

    1991-01-01

    A wedge-shaped aluminium target was irradiated with 1.88 A GeV Fe beam to study various features of Fe+Al nucleus-nucleus interaction and their dependence on target thickness. The detector employed was a stack of CR-39 (DOP) and Lexan plastic nuclear track detectors which have a characteristically high charge resolution property. To distinguish the actual events from background and buildup a selection criteria for easy and unambiguous rejection of unwanted interfering events the stack of detectors was placed at an angle of 60deg with respect to the beam. After irradiation the CR-39 (DOP) detectors were etched and the elliptic etch-pit diameters were scanned. The diameter distribution of the elliptic etch-pits exhibits the existence of trans Fe nuclei. The production of trans Fe fraction is seen to increase with the thickness of the aluminium target. The possible causes of this increase are being investigated. (author). 12 refs., 4 figs

  7. Empirical assessment of the detection efficiency of CR-39 at high proton fluence and a compact, proton detector for high-fluence applications

    Energy Technology Data Exchange (ETDEWEB)

    Rosenberg, M. J., E-mail: mrosenbe@mit.edu; Séguin, F. H.; Waugh, C. J.; Rinderknecht, H. G.; Orozco, D.; Frenje, J. A.; Johnson, M. Gatu; Sio, H.; Zylstra, A. B.; Sinenian, N.; Li, C. K.; Petrasso, R. D. [Plasma Science and Fusion Center, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States); Glebov, V. Yu.; Stoeckl, C.; Hohenberger, M.; Sangster, T. C. [Laboratory for Laser Energetics, University of Rochester, Rochester, New York 14623 (United States); LePape, S.; Mackinnon, A. J.; Bionta, R. M.; Landen, O. L. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States); and others

    2014-04-15

    CR-39 solid-state nuclear track detectors are widely used in physics and in many inertial confinement fusion (ICF) experiments, and under ideal conditions these detectors have 100% detection efficiency for ∼0.5–8 MeV protons. When the fluence of incident particles becomes too high, overlap of particle tracks leads to under-counting at typical processing conditions (5 h etch in 6N NaOH at 80 °C). Short etch times required to avoid overlap can cause under-counting as well, as tracks are not fully developed. Experiments have determined the minimum etch times for 100% detection of 1.7–4.3-MeV protons and established that for 2.4-MeV protons, relevant for detection of DD protons, the maximum fluence that can be detected using normal processing techniques is ≲3 × 10{sup 6} cm{sup −2}. A CR-39-based proton detector has been developed to mitigate issues related to high particle fluences on ICF facilities. Using a pinhole and scattering foil several mm in front of the CR-39, proton fluences at the CR-39 are reduced by more than a factor of ∼50, increasing the operating yield upper limit by a comparable amount.

  8. Fast neutron personnel dosimetry by CR-39 plastics a new electrochemical etching procedure

    International Nuclear Information System (INIS)

    Djeffal, S.

    1984-07-01

    In the first part of this work a brief description of solid state nuclear track detectors, the principles of track registration and the different reading techniques are given. In the experimental part of the present work we systematically analysed different etching procedures and set a new electrochemical etching method, which enables us to develop a new fast neutron dosimeter. This fast neutron dosimeter makes possible the measurement of low neutron doses in the energy range from 10 Kev to 20 Mev with a reasonably flat energy response. These new developments are very attractive in personnel neutron dosimetry where nuclear emulsions are still used despite their insensitivity to neutron energies down to 500 Kev (i.e. the energy range one often encounters around nuclear facilities)

  9. Response of CR-39 to 0.9–2.5 MeV protons for KOH and NaOH etching solutions

    Energy Technology Data Exchange (ETDEWEB)

    Bahrami, F. [Department of Medical Radiation Engineering, Shiraz University, Shiraz (Iran, Islamic Republic of); Mianji, F., E-mail: fmianji@aeoi.org.ir [Nuclear Science & Technology Research Institute, Tehran (Iran, Islamic Republic of); Iran Nuclear Regulatory Authority, Tehran (Iran, Islamic Republic of); Faghihi, R. [Department of Medical Radiation Engineering, Shiraz University, Shiraz (Iran, Islamic Republic of); Taheri, M. [Iran Nuclear Regulatory Authority, Tehran (Iran, Islamic Republic of); Ansarinejad, A. [Nuclear Science & Technology Research Institute, Tehran (Iran, Islamic Republic of)

    2016-03-21

    In some circumstances passive detecting methods are the only or preferable measuring approaches. For instance, defining particles' energy profile inside the objects being irradiated with heavy ions and measuring fluence of neutrons or heavy particles in space missions are the cases covered by these methods. In this paper the ability of polyallyl diglycol carbonate (PADC) track detector (commercially known as CR-39) for passive spectrometry of proton particles is studied. Furthermore, the effect of KOH and NaOH as commonly used chemical etching solutions on the response of the detector is investigated. The experiments were carried out with protons in the energy range of 0.94–2.5 MeV generated by a Van de Graaff accelerator. Then, the exposed track dosimeters were etched in the two aforementioned etchants through similar procedure with the same normality of 6.25 N and the same temperature of 85 °C. Formation of the tracks was precisely investigated and the track diameters were recorded following every etching step for each solution using a multistage etching process. The results showed that the proposed method can be efficiently used for the spectrometry of protons over a wider dynamic range and with a reasonable accuracy. Moreover, NaOH and KOH outperformed each other over different regions of the proton energy range. The detection efficiency of both etchants was approximately 100%.

  10. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  11. Top-down topography of deeply etched silicon in the scanning electron microscope

    International Nuclear Information System (INIS)

    Wells, Oliver C.; Murray, Conal E.; Rullan, Jonathan L.; Gignac, Lynne M.

    2004-01-01

    It is proposed to measure the cross sections of steep-sided etched lines and similar deep surface topography on partially completed silicon integrated circuit wafers using either the backscattered electron (BSE) or the low-loss electron (LLE) image in the scanning electron microscope (SEM). These images contain regions where the collected signal is zero because there is no direct line of sight between the landing point of the electron beam on the specimen and the BSE or LLE detector. It is proposed to use the boundary of such a region in the SEM image as a geometrical line to measure the surface topography. Or alternatively, a shadow can be seen in the distribution of either BSE or LLE with an image-forming detector system. The use of this shadow position on the detector to measure deep surface topography will be demonstrated

  12. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  13. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  14. Neutron-induced modifications on Hostaphan and Makrofol wettability and etching behaviors

    International Nuclear Information System (INIS)

    El-Sayed, D.; El-Saftawy, A.A.; Abd El Aal, S.A.; Fayez-Hassan, M.; Al-Abyad, M.; Mansour, N.A.; Seddik, U.

    2017-01-01

    Understanding the nature of polymers used as nuclear detectors is crucial to enhance their behaviors. In this work, the induced modifications in wettability and etching properties of Hostaphan and Makrofol polymers irradiated by different fluences of thermal neutrons are investigated. The wetting properties are studied by contact angle technique which showed the spread out of various liquids over the irradiated polymers surfaces (wettability enhanced). This wetting behavior is attributed to the induced changes in surface free energy (SFE), morphology, roughness, structure, hardness, and chemistry. SFE values are calculated by three different models and found to increase after neutrons irradiation associated with differences depending on the used model. These differences result from the intermolecular interactions in the liquid/polymer system. Surface morphology and roughness of both polymers showed drastic changes after irradiation. Additionally, surface structure and hardness of pristine and irradiated polymers were discussed and correlated to the surface wettability improvements. The changes in surface chemistry are examined by Fourier transform infrared spectroscopy (FTIR), which indicate an increase in surface polarity due to the formation of polar groups. The irradiated polymers etching characteristics and activation energies are discussed as well. Lastly, it is evident that thermal neutrons show efficiency in improving surface wettability and etching properties of Hostaphan and Makrofol in a controlled way. - Highlights: • Neutrons radiation used to modify Hostaphan and Makrofol polymer wetting behavior. • Tailoring surface structure, topography and chemistry control its wettability. • Bulk etching rate and activation energy improved after neutrons irradiation.

  15. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  16. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  17. Uniformly thinned optical fibers produced via HF etching with spectral and microscopic verification.

    Science.gov (United States)

    Bal, Harpreet K; Brodzeli, Zourab; Dragomir, Nicoleta M; Collins, Stephen F; Sidiroglou, Fotios

    2012-05-01

    A method for producing uniformly thinned (etched) optical fibers is described, which can also be employed to etch optical fibers containing a Bragg grating (FBG) uniformly for evanescent-field-based sensing and other applications. Through a simple modification of this method, the fabrication of phase-shifted FBGs based on uneven etching is also shown. The critical role of how a fiber is secured is shown, and the success of the method is illustrated, by differential interference contrast microscopy images of uniformly etched FBGs. An etched FBG sensor for the monitoring of the refractive index of different glycerin solutions is demonstrated.

  18. A passive radon dosimeter based on the combination of a track etch detector and activated charcoal

    CERN Document Server

    Deynse, A V; Poffijn, A

    1999-01-01

    The aim of this work is to test a combination of a Makrofol track detector with a new type of charcoal (Carboxen-564) to design a personal radon dosimeter. The intention is to use this dosimeter as a personal radon dosimeter to measure the monthly radon exposure in workplaces, especially when the occupancy is not exactly known. The proposed combination was exposed to low and high concentrations of radon in a large range of relative humidity (RH). For the optimal layer thickness, a charcoal bed of 2.2 mm, a specific track density of 5.1 tracks cm sup - sup 2 /kBq h m sup - sup 3 was obtained. For a monthly working exposure (170 h) at an average radon concentration of 100 Bq/m sup 3 , this means 87 tracks/cm sup 2 or 10 times the background of the Makrofol detector, with a statistical uncertainty of 15%.

  19. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  20. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  1. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  2. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  3. Triton, deuteron and proton responses of the CR-39 track detector

    Energy Technology Data Exchange (ETDEWEB)

    Yamauchi, Tomoya; Matsumoto, Hiroyoshi; Oda, Keiji [Kobe Univ. of Mercantile Marine (Japan)

    1996-07-01

    In the present study, we assessed the response of the CR-39 detector to proton, deuteron and triton from their etch-pit growth curves obtained by multi-step etching technique and the difference among their track registration properties was discussed. In order to avoid incorrect evaluation due to the missing track effect, particle irradiation was performed at various incident energies. The response function, S(R), etch rate ratio, S, as a function of the residual range, R, was experimentally evaluated for all hydrogen isotopes by this method. In the next, we obtained another form of response functions of S(E), S({beta}) and S(LET{sub 200}), which were presented as functions of the particle energy, E, the particle velocity, {beta}(=v/c), and the linear energy transfer in the case where the cut-off energy is 200 eV, LET{sub 200}, respectively. These information will be useful also in understanding the fundamentals of the latent track formation mechanism in the plastic track detectors. (J.P.N.)

  4. Observation of anomalons in CR-39 track detectors

    International Nuclear Information System (INIS)

    Tincknell, M.L.; Price, P.B.

    1984-01-01

    The authors have observed fragments of 1.85 GeV/nucleon 40 Ar in CR-39 etched track detector and they find anomalously short mean free paths (mfp's) of secondary nuclei with 11 less than or equal to Z less than or equal to 17 in the first 2 cm after their production, at approx.3 standard deviations. This confirms previous reports of this anomalon effect in nuclear emulsion in a new detector with dissimilar potential systematic errors

  5. The solid state track detectors for α-particles angular distribution measurements

    International Nuclear Information System (INIS)

    Bakr, M.H.S.

    1978-01-01

    The solid state track detectors technique is described in details from the point of view of applying them in nuclear reactions research. Using an optimum developing solution, the etching rate of polycarbonate detector was found to be 10.5 μ/hour. The energy resolution of this detector was estimated using 241 Am α-source at α-energies between 1 and 3 Mev. The scattering chamber designed for angular distribution measurements using solid state track detectors is described. A special schematic normograph for range-energy-degrading foils relation is given

  6. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  7. Theoretical determination of the neutron detection efficiency of plastic track detectors. Pt. 1

    International Nuclear Information System (INIS)

    Pretzsch, G.

    1982-01-01

    A theoretical model to determine the neutron detection efficiency of organic solid state nuclear track detectors without external radiator is described. The model involves the following calculation steps: production of heavy charged particles within the detector volume, characterization of the charged particles by appropriate physical quantities, application of suitable registration criteria, formation of etch pits. The etch pits formed are described by means of a distribution function which is doubly differential in both diameter and depth of the etch pits. The distribution function serves as the input value for the calculation of the detection efficiency. The detection efficiency is defined as the measured effect per neutron fluence. Hence it depends on the evaluation technique considered. The calculation of the distribution function is carried out for cellulose triacetate. The determination of the concrete detection efficiency using the light microscope and light transmission measurements as the evaluation technique will be described in further publications. (orig.)

  8. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  9. Site-controlled fabrication of silicon nanotips by indentation-induced selective etching

    Science.gov (United States)

    Jin, Chenning; Yu, Bingjun; Liu, Xiaoxiao; Xiao, Chen; Wang, Hongbo; Jiang, Shulan; Wu, Jiang; Liu, Huiyun; Qian, Linmao

    2017-12-01

    In the present study, the indentation-induced selective etching approach is proposed to fabricate site-controlled pyramidal nanotips on Si(100) surface. Without any masks, the site-controlled nanofabrication can be realized by nanoindentation and post etching in potassium hydroxide (KOH) solution. The effect of indentation force and etching time on the formation of pyramidal nanotips was investigated. It is found that the height and radius of the pyramidal nanotips increase with the indentation force or etching time, while long-time etching can lead to the collapse of the tips. The formation of pyramidal tips is ascribed to the anisotropic etching of silicon and etching stop of (111) crystal planes in KOH aqueous solution. The capability of this fabrication method was further demonstrated by producing various tip arrays on silicon surface by selective etching of the site-controlled indent patterns, and the maximum height difference of these tips is less than 10 nm. The indentation-induced selective etching provides a new strategy to fabricate well site-controlled tip arrays for multi-probe SPM system, Si nanostructure-based sensors and high-quality information storage.

  10. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  11. Study of the reduction in detection limits of track detectors used for {sup 10}B(n,α){sup 7}Li reaction rate measure through annealing and chemical etching experiments; Estudo da reducao nos limites de deteccao de detectores de tracos utilizados na medida de taxa de reacao {sup 10}B(n, α){sup 7}Li atraves de experimentos de annealing e ataque quimico

    Energy Technology Data Exchange (ETDEWEB)

    Vasconcellos, Herminiane L.; Smilgys, Barbara; Guedes, Sandro, E-mail: hluizav@ifi.unicamp.br [Universidade Estadual de Campinas (UNICAMP), SP (Brazil). Instituto de Fisica Gleb Wataghin; Castro, Vinicius A. [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil). Centro de Engenharia Nuclear

    2013-08-15

    The Boron Neutron Capture Therapy (BNCT) is an experimental radiotherapy for cancer treatment. It is based on {sup 10}B(n, α){sup 7}Li reaction, which can be measured by track detectors capable of recording events that strike them. With this recording, it is possible to determine the number of alpha particles and recoiling Lithium-7 nucleus, reaction products, and from this information, which amount of radiation dose a patient is exposed to. In this work, PADC detectors were characterized, irradiated at the IEA-R1 IPEN/CNEN reactor to assess the contribution of the{sup 10}B(n, α){sup 7}Li reaction and protons from fast neutron scattering with the elements that compounds the tissue. With the aim of reducing the proton background, the detectors were subjected to heating experiments at 80°C for periods in the range 0-100 hours. This was done in order to restore partially modified structure of the detector, causing a reduction in the size and density of tracks. This effect is known as annealing. For the visualization of tracks at microscope, detectors were made three chemical attacks with sodium hydroxide (NaOH) for 30, 60 and 90 minutes at 70°C. It was observed a reduction in the track density achieving a plateau heating time of 50 hours. For detectors that have not undergone annealing and were etched with another etchant, PEW solution, a reduction of 87% in track density was obtained. (author)

  12. Dual-sided microstructured semiconductor neutron detectors (DSMSNDs)

    International Nuclear Information System (INIS)

    Fronk, Ryan G.; Bellinger, Steven L.; Henson, Luke C.; Ochs, Taylor R.; Smith, Colten T.; Kenneth Shultis, J.; McGregor, Douglas S.

    2015-01-01

    Microstructured semiconductor neutron detectors (MSNDs) have in recent years received much interest as high-efficiency replacements for thin-film-coated thermal neutron detectors. The basic device structure of the MSND involves micro-sized trenches that are etched into a vertically-oriented pvn-junction diode that are backfilled with a neutron converting material. Neutrons absorbed within the converting material induce fission of the parent nucleus, producing a pair of energetic charged-particle reaction products that can be counted by the diode. The MSND deep-etched microstructures produce good neutron-absorption and reaction-product counting efficiencies, offering a 10× improvement in intrinsic thermal neutron detection efficiency over thin-film-coated devices. Performance of present-day MSNDs are nearing theoretical limits; streaming paths between the conversion-material backfilled trenches, allow a considerable fraction of neutrons to pass undetected through the device. Dual-sided microstructured semiconductor neutron detectors (DSMSNDs) have been developed that utilize a complementary second set of trenches on the back-side of the device to count streaming neutrons. DSMSND devices are theoretically capable of greater than 80% intrinsic thermal neutron detection efficiency for a 1-mm thick device. The first such prototype DSMSNDs, presented here, have achieved 29.48±0.29% nearly 2× better than MSNDs with similar microstructure dimensions.

  13. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  14. Studies of biocompatibility of chemically etched CR-39 SSNTDs in view of their applications in alpha-particle radiobiological experiments

    International Nuclear Information System (INIS)

    Li, W.Y.; Chan, K.F.; Tse, A.K.W.; Fong, W.F.; Yu, K.N.

    2006-01-01

    Alpha-particle radiobiological experiments involve irradiating cells with alpha particles and require thin biocompatible materials which can record alpha-particle traversals as substrates for cell cultures. The biocompatibilities of chemically etched CR-39 solid-state nuclear track detectors (SSNTDs) using aqueous NaOH or NaOH/ehtanol are studied through the abundance and morphology of the cultured HeLa cells. The wetting properties of these etched CR-39 SSNTDs are also studied. The moderately hydrophobic CR-39 SSNTDs as well as the hydrophobic NaOH/ethanol-etched CR-39 SSNTDs are more biocompatible than the hydrophilic aqueous-NaOH-etched SSNTDs. Too small water contact angles, too large surface energy (γ s ) or the polar component γ s p do not favor the cell culture. On the other hand, the dispersive component γ s d of the surface energy and the ratio γ s p /γ s d do not seem to significantly affect the biocompatibility

  15. X-ray detectors based on image sensors

    International Nuclear Information System (INIS)

    Costa, A.P.R.

    1983-01-01

    X-ray detectors based on image sensors are described and a comparison is made between the advantages and the disadvantages of such a kind of detectors with the position sensitive detectors. (L.C.) [pt

  16. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  17. Influence of asymmetric etching on ion track shapes in polycarbonate

    International Nuclear Information System (INIS)

    Clochard, M.-C.; Wade, T.L.; Wegrowe, J.-E.; Balanzat, E.

    2007-01-01

    By combining low-energy ion irradiation with asymmetric etching, conical nanopores of controlled geometry can be etched in polycarbonate (PC). Cone bases vary from 0.5 to 1 μm. Top diameters down to 17 nm are reached. When etching from one side, the pH on the other side (bathed in neutral or acidic buffer) was monitored. Etching temperature ranged from 65 deg. C to 80 deg. C. Pore shape characterization was achieved by electro replication combined with SEM observation. The tip shape depended on whether an acidic buffer was used or not on the stopped side

  18. Solid track detectors used for the dating by thermoluminescence

    International Nuclear Information System (INIS)

    Miallier, D.

    1982-10-01

    A new α counting technic is presented in the field of the thermoluminescent dating method. It is based upon α particles registration in plastic detectors LR-115 Kodak in close contact with archeological or geological samples. The control of etching and counting conditions allowed to improve the usual precision of the detector. The following data are collected: α and β dose rates deposited by Uranium and Thorium series; an approximative value for the Uranium-Thorium ratio; spatial distribution of α emittors within samples and the radon escape rated. This method was used simultaneously with the ''quartz inclusion technic'' to date several samples: volcanic lava flows from the ''Chaine des Puys'' (Center France); the Butte de Clermont basaltic maar; a neolithic ''cardial'' pot-sherd and bricks from a minoaan palace (Mallia, Crete) [fr

  19. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  20. Multielement X-ray row detector on GaAs with spatial resolution of 108 μm

    International Nuclear Information System (INIS)

    Dvoryankin, V.F.; Dikaev, Yu.M.; Krikunov, A.I.; Panova, T.M.; Telegin, A.A.

    2004-01-01

    The multielement X-ray row detector with pitch of 108 μm was made on epitaxial GaAs (p + -n-n'-n + ) structures by isotropic etching in solution HCl-KBrO 3 -H 2 O. Separation of signals from the near-by detectors is achieved by built-in guard ring on each pixel. The spatial response of the detectors was evaluated

  1. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  2. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  3. Design and development of wide energy neutron REM equivalent spectrometer-dosimeters based on polycarbonates and Cr-39

    International Nuclear Information System (INIS)

    Faermann, S.

    1985-03-01

    This work describes a system composed of a Rem response personnel neutron dosemeter, based on boron radiators and a polycarbonate track detector, for monitoring dose equivalents in the energy range 1 eV to 14 MeV, an electrochemical etching system for revealing damage sites in solid state track etch detectors, a reader for magnifying the etched pits and a microprocessor for evaluating the dose equivalents and their uncertainties. The performance and directional dependence of the dosemeter when exposed to monoenergetic and polyenergetic neutron fields in the epithermal and fast energy regions are discussed. Saturation effects in polycarbonate foils are presented and a comparison is made between the response of polycarbonate and CR-39 foils, used as passive detectors in the dosemeter. A new passive miniature fast neutron spectrometer-dosimeter is also described. The device is based on the detection of proton tracks by electrochemical etching of CR-39 foils covered with thin polyethylene layers of different thicknesses. By means of this device it is possible to assess the fast neutron energy spectrum in 10 energy intervals in the energy range 0.5-15 MeV. Dose equivalents can be determined in the dose equivalent range 20 mRem to 8 Rem, approximately (author)

  4. Pore fabrication in various silica-based nanoparticles by controlled etching

    KAUST Repository

    Zhao, Lan

    2010-07-20

    A novel method based on controlled etching was developed to fabricate nanopores on preformed silica nanoparticles (<100 nm in diameter). The obtained monodisperse nanoporous particles could form highly stable homogeneous colloidal solution. Fluorescent silica nanoparticles and magnetic silica-coated γ-Fe 2O 3 nanoparticles were investigated as examples to illustrate that this strategy could be generally applied to various silica-based functional nanoparticles. The results indicated that this method was effective for generating pores on these nanoparticles without altering their original functionalities. The obtained multifunctional nanoparticles would be useful for many biological and biomedical applications. These porous nanoparticles could also serve as building blocks to fabricate three-dimensionally periodic structures that have the potential to be used as photonic crystals. © 2010 American Chemical Society.

  5. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  6. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  7. Fast Neutron Dosimetry Using CR-39 Nuclear Track Detector

    International Nuclear Information System (INIS)

    ZAKI, M.; ABDEL-NABY, A.; MORSY, A.

    2010-01-01

    Measurement of the neutron dose in and around the neutron sources is important for the purpose of personnel and environmental neutron dosimetry. In the present study, a method for the measurement of neutron dose using the UV-Vis spectra of CR-39 plastic track detector was investigated. A set of CR-39 plastic detectors was exposed to 252 Cf neutron source, which had the yield of 0.68x10 8 /s, and neutron dose equivalent rate 1m apart from the source is equal to 3.8 mrem/h. The samples were etched for 10 h in 6.25 N NaOH at 70 o C. The absorbance of the etched samples was measured using UV-visible spectrophotometer as a function of neutron dose. It was observed that there was a linear relationship between the optical absorption of these detectors and neutron dose. This means that the exposure dose of neutron can be determined by knowing the optical absorption of the sample. These results were compared with previous study. It was found that there was a matching and good agreement with their investigations.

  8. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  9. Fabrication of nanopores in multi-layered silicon-based membranes using focused electron beam induced etching with XeF_2 gas

    International Nuclear Information System (INIS)

    Liebes-Peer, Yael; Bandalo, Vedran; Sökmen, Ünsal; Tornow, Marc; Ashkenasy, Nurit

    2016-01-01

    The emergent technology of using nanopores for stochastic sensing of biomolecules introduces a demand for the development of simple fabrication methodologies of nanopores in solid state membranes. This process becomes particularly challenging when membranes of composite layer architecture are involved. To overcome this challenge we have employed a focused electron beam induced chemical etching process. We present here the fabrication of nanopores in silicon-on-insulator based membranes in a single step process. In this process, chemical etching of the membrane materials by XeF_2 gas is locally accelerated by an electron beam, resulting in local etching, with a top membrane oxide layer preventing delocalized etching of the silicon underneath. Nanopores with a funnel or conical, 3-dimensional (3D) shape can be fabricated, depending on the duration of exposure to XeF_2, and their diameter is dominated by the time of exposure to the electron beam. The demonstrated ability to form high-aspect ratio nanopores in comparably thick, multi-layered silicon based membranes allows for an easy integration into current silicon process technology and hence is attractive for implementation in biosensing lab-on-chip fabrication technologies. (author)

  10. Measurement of bulk etch rates for poly-allyl-diglycol carbonate (PADC) and cellulose nitrate in a broad range of concentration and temperature of NaOH etching solution

    International Nuclear Information System (INIS)

    Hermsdorf, D.; Hunger, M.; Starke, S.; Weickert, F.

    2007-01-01

    In the present work the dependence of the bulk etch rate v B for solid state nuclear track detectors (SSNTD) on the concentration c and the temperature T of the NaOH etching solution has been studied for material types PADC and cellulose nitrate. As commonly applied exponents of PADC and cellulose nitrate material, the commercial products CR-39 and LR-115 were investigated. The concentration and temperature have been varied in the ranges 0.5moll -1 -1 and 313 -1 and T between 313 and 333K for cellulose nitrate, respectively. The application of a simple Arrhenius-law of chemical reactions fails in the interpretation of the dependence on the concentration. A constant activation energy cannot describe the behaviour of v B (c,T) over the whole range of concentration. To understand the deviation, more qualified models treating the superposition of chemical and physical processes including reaction kinetics and material transport phenomena by diffusion have to be developed and tested

  11. Multielement X-ray row detector on GaAs with spatial resolution of 108 {mu}m

    Energy Technology Data Exchange (ETDEWEB)

    Dvoryankin, V.F.; Dikaev, Yu.M. E-mail: ymd289@ire216.msk.ru; Krikunov, A.I.; Panova, T.M.; Telegin, A.A

    2004-09-21

    The multielement X-ray row detector with pitch of 108 {mu}m was made on epitaxial GaAs (p{sup +}-n-n'-n{sup +}) structures by isotropic etching in solution HCl-KBrO{sub 3}-H{sub 2}O. Separation of signals from the near-by detectors is achieved by built-in guard ring on each pixel. The spatial response of the detectors was evaluated.

  12. Feasibility of a neutron detector-dosemeter based on single-event upsets in dynamic random-access memories

    International Nuclear Information System (INIS)

    Phillips, G.W.; August, R.A.; Campbell, A.B.; Nelson, M.E.; Guardala, N.A.; Price, J.L.; Moscovitch, M.

    2002-01-01

    The feasibility was investigated of a solid-state neutron detector/dosemeter based on single-event upset (SEU) effects in dynamic random-access memories (DRAMs), commonly used in computer memories. Such a device, which uses a neutron converter material to produce a charged particle capable of causing an upset, would be light-weight, low-power, and could be read simply by polling the memory for bit flips. It would have significant advantages over standard solid-state neutron dosemeters which require off-line processing for track etching and analysis. Previous efforts at developing an SEU neutron detector/dosemeter have suffered from poor response, which can be greatly enhanced by selecting a modern high-density DRAM chip for SEU sensitivity and by using a thin 10 B film as a converter. Past attempts to use 10 B were not successful because the average alpha particle energy was insufficient to penetrate to the sensitive region of the memory. This can be overcome by removing the surface passivation layer before depositing the 10 B film or by implanting 10B directly into the chip. Previous experimental data show a 10 3 increase in neutron sensitivity by chips containing borosilicate glass, which could be used in an SEU detector. The results are presented of simulations showing that the absolute efficiency of an SEU neutron dosemeter can be increased by at least a factor of 1000 over earlier designs. (author)

  13. Consideration of correlativity between litho and etching shape

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Shinoda, Shinichi; Toyoda, Yasutaka

    2012-03-01

    We developed an effective method for evaluating the correlation of shape of Litho and Etching pattern. The purpose of this method, makes the relations of the shape after that is the etching pattern an index in wafer same as a pattern shape on wafer made by a lithography process. Therefore, this method measures the characteristic of the shape of the wafer pattern by the lithography process and can predict the hotspot pattern shape by the etching process. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used wafer CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and lithography management, and this has a big impact on the semiconductor market that centers on the semiconductor business. 2-dimensional shape of wafer quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. In this study, we conducted experiments for correlation method of the pattern (Measurement Based Contouring) as two-dimensional litho and etch evaluation technique. That is, observation of the identical position of a litho and etch was considered. It is possible to analyze variability of the edge of the same position with high precision.

  14. Level Set Approach to Anisotropic Wet Etching of Silicon

    Directory of Open Access Journals (Sweden)

    Branislav Radjenović

    2010-05-01

    Full Text Available In this paper a methodology for the three dimensional (3D modeling and simulation of the profile evolution during anisotropic wet etching of silicon based on the level set method is presented. Etching rate anisotropy in silicon is modeled taking into account full silicon symmetry properties, by means of the interpolation technique using experimentally obtained values for the etching rates along thirteen principal and high index directions in KOH solutions. The resulting level set equations are solved using an open source implementation of the sparse field method (ITK library, developed in medical image processing community, extended for the case of non-convex Hamiltonians. Simulation results for some interesting initial 3D shapes, as well as some more practical examples illustrating anisotropic etching simulation in the presence of masks (simple square aperture mask, convex corner undercutting and convex corner compensation, formation of suspended structures are shown also. The obtained results show that level set method can be used as an effective tool for wet etching process modeling, and that is a viable alternative to the Cellular Automata method which now prevails in the simulations of the wet etching process.

  15. Studies on neutron detection with solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Khouri, M.C.; Vilela, E.C.; Andrade, C. de.

    1993-03-01

    The detection of thermal and fast neutrons was studied. For thermal neutrons, alpha sensitive plastic was used in order to register the products of nuclear reactions taking place in boron and /or lithium converters. Fast neutrons produce recoil tracks within the detector. In the present case, CR-39 and Makrofol E were used. Chemical and electrochemical etching processes were used for thermal and fast neutron detectors, respectively. (F.E.). 6 refs, 4 figs, 6 tabs

  16. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  17. Simple preparation of thin CR-39 detectors for alpha-particle radiobiological experiments

    International Nuclear Information System (INIS)

    Chan, K.F.; Lau, B.M.F.; Nikezic, D.; Tse, A.K.W.; Fong, W.F.; Yu, K.N.

    2007-01-01

    Alpha-particle radiobiological experiments involve irradiating cells with alpha particles and require accurate positions where the alpha particles hit the cells. In the present work, we prepared thin CR-39 detectors from commercially available CR-39 SSNTDs with a thickness of 100 μm by etching them in 1 N NaOH/ethanol at 40 deg. C to below 20 μm. The desired final thickness was achieved within ∼8 h. Such etching conditions can provide relatively small roughness of the detector as revealed by atomic force microscope, and thus provide transparent detectors for radiobiological experiments. UV radiation was employed to shorten track formation time on these thin CR-39 detectors. After exposure to UV light (UVA + B radiation) for 2-3 h with doses from 259 to 389 W/cm 2 , 5 MeV alpha-particle tracks can be seen to develop on these CR-39 detectors clearly under the optical microscope within 2 h in 14 N KOH at 37 deg. C. As an example for practical use, custom-made petri dishes, with a hole drilled at the bottom and covered with a thin CR-39 detector, were used for culturing HeLa cells. The feasibility of using these thin CR-39 detectors is demonstrated by taking photographs of the cells and alpha-particle tracks together under the optical microscope, which can allow the hit positions on the cells by the alpha particles to be determined accurately

  18. Etching of germanium-tin using ammonia peroxide mixture

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Gong, Xiao; Liang, Gengchiau; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Zhang, Zheng; Pan, Jisheng [Institute of Material Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, #08-03, Innovis, Singapore 138634 (Singapore); Tok, Eng-Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-12-28

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.

  19. Process effects on leakage current of Si-PIN neutron detectors with porous microstructure

    Energy Technology Data Exchange (ETDEWEB)

    Yu, Baoning; Zhao, Kangkang; Yang, Taotao [Beijing University of Technology, Chaoyang District, Pingleyuan 100, 100124 Beijing (China); Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Ruoshui Road 398, 215123 Suzhou (China); Jiang, Yong; Fan, Xiaoqiang [Institute of Nuclear Physics and Chemistry, CAEP, Mianshan Road 64, 621900 Mianyang (China); Lu, Min [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Ruoshui Road 398, 215123 Suzhou (China); Han, Jun [Beijing University of Technology, Chaoyang District, Pingleyuan 100, 100124 Beijing (China)

    2017-06-15

    Using the technique of Microfabrication, such as deep silicon dry etching, lithography, etc. Si-PIN neutron detectors with porous microstructure have been successfully fabricated. In order to lower the leakage current, the key fabrication processes, including the Al windows opening, deep silicon etching and the porous side wall smoothing, have been optimized. The cross-section morphology and current-voltage characteristics have been measured to evaluate the microfabrication processes. With the optimized conditions presented by the measurements, a neutron detector with a leakage current density of 2.67 μA cm{sup -2} at a bias of -20 V is obtained. A preliminary neutron irradiation test with {sup 252}Cf neutron source has also been carried out. The neutron irradiation test shows that the neutron detection efficiency of the microstructured neutron detectors is almost 3.6 times higher than that of the planar ones. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  1. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  2. The effect of various adhesives, enamel etching, and base treatment on the failure frequency of customized lingual brackets: a randomized clinical trial.

    Science.gov (United States)

    Mavreas, Dimitrios; Cuzin, Jean-François; Boonen, Guillaume; Vande Vannet, Bart

    2018-05-25

    The aim of this paper was to compare failure differences in precious metal customized lingual brackets bonded with three adhesive systems. Also, differences in failure of non-precious metal brackets with and without a silicatized base layer bonded with the same adhesive, as well as the influence of enamel etching prior to using a self-etching dual cure resin were explored. Five different groups were defined in a semi-randomized approach. Group 1 (IME): Maxcem Elite with 378 Incognito brackets and etched teeth, Group 2 (IMNE): Maxcem Elite with 193 Incognito brackets on non-etched teeth, Group 3 (INE): Nexus+Excite with 385 Incognito brackets, Group 4 (IRE): Relyx with 162 Incognito brackets, Group 5 (HRME) and Group 6 (HNRME): Maxcem Elite with 182 Harmony brackets with silicatized and non-slicatized bases respectively. Bracket failures were recorded over a 12-month period. The number of failures during the observation period was small in the various adhesives types of groups, as well as in HRME and HNRME groups, and the comparisons among those groups were non-significant (P > 0.05). A statistically significant difference (P brackets failure frequencies (rates) are not different for the three adhesive materials tested. 2. Eliminating the etching stage when using self-etch/self-adhesive adhesives, may lead to a dramatic increase in the failure rates. 3. Silicoating of stainless steel customized lingual brackets does not seem to influence the failure of the bonds.

  3. Characterization of Low Noise TES Detectors Fabricated by D-RIE Process for SAFARI Short-Wavelength Band

    Science.gov (United States)

    Khosropanah, P.; Suzuki, T.; Hijmering, R. A.; Ridder, M. L.; Lindeman, M. A.; Gao, J.-R.; Hoevers, H.

    2014-08-01

    SRON is developing TES detectors based on a superconducting Ti/Au bilayer on a suspended SiN membrane for the short-wavelength band of the SAFARI instrument on SPICA mission. We have recently replaced the wet KOH etching of the Si substrate by deep reactive ion etching. The new process enables us to fabricate the detectors on the substrate and release the membrane at the very last step. Therefore the production of SAFARI large arrays (4343) on thin SiN membrane (250 nm) is feasible. It also makes it possible to realize narrow supporting SiN legs of 1 m, which are needed to meet SAFARI NEP requirements. Here we report the current-voltage characteristics, noise performance and impedance measurement of these devices. The measured results are then compared with the distributed leg model that takes into account the thermal fluctuation noise due to the SiN legs. We measured a dark NEP of 0.7 aW/, which is 1.6 times higher than the theoretically expected phonon noise.

  4. Understanding and controlling the step bunching instability in aqueous silicon etching

    Science.gov (United States)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  5. Chemical etching of Tungsten thin films for high-temperature surface acoustic wave-based sensor devices

    Energy Technology Data Exchange (ETDEWEB)

    Spindler, M., E-mail: m.spindler@ifw-dresden.de [IFW Dresden, SAWLab Saxony, P.O. Box 270116, D-01171 Dresden (Germany); Herold, S.; Acker, J. [BTU Cottbus – Senftenberg, Faculty of Sciences, P.O. Box 101548, 01968 Senftenberg (Germany); Brachmann, E.; Oswald, S.; Menzel, S.; Rane, G. [IFW Dresden, SAWLab Saxony, P.O. Box 270116, D-01171 Dresden (Germany)

    2016-08-01

    Surface acoustic wave devices are widely used as wireless sensors in different application fields. Recent developments aimed to utilize those devices as temperature sensors even in the high temperature range (T > 300 °C) and in harsh environmental conditions. Therefore, conventional materials, which are used for the substrate and for the interdigital transducer finger electrodes such as multilayers or alloys based on Al or Cu have to be exchanged by materials, which fulfill some important criteria regarding temperature related effects. Electron beam evaporation as a standard fabrication method is not well applicable for depositing high temperature stable electrode materials because of their very high melting points. Magnetron sputtering is an alternative deposition process but is also not applicable for lift-off structuring without any further improvement of the structuring process. Due to a relatively high Ar gas pressure of about 10{sup −1} Pa, the sidewalls of the photoresist line structures are also covered by the metallization, which subsequently prevents a successful lift-off process. In this study, we investigate the chemical etching of thin tungsten films as an intermediate step between magnetron sputtering deposition of thin tungsten finger electrodes and the lift-off process to remove sidewall covering for a successful patterning process of interdigital transducers. - Highlights: • We fabricated Tungsten SAW Electrodes by magnetron sputtering technology. • An etching process removes sidewall covering of photoresist, which allows lift-off. • Tungsten etching rates based on a hydrogen peroxide solutions were determined.

  6. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  7. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  8. Radiation detectors based by polymer materials

    International Nuclear Information System (INIS)

    Cherestes, Margareta; Cherestes, Codrut; Constantinescu, Livia

    2004-01-01

    Scintillation counters make use of the property of certain chemical compounds to emit short light pulses after excitation produced by the passage of charged particles or photons of high energy. These flashes of light are detected by a photomultiplier tube that converts the photons into a voltage pulse. The light emitted from the detector also can be collected, focussed and dispersed by a CCD detector. The study of the evolution of the light emission and of the radiation damage under irradiation is a primary topic in the development of radiation hard polymer based scintillator. Polymer scintillator thin films are used in monitoring radiation beam intensities and simultaneous counting of different radiations. Radiation detectors have characteristics which depend on: the type of radiation, the energy of radiation, and the material of the detector. Three types of polymer thin films were studied: a polyvinyltoluene based scintillator, fluorinated polyimide and PMMA. (authors)

  9. Response of CR39 detector to 5 A GeV Si14+ ions and measurement of total charge changing cross-section

    International Nuclear Information System (INIS)

    Gupta, Renu; Kumar, Ashavani

    2013-01-01

    In the present work, response of CR39 track etch detector was obtained by cone-height measurement technique. CR39 track etch detector was used to identify the incident charged particles and their fragments by the measurements of cone-height of tracks using an optical microscope DM6000 M and automated image analyzer system installed with Leica QWin Plus software. The CR39 detector was calibrated and the response points were fitted with a linear relation and all the points are within the limits of the experimental errors. The charge resolution of the detector was calculated to be 0.2e. The response function is obtained and fitted with a linear relation which is good throughout Z/β=6.1–14.1. The experimental value of the total charge changing cross-section of 5 A GeV Si 14+ ion beam in polyethylene and CR39 combined target is σ tot =(734±128) mb. The total charge changing cross-section is compared with the experimental results of others based on cone base-area measurement technique and also fitted by the Bradt–Peters geometrical cross-section. - Highlights: • Charge resolution of 0.2e was obtained by cone-height measurement. • Consistency in manual measurements of cone-heights is presented. • Response of CR39 detector was obtained and fitted with first degree polynomial. • Total charge changing cross-section of 5 A GeV Si 14+ ions in CH 2 and CR39 as a combined target was calculated

  10. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  11. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  12. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  13. Mechanism of track formation by charged particles in inorganic and organic solid-state track detectors

    International Nuclear Information System (INIS)

    Doerschel, B.; Pretzsch, G.; Streubel, G.

    1979-01-01

    Knowledge of the individual phases of track formation mechanism is necessary in some applications of solid-state track detectors. The generation of latent tracks is described by energy transfer processes of the charged particles along their paths using several different models. Etchability of the latent tracks is discussed on the basis of some distinct criteria taking into account different fractions of energy release by the primary and secondary particles during track generation. If these etchability criteria for latent tracks are fulfilled, visual particle tracks can be produced by a chemical etching process. Etch pit formation depends on the etching conditions. The geometrical parameters of the etching pits are given on the basis of known etching rates. Evaluation of individual particle tracks or determination of track density yields results depending on both the properties of the particles and the etching conditions. Determination of particle energy and particle fluence is discussed as an example. (author)

  14. Semi-empirical approach for calibration of CR-39 detectors in diffusion chambers for radon measurements

    International Nuclear Information System (INIS)

    Pereyra A, P.; Lopez H, M. E.; Palacios F, D.; Sajo B, L.; Valdivia, P.

    2016-10-01

    Simulated and measured calibration of PADC detectors is given for cylindrical diffusion chambers employed in environmental radon measurements. The method is based on determining the minimum alpha energy (E min ), average critical angle (<Θ c >), and fraction of 218 Po atoms; the volume of the chamber (f 1 ), are compared to commercially available devices. Radon concentration for exposed detectors is obtained from induced track densities and the well-established calibration coefficient for NRPB monitor. Calibration coefficient of a PADC detector in a cylindrical diffusion chamber of any size is determined under the same chemical etching conditions and track analysis methodology. In this study the results of numerical examples and comparison between experimental calibration coefficients and simulation purpose made code. Results show that the developed method is applicable when uncertainties of 10% are acceptable. (Author)

  15. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  16. A parameterization of nuclear track profiles in CR-39 detector

    Science.gov (United States)

    Azooz, A. A.; Al-Nia'emi, S. H.; Al-Jubbori, M. A.

    2012-11-01

    In this work, the empirical parameterization describing the alpha particles’ track depth in CR-39 detectors is extended to describe longitudinal track profiles against etching time for protons and alpha particles. MATLAB based software is developed for this purpose. The software calculates and plots the depth, diameter, range, residual range, saturation time, and etch rate versus etching time. The software predictions are compared with other experimental data and with results of calculations using the original software, TRACK_TEST, developed for alpha track calculations. The software related to this work is freely downloadable and performs calculations for protons in addition to alpha particles. Program summary Program title: CR39 Catalog identifier: AENA_v1_0 Program summary URL:http://cpc.cs.qub.ac.uk/summaries/AENA_v1_0.html Program obtainable from: CPC Program Library, Queen’s University, Belfast, N. Ireland Licensing provisions: Copyright (c) 2011, Aasim Azooz Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met • Redistributions of source code must retain the above copyright, this list of conditions and the following disclaimer. • Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution This software is provided by the copyright holders and contributors “as is” and any express or implied warranties, including, but not limited to, the implied warranties of merchantability and fitness for a particular purpose are disclaimed. In no event shall the copyright owner or contributors be liable for any direct, indirect, incidental, special, exemplary, or consequential damages (including, but not limited to, procurement of substitute goods or services; loss of use, data, or profits; or business interruption) however caused and

  17. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    Science.gov (United States)

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  18. Range measurements and track kinetics in Dielectric Nuclear Track Detectors (DNTDs)

    Energy Technology Data Exchange (ETDEWEB)

    Aframian, A

    1981-01-01

    Observations of nuclear track development profiles and the kinetics of etched tracks in sensitive dielectric nuclear track detectors indicate three separate phases: the inception phase or the cone phase, the transition phase and the sphere phase. Continued etching of the sphere phase to through-tracks yields accurate range data for particles of different masses and energies and minimum critical angles of registration for each particle. The present results show an energy resolution of 40 keV (fwhm) for 5.48 MeV alpha-particles emitted from Am-241.

  19. Bond strength with various etching times on young permanent teeth

    Energy Technology Data Exchange (ETDEWEB)

    Wang, W.N.; Lu, T.C. (School of Dentistry, National Defense Medical Center, Taipei, Taiwan (China))

    1991-07-01

    Tensile bond strengths of an orthodontic resin cement were compared for 15-, 30-, 60-, 90-, or 120-second etching times, with a 37% phosphoric acid solution on the enamel surfaces of young permanent teeth. Fifty extracted premolars from 9- to 16-year-old children were used for testing. An orthodontic composite resin was used to bond the bracket directly onto the buccal surface of the enamel. The tensile bond strengths were tested with an Instron machine. Bond failure interfaces between bracket bases and teeth surfaces were examined with a scanning electron microscope and calculated with mapping of energy-dispersive x-ray spectrometry. The results of tensile bond strength for 15-, 30-, 60-, or 90-second etching times were not statistically different. For the 120-second etching time, the decrease was significant. Of the bond failures, 43%-49% occurred between bracket and resin interface, 12% to 24% within the resin itself, 32%-40% between resin and tooth interface, and 0% to 4% contained enamel fragments. There was no statistical difference in percentage of bond failure interface distribution between bracket base and resin, resin and enamel, or the enamel detachment. Cohesive failure within the resin itself at the 120-second etching time was less than at other etching times, with a statistical significance. To achieve good retention, to decrease enamel loss, and to reduce moisture contamination in the clinic, as well as to save chairside time, a 15-second etching time is suggested for teenage orthodontic patients.

  20. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  1. A new configuration of the Moxon-Rae detector based on Si detector

    International Nuclear Information System (INIS)

    Niu, H.; Hsu, J.Y.; Liang, J.H.; Yuan, L.G.

    2002-01-01

    A new Moxon-Rae detector configuration based on Si semiconductor detector was proposed in this paper. Three γ-ray sources, 137 Cs, 60 Co, and 24 Na, were employed to make actual measurements using the new Moxon-Rae detector. The measured pulse height spectra and detection efficiencies were compared with the EGS4 simulated values. The results revealed that the proposed new configuration is indeed a successful method and specially a useful technique for higher energy γ-ray measurement

  2. Solid state nuclear track detectors in the measurement of alpha to fission branching ratios of heavy actinides

    International Nuclear Information System (INIS)

    Pandey, A.K.; Sharma, R.C.; Padalkar, S.K.; Kalsi, P.C.; Iyer, R.H.

    1992-01-01

    A sequential etching procedure for revelation of alpha and fission tracks in CR-39 was developed and optimized. Using this technique alpha and fission tracks can be differentiated unambiguously because of significant differences in their sizes and etching times. This registration and revelation procedure for alpha and fission tracks may be used for the studies of half lives, alpha to fission branching ratios and identification of radionuclides based on their decay schemes. It has the added advantage that both alpha decay and fission events can be studied using one detector and hence uncertainties related to efficiency, registration geometry, registration times, amount of radionuclides etc can be eliminated or minimized. The effects of neutron, gamma and alpha radiations on the alpha and fission fragment tracks registration and revelation properties of CR-39 detectors [CR-39, CR-39 (DOP)] were also studied. The IR spectra were also studied to find out the nature of chemical changes produced by these radiations on CR-39. (author). 32 refs., 7 figs., 4 tabs

  3. Determination of Nuclear Track Parameters for LR-115 Detector by Using of MATLAB Software Technique

    International Nuclear Information System (INIS)

    AL-Jomaily, F.M.; AL-joburi, H.A.; Mheemeed, A.K.

    2013-01-01

    The nuclear track detector parameters, such as nuclear track diameter D(μm), number of track N T and area of track A T were determined by using MATLAB software technique for IR-115 detector irradiated by alpha particle from 241 Am source under 1.5, 2.5 and 3.5 MeV at etching time T B of 90, 120, 150 and 180 min.By using the image analysis of MATLAB software for nuclear track, the full width at half maximum FWHM and relative resolution R% were calculated for each energy of alpha particles.In this study, it was shown that increasing the alpha energy on the IR-115 detector leads to increased etching time T B and the dropping of R% to minimum value, and then reach a stable value before dropping at values 1.5, 2.5 MeV and unstable at 3.5 MeV. Imaging analysis by MATLAB technique which used in this study reflect good and accurate results for nuclear track detector parameters and we recommend using this technique for determination of these parameters

  4. Optimum inductively coupled plasma etching of fused silica to remove subsurface damage layer

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Xiaolong; Liu, Ying, E-mail: liuychch@ustc.edu.cn; Liu, Zhengkun; Qiu, Keqiang; Xu, Xiangdong; Hong, Yilin; Fu, Shaojun

    2015-11-15

    Highlights: • SSD layer of fused silica is removed by ICP etch with surface roughness of 0.23 nm. • Metal contamination is successfully avoided by employing an isolation device. • Unique low-density plasma induced pitting damage is discovered and eliminated. • Lateral etching of SSD is avoided due to the improvement of etching anisotropy. - Abstract: In this work, we introduce an optimum ICP etching technique that successfully removes the subsurface damage (SSD) layer of fused silica without causing plasma induced surface damage (PISD) or lateral etching of SSD. As one of the commonest PISD initiators, metal contamination from reactor chamber is prevented by employing a simple isolation device. Based on this device, a unique low-density pitting damage is discovered and subsequently eliminated by optimizing the etching parameters. Meanwhile etching anisotropy also improves a lot, thus preventing the lateral etching of SSD. Using this proposed technique, SSD layer of fused silica is successfully removed with a surface roughness of 0.23 nm.

  5. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  6. Ultrastructure of the surface of dental enamel with molar incisor hypomineralization (MIH) with and without acid etching.

    Science.gov (United States)

    Bozal, Carola B; Kaplan, Andrea; Ortolani, Andrea; Cortese, Silvina G; Biondi, Ana M

    2015-01-01

    The aim of the present work was to analyze the ultrastructure and mineral composition of the surface of the enamel on a molar with MIH, with and without acid etching. A permanent tooth without clinical MIH lesions (control) and a tooth with clinical diagnosis of mild and moderate MIH, with indication for extraction, were processed with and without acid etching (H3PO4 37%, 20") for observation with scanning electron microscope (SEM) ZEISS (Supra 40) and mineral composition analysis with an EDS detector (Oxford Instruments). The control enamel showed normal prismatic surface and etching pattern. The clinically healthy enamel on the tooth with MIH revealed partial loss of prismatic pattern. The mild lesion was porous with occasional cracks. The moderate lesion was more porous, with larger cracks and many scales. The mineral composition of the affected surfaces had lower Ca and P content and higher O and C. On the tooth with MIH, even on normal looking enamel, the demineralization does not correspond to an etching pattern, and exhibits exposure of crystals with rods with rounded ends and less demineralization in the inter-prismatic spaces. Acid etching increased the presence of cracks and deep pores in the adamantine structure of the enamel with lesion. In moderate lesions, the mineral composition had higher content of Ca, P and Cl. Enamel with MIH, even on clinically intact adamantine surfaces, shows severe alterations in the ultrastructure and changes in ionic composition, which affect the acid etching pattern and may interfere with adhesion.

  7. Liquid droplet sensing using twisted optical fiber couplers fabricated by hydrofluoric acid flow etching

    Science.gov (United States)

    Son, Gyeongho; Jung, Youngho; Yu, Kyoungsik

    2017-04-01

    We report a directional-coupler-based refractive index sensor and its cost-effective fabrication method using hydrofluoric acid droplet wet-etching and surface-tension-driven liquid flows. The proposed fiber sensor consists of a pair of twisted tapered optical fibers with low excess losses. The fiber cores in the etched microfiber region are exposed to the surrounding medium for efficient interaction with the guided light. We observe that the etching-based low-loss fiber-optic sensors can measure the water droplet volume by detecting the refractive index changes of the surrounding medium around the etched fiber core region.

  8. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  9. Determining of the track parameters in solid state nuclear track detectors Cr 39 due to alpha particles

    International Nuclear Information System (INIS)

    Kostic, D.; Nikezic, D.

    1997-01-01

    An equation of the etch pit wall is proposed to be used for simulation of the track growth and calculating the major and the minor axis of etch pit opening. Dependence on the following parameters is set up: distance along a track from the point where the particle entered the detector, ratio of the track etch wall to the bulk etch rate, integration constant determined from particle penetration depth and normal distance from the particle trajectory to the etch pit wall. The corresponding computer program was written. The input parameters of this program are: alpha particles energy, incidence angle and removed layer; the output gives track parameters. The results obtained by this method are compared to another approach given by Somogy and Szalay (1973) and a reasonably good agreement is found. (author)

  10. Highly Sensitive Refractive Index Sensor Based on a Cladding-Etched Thin-Core Fiber Sandwiched between Two Single-Mode Fibers

    International Nuclear Information System (INIS)

    Xu Ben; Li Yi; Dong Xin-Yong; Jin Shang-Zhong; Zhang Zai-Xuan

    2012-01-01

    A refractive index (RI) sensor based on a cladding-etched thin-core single-mode fiber (TCSMF) sandwiched between two single-mode fibers is demonstrated. The experimental results show that the sensitivity, within the RI range of 1.333–1.340, is enhanced at least 6 times by etching. It increases with the surrounding RI and reaches 857.5 nm/RIU at RI of 1.3684, and it can be expected to be higher with the decrease of the cladding diameter of the TCSMF

  11. Effect of chemical etching on the surface roughness of CdZnTe and CdMnTe gamma radiation detectors

    International Nuclear Information System (INIS)

    Hossain, A.; Babalola, S.; Bolotnikov, A.E.; Camarda, G.S.; Cui, Y.; Yang, G.; Guo, M.; Kochanowska, D.; Mycielski, A.; Burger, A.; James, R.B.

    2008-01-01

    Generally, mechanical polishing is performed to diminish the cutting damage followed by chemical etching to remove the remaining damage on crystal surfaces. In this paper, we detail the findings from our study of the effects of various chemical treatments on the roughness of crystal surfaces. We prepared several CdZnTe (CZT) and CdMnTe (CMT) crystals by mechanical polishing with 5 (micro)m and/or lower grits of Al 2 O 3 abrasive papers including final polishing with 0.05-(micro)m particle size alumina powder and then etched them for different periods with a 2%, 5% Bromine-Methanol (B-M) solution, and also with an E-solution (HNO 3 :H 2 O:Cr 2 O 7 ). The material removal rate (etching rate) from the crystals was found to be 10 (micro)m, 30 (micro)m, and 15 (micro)m per minute, respectively. The roughness of the resulting surfaces was determined by the Atomic Force Microscopy (AFM) to identify the most efficient surface processing method by combining mechanical and chemical polishing

  12. Influence of etching conditions on the efficiency and critical angle of plastic detector Makrofol-N

    International Nuclear Information System (INIS)

    Bhatia, R.K.; Singh, R.C.; Virk, H.S.

    1989-01-01

    The effect of concentration and temperature of the etching agent on Makrofol-N is studied using 54 129 Xe ion beam (7.5 MeV/n) at normal as well as oblique incidence. The critical angle for track revelation in Makrofol-N and the efficiency of track registration is estimated using standard formulation proposed by Somogyi and Hunyadi (1979). (author). 8 r efs

  13. Influence of voids in the hybrid layer based on self-etching adhesive systems: a 3-D FE analysis

    Directory of Open Access Journals (Sweden)

    Ana Paula Martini

    2009-01-01

    Full Text Available The presence of porosities at the dentin/adhesive interface has been observed with the use of new generation dentin bonding systems. These porosities tend to contradict the concept that etching and hybridization processes occur equally and simultaneously. Therefore, the aim of this study was to evaluate the micromechanical behavior of the hybrid layer (HL with voids based on a self-etching adhesive system using 3-D finite element (FE analysis. MATERIAL AND METHODS: Three FE models (Mr were built: Mr, dentin specimen (41x41x82 μm with a regular and perfect (i.e. pore-free HL based on a self-etching adhesive system, restored with composite resin; Mp, similar to M, but containing 25% (v/v voids in the HL; Mpp, similar to Mr, but containing 50% (v/v voids in the HL. A tensile load (0.03N was applied on top of the composite resin. The stress field was obtained by using Ansys Workbench 10.0. The nodes of the base of the specimen were constrained in the x, y and z axes. The maximum principal stress (σmax was obtained for all structures at the dentin/adhesive interface. RESULTS: The Mpp showed the highest peak of σmax in the HL (32.2 MPa, followed by Mp (30 MPa and Mr (28.4 MPa. The stress concentration in the peritubular dentin was high in all models (120 MPa. All other structures positioned far from voids showed similar increase of stress. CONCLUSION: Voids incorporated into the HL raised the σmax in this region by 13.5%. This behavior might be responsible for lower bond strengths of self-etching and single-bottle adhesives, as reported in the literature.

  14. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  15. Lignite and tin ores exploration in southern part of Thailand by using nuclear track-etch detectors

    International Nuclear Information System (INIS)

    Chittrakarn, T.; Boonnummar, R.; Pongsuwan, T.; Nuannin, P.; Kaew-On, C.

    1993-01-01

    Both lignite and tin mines in Southern of Thailand are associated with uranium ore. In lignite exploration, Bangpudum Lignite Mine at Krabi Province was chosen for this studied because we know the exact location and deposition of coal seam by using other geophysical technique and also confirm by borehole. The size 1x2 cm 2 of cellulose nitrate CN-85 films were used, each film was stuck at the inner bottom of a softdrink cup. Each cup was put up side down at the bottom of a borehole about 75 cm depth from the earth surface and laid about 10 m apart. All the cups were put in the hole along the line in order to cover about 280 metre in the cross sectional direction long of the known coal seam. After one month, all the film detectors were collected and etched with 6.25N NaOH at 60 o C about 25 minutes long in order to enlarge the latent alpha registration tracks. These alpha particles were emitted from radon gas (Rn-222) which was generated from uranium associated with lignite ore. The registration track density per area of each CN-85 film was studied by optical microscope at 400x magnifications. We found that the track densities of the films have high correlation with the depth of the known coal seam while high and low track densities will correspond to the shallow and deep coal seam respectively. Also, track density was significantly higher than background. A similar manner of experiment was designed for tin ore exploration at Ronpibul district, Nakorn Si Thammarat province. The result is in progress and will present at the conference. (Author)

  16. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  17. ICP dry etching ITO to improve the performance of GaN-based LEDs

    International Nuclear Information System (INIS)

    Meng Lili; Chen Yixin; Ma Li; Liu Zike; Shen Guangdi

    2011-01-01

    In order to improve the light efficiency of the conventional GaN-based light-emitting diodes (LEDs), the indium tin oxide (ITO) film is introduced as the current spreading layer and the light anti-reflecting layer on the p-GaN surface. There is a big problem with the ITO thin film's corrosion during the electrode preparation. In this paper, at least, the edge of the ITO film was lateral corroded 3.5 μm width, i.e. 6.43%-1/3 of ITO film's area. An optimized simple process, i.e. inductively couple plasma (ICP), was introduced to solve this problem. The ICP process not only prevented the ITO film from lateral corrosion, but also improved the LED's light intensity and device performance. The edge of the ITO film by ICP dry etching is steep, and the areas of ITO film are whole. Compared with the chip by wet etching, the areas of light emission increase by 6.43% at least and the chip's lop values increase by 45.9% at most. (semiconductor devices)

  18. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  19. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  20. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  1. Detection of heavy nuclei in the plastic track detector CR-39

    International Nuclear Information System (INIS)

    Fumuro, F.; Ihara, R.; Ohta, I.; Sato, Y.; Tezuka, I.; Tasaka, S.; Sugimoto, H.

    1982-01-01

    Relativistic cosmic ray nuclei were detected in an emulsion chamber composed of a pile of solid state track detectors (CR-39) and the emulsion plates. The 460 heavy nuclei were observed by scanning of emulsion and CR-39 plastic plates. The normalized track etch rate (Vsub(t)/Vsub(b))-1 for relativistic iron group was measured as 1.3+-0.05 and bulk etch rate Vsub(b) as 1.63+-0.08 μm/hour after 32 hours etch in 6.8 N NaOH at 70 0 C. The charge detection threshold was obtained to be Z=6 for β=1 with the dip angle larger than 75 degrees. The charge resolution was estimated to be Δ Z=0.7 for iron, and Δ Z=0.4 for magnesium and silicon nuclei

  2. Investigation of plasma etch damage to porous oxycarbosilane ultra low-k dielectric

    International Nuclear Information System (INIS)

    Bruce, R L; Engelmann, S; Purushothaman, S; Volksen, W; Frot, T J; Magbitang, T; Dubois, G; Darnon, M

    2013-01-01

    There has been much interest recently in porous oxycarbosilane (POCS)-based materials as the ultra-low k dielectric (ULK) in back-end-of-line (BEOL) applications due to their superior mechanical properties compared to traditional organosilicate-based ULK materials at equivalent porosity and dielectric constant. While it is well known that plasma etching and strip processes can cause significant damage to ULK materials in general, little has been reported about the effect of plasma damage to POCS as the ULK material. We investigated the effect of changing the gas discharge chemistry and substrate bias in the dielectric trench etch and also the subsequent effect of the cap-open etch on plasma damage to POCS during BEOL integration. Large differences in surface roughness and damage behaviour were observed by changing the fluorocarbon depositing conditions. These damage behaviour trends will be discussed and potential rationalizations offered based on the formation of pits and craters at the etch front that lead to surface roughness and microtrenching. (paper)

  3. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  4. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  5. Strip type radiation detector and method of making same

    International Nuclear Information System (INIS)

    Jantsch, O.; Feigt, I.; Willig, W.R.

    1976-01-01

    An improved strip detector and a method for making such a detector in which a high resistivity N conduction semiconductor body has electrode strips formed thereon by diffusion is described. The strips are formed so as to be covered by an oxide layer at the surface point of the PN junction and in which the opposite side of the semiconductor body then has a substantial amount of material etched away to form a thin semiconductor upon which strip electrodes which are perpendicular to the electrodes on the first side are then placed

  6. Non-etching nuclear track visualization in polymers: fluorescent and dyed tracks

    International Nuclear Information System (INIS)

    Somogyi, G.; Toth-Szilagyi, M.; Monnin, M.; Gourcy, J.

    1979-01-01

    A report is presented on progress in two methods of non-etching nuclear track visualization. The basis for one method is to graft polymer chains in the vicinity of the ion path in a polymer, and then to dye it, making the track visible. The second method is similar, but in this case saturated organic molecules can be used, which prevents subsequent polymerization. The detector is soaked with a solution of a sensitization molecule that can react with a convenient dye and fix it. The sensitization molecules may diffuse into the detector either through the bulk of it or/ and along the region damaged by a charged particle. Depending on the detailed procedure used, the tracks may be seen as 'dyed tracks' with visible-light illumination or as 'fluorescent tracks' with u.v. illumination. Experimental procedures and results are given and discussed. (U.K.)

  7. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  8. Influence of redeposition on the plasma etching dynamics

    International Nuclear Information System (INIS)

    Stafford, L.; Margot, J.; Delprat, S.; Chaker, M.; Pearton, S. J.

    2007-01-01

    This work reports on measurements of the degree of redeposition of sputtered species during the etching of platinum (Pt), barium-strontium-titanate (BST), strontium-bismuth-tantalate (SBT), and photoresist (PR) in a high-density argon plasma. While PR exhibits a redeposition-free behavior, the degree of redeposition of Pt, BST, and SBT species increases from 10% to 95% as the argon pressure increases from 0.5 to 10 mTorr. These results are in good agreement with the predictions of a simple model accounting for the backscattering of sputtered species following their interaction with the gas phase. Based on these results and using other experimental data reported in the literature, it is further demonstrated that, depending on the plasma etching conditions, redeposition effects can induce misinterpretation of the etch rate data

  9. Dry etching characteristics of GaN for blue/green light-emitting diode fabrication

    International Nuclear Information System (INIS)

    Baik, K.H.; Pearton, S.J.

    2009-01-01

    The etch rates, surface morphology and sidewall profiles of features formed in GaN/InGaN/AlGaN multiple quantum well light-emitting diodes by Cl 2 -based dry etching are reported. The chlorine provides an enhancement in etch rate of over a factor of 40 relative to the physical etching provided by Ar and the etching is reactant-limited until chlorine gas flow rates of at least 50 standard cubic centimeters per minute. Mesa sidewall profile angle control is possible using a combination of Cl 2 /Ar plasma chemistry and SiO 2 mask. N-face GaN is found to etch faster than Ga-face surfaces under the same conditions. Patterning of the sapphire substrate for improved light extraction is also possible using the same plasma chemistry

  10. Design of etch holes to compensate spring width loss for reliable resonant frequencies

    International Nuclear Information System (INIS)

    Jang, Yun-Ho; Kim, Jong-Wan; Kim, Yong-Kweon; Kim, Jung-Mu

    2012-01-01

    A pattern width loss during the fabrication of lateral silicon resonators degrades resonant frequency reliability since such a width loss causes the significant deviation of spring stiffness. Here we present a design guide for etch holes to obtain reliable resonant frequencies by controlling etch holes geometries. The new function of an etch hole is to generate the comparable amount of the width loss between springs and etch holes, in turn to minimize the effect of the spring width loss on resonant frequency shift and deviation. An analytic expression reveals that a compensation factor (CF), defined by the circumference (C u ) of a unit etch hole divided by its silicon area (A u ), is a key parameter for reliable frequencies. The protrusive etch holes were proposed and compared with square etch holes to demonstrate the frequency reliability according to CF values and etch hole shapes. The normalized resonant frequency shift and deviation of the protrusive etch hole (−13.0% ± 6.9%) were significantly improved compared to those of a square etch hole with a small CF value (−42.8% ± 14.8%). The proposed design guide based on the CF value and protrusive shapes can be used to achieve reliable resonant frequencies for high performance silicon resonators. (technical note)

  11. [Influence of thermalcycling on bonding durability of self-etch adhesives with dentin].

    Science.gov (United States)

    Tian, Fu-cong; Wang, Xiao-yan; Gao, Xue-jun

    2014-04-18

    To investigate influence of thermalcycling on the bonding durability of two one-step products [Adper Prompt (AP) and G-bond (GB)] and one two-step self-etching adhesive [Clearfil SE bond (SE)] with dentin in vitro. Forty-two extracted human molars were selected. The superficial dentin was exposed by grinding off the enamel. The teeth were randomly distributed into six groups with varied bonding protocols. The adhesives were applied to the dentin surface. Composite crowns were built up, then the samples were cut longitudinally into sticks with 1.0 mm×1.0 mm bonding area [for microtensile bond strength (MTBS) testing] or 1.0 mm thick slabs (for nanoleakage observation). Bonding performance was evaluated with or without thermalcyling. For the MTBS testing, the strength values were statistically analysed using One-Way ANOVA. Four slabs in each group were observed for nanoleakage by SEM with a backscattered electron detector. Thermalcycling procedures affected MTBS. In the two one-step groups, the MTBS decreased significantly (Padhesives showed lower MTBS than two-step bonding system after aging.For AP and GB, continuous nanoleakage appearance was notable and more obvious than for SE. Thermalcycling can affect the bonding performance of self-etch adhesives including decrease of bond strength and nanoleakage pattern. one-step self-etch adhesives showed more obvious change compared with their two-step counterparts.

  12. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  13. Type II superlattice technology for LWIR detectors

    Science.gov (United States)

    Klipstein, P. C.; Avnon, E.; Azulai, D.; Benny, Y.; Fraenkel, R.; Glozman, A.; Hojman, E.; Klin, O.; Krasovitsky, L.; Langof, L.; Lukomsky, I.; Nitzani, M.; Shtrichman, I.; Rappaport, N.; Snapi, N.; Weiss, E.; Tuito, A.

    2016-05-01

    SCD has developed a range of advanced infrared detectors based on III-V semiconductor heterostructures grown on GaSb. The XBn/XBp family of barrier detectors enables diffusion limited dark currents, comparable with MCT Rule-07, and high quantum efficiencies. This work describes some of the technical challenges that were overcome, and the ultimate performance that was finally achieved, for SCD's new 15 μm pitch "Pelican-D LW" type II superlattice (T2SL) XBp array detector. This detector is the first of SCD's line of high performance two dimensional arrays working in the LWIR spectral range, and was designed with a ~9.3 micron cut-off wavelength and a format of 640 x 512 pixels. It contains InAs/GaSb and InAs/AlSb T2SLs, engineered using k • p modeling of the energy bands and photo-response. The wafers are grown by molecular beam epitaxy and are fabricated into Focal Plane Array (FPA) detectors using standard FPA processes, including wet and dry etching, indium bump hybridization, under-fill, and back-side polishing. The FPA has a quantum efficiency of nearly 50%, and operates at 77 K and F/2.7 with background limited performance. The pixel operability of the FPA is above 99% and it exhibits a stable residual non uniformity (RNU) of better than 0.04% of the dynamic range. The FPA uses a new digital read-out integrated circuit (ROIC), and the complete detector closely follows the interfaces of SCD's MWIR Pelican-D detector. The Pelican- D LW detector is now in the final stages of qualification and transfer to production, with first prototypes already integrated into new electro-optical systems.

  14. Normally-off AlGaN/GaN-based MOS-HEMT with self-terminating TMAH wet recess etching

    Science.gov (United States)

    Son, Dong-Hyeok; Jo, Young-Woo; Won, Chul-Ho; Lee, Jun-Hyeok; Seo, Jae Hwa; Lee, Sang-Heung; Lim, Jong-Won; Kim, Ji Heon; Kang, In Man; Cristoloveanu, Sorin; Lee, Jung-Hee

    2018-03-01

    Normally-off AlGaN/GaN-based MOS-HEMT has been fabricated by utilizing damage-free self-terminating tetramethyl ammonium hydroxide (TMAH) recess etching. The device exhibited a threshold voltage of +2.0 V with good uniformity, extremely small hysteresis of ∼20 mV, and maximum drain current of 210 mA/mm. The device also exhibited excellent off-state performances, such as breakdown voltage of ∼800 V with off-state leakage current as low as ∼10-12 A and high on/off current ratio (Ion/Ioff) of 1010. These excellent device performances are believed to be due to the high quality recessed surface, provided by the simple self-terminating TMAH etching.

  15. Particularization of alpha contamination using CR-39 track detectors

    Indian Academy of Sciences (India)

    detecting devices and as a passive system to detect alpha contamination on different sur- faces. This work presents ... these plastic detectors can be cut into sizes and shapes according to the specific area that has to be ... of nuclear track materials observed under a microscope, after chemical etching for the same time and ...

  16. The effect of reactive ion etch (RIE) process conditions on ReRAM device performance

    Science.gov (United States)

    Beckmann, K.; Holt, J.; Olin-Ammentorp, W.; Alamgir, Z.; Van Nostrand, J.; Cady, N. C.

    2017-09-01

    The recent surge of research on resistive random access memory (ReRAM) devices has resulted in a wealth of different materials and fabrication approaches. In this work, we describe the performance implications of utilizing a reactive ion etch (RIE) based process to fabricate HfO2 based ReRAM devices, versus a more unconventional shadow mask fabrication approach. The work is the result of an effort to increase device yield and reduce individual device size. Our results show that choice of RIE etch gas (SF6 versus CF4) is critical for defining the post-etch device profile (cross-section), and for tuning the removal of metal layers used as bottom electrodes in the ReRAM device stack. We have shown that etch conditions leading to a tapered profile for the device stack cause poor electrical performance, likely due to metal re-deposition during etching, and damage to the switching layer. These devices exhibit nonlinear I-V during the low resistive state, but this could be improved to linear behavior once a near-vertical etch profile was achieved. Device stacks with vertical etch profiles also showed an increase in forming voltage, reduced switching variability and increased endurance.

  17. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  18. Kit with track detectors aiming at didactic

    International Nuclear Information System (INIS)

    Cesar, M.F.; Koskinas, M.F.

    1988-01-01

    The kit intends to improve the possibilities in performing experiments of Nuclear Physics in Modern Physics Laboratories of Physics Course introducing the solid state nuclear track detectors. In these materials the passage of heavily ionizing nuclear particles creates paths (tracks) that may be revealed and made visible in an optical microscope. By the help of the kit several experiments and/or demonstrations may be performed. The kit contains solid state nuclear track detectors unirradiated and irradiated, irradiated etched and uneteched sheets; an alpha source of 241 Am and an instrution text with photomicrographs. To use the kit the laboratory must have an ordinary optical microscope. (author) [pt

  19. Semi-empirical approach for calibration of CR-39 detectors in diffusion chambers for radon measurements

    Energy Technology Data Exchange (ETDEWEB)

    Pereyra A, P.; Lopez H, M. E. [Pontificia Universidad Catolica del Peru, Av. Universitaria 1801, San Miguel Lima 32 (Peru); Palacios F, D.; Sajo B, L. [Universidad Simon Bolivar, Laboratorio de Fisica Nuclear, Apartado 89000 Caracas (Venezuela, Bolivarian Republic of); Valdivia, P., E-mail: ppereyr@pucp.edu.pe [Universidad Nacional de Ingenieria, Av. Tupac Amaru s/n, Rimac, Lima 25 (Peru)

    2016-10-15

    Simulated and measured calibration of PADC detectors is given for cylindrical diffusion chambers employed in environmental radon measurements. The method is based on determining the minimum alpha energy (E{sub min}), average critical angle (<Θ{sub c}>), and fraction of {sup 218}Po atoms; the volume of the chamber (f{sub 1}), are compared to commercially available devices. Radon concentration for exposed detectors is obtained from induced track densities and the well-established calibration coefficient for NRPB monitor. Calibration coefficient of a PADC detector in a cylindrical diffusion chamber of any size is determined under the same chemical etching conditions and track analysis methodology. In this study the results of numerical examples and comparison between experimental calibration coefficients and simulation purpose made code. Results show that the developed method is applicable when uncertainties of 10% are acceptable. (Author)

  20. A DBN based anomaly targets detector for HSI

    Science.gov (United States)

    Ma, Ning; Wang, Shaojun; Yu, Jinxiang; Peng, Yu

    2017-10-01

    Due to the assumption that Hyperspectral image (HSI) should conform to Gaussian distribution, traditional Mahalanobis distance-based anomaly targets detectors perform poor because the assumption may not always hold. In order to solve those problems, a deep learning based detector, Deep Belief Network(DBN) anomaly detector(DBN-AD), was proposed to fit the unknown distribution of HSI by energy modeling, the reconstruction errors of this encode-decode processing are used for discriminating the anomaly targets. Experiments are implemented on real and synthesized HSI dataset which collection by Airborne Visible Infra-Red Imaging Spectrometer (AVIRIS). Comparing to classic anomaly detector, the proposed method shows better performance, it performs about 0.17 higher in Area Under ROC Curve (AUC) than that of Reed-Xiaoli detector(RXD) and Kernel-RXD (K-RXD).

  1. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  2. CHICSi - a compact ultra-high vacuum compatible detector system for nuclear reaction experiments at storage rings. II. Detectors

    Energy Technology Data Exchange (ETDEWEB)

    Golubev, P.; Avdeichikov, V.; Carlen, L.; Jakobsson, B. E-mail: bo.jakobsson@kosufy.lu.se; Siwek, A.; Veldhuizen, E.J. van; Westerberg, L.; Whitlow, H.J

    2003-03-11

    We describe the detectors for identification of charged particles and fragments in CHICSi, a large solid angle multi-telescope system mounted inside an ultra-high vacuum (UHV), cluster-jet target chamber. CHICSi performs nuclear reaction experiments at storage rings. The telescopes consist of a first very thin, 10-14 {mu}m Si detector, a second 300 {mu}m (or possibly 500 {mu}m) ion implanted Si detector supplemented by a 6 mm GSO(Ce) scintillator read out by a photodiode (PD) or by a third 300 {mu}m Si detector. The telescopes provide full charge separation up to Z=17 and mass resolution up to A=9 in the energy range 0.7-60A MeV. The thin p-i-n diode detector, etched out from a 280 {mu}m Si wafer, and the GSO/PD detector, both exclusively developed for CHICSi, provide an energy resolution {<=}8%, while the standard 300 {mu}m detectors have {<=}2% energy resolution. Radiation stability of the Si detectors is confirmed up to an integrated flux of 10{sup 10} alpha particles. The GSO detector has 70% light collection efficiency with the optical coupling to the PD a simple open, 0.2 mm, gap. A new method, developed to perform absolute energy calibration for the GSO/PD detector is presented.

  3. CHICSi - a compact ultra-high vacuum compatible detector system for nuclear reaction experiments at storage rings. II. Detectors

    International Nuclear Information System (INIS)

    Golubev, P.; Avdeichikov, V.; Carlen, L.; Jakobsson, B.; Siwek, A.; Veldhuizen, E.J. van; Westerberg, L.; Whitlow, H.J.

    2003-01-01

    We describe the detectors for identification of charged particles and fragments in CHICSi, a large solid angle multi-telescope system mounted inside an ultra-high vacuum (UHV), cluster-jet target chamber. CHICSi performs nuclear reaction experiments at storage rings. The telescopes consist of a first very thin, 10-14 μm Si detector, a second 300 μm (or possibly 500 μm) ion implanted Si detector supplemented by a 6 mm GSO(Ce) scintillator read out by a photodiode (PD) or by a third 300 μm Si detector. The telescopes provide full charge separation up to Z=17 and mass resolution up to A=9 in the energy range 0.7-60A MeV. The thin p-i-n diode detector, etched out from a 280 μm Si wafer, and the GSO/PD detector, both exclusively developed for CHICSi, provide an energy resolution ≤8%, while the standard 300 μm detectors have ≤2% energy resolution. Radiation stability of the Si detectors is confirmed up to an integrated flux of 10 10 alpha particles. The GSO detector has 70% light collection efficiency with the optical coupling to the PD a simple open, 0.2 mm, gap. A new method, developed to perform absolute energy calibration for the GSO/PD detector is presented

  4. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    International Nuclear Information System (INIS)

    Kim, Do-Hyun; Lee, Minwoo; Ye, Bora; Jang, Ho-Kyun; Kim, Gyu Tae; Lee, Dong-Jin; Kim, Eok-Soo; Kim, Hong Dae

    2017-01-01

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co_3O_4, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co_3O_4 and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  5. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Do-Hyun, E-mail: nanotube@korea.ac.kr [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Minwoo; Ye, Bora [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Jang, Ho-Kyun; Kim, Gyu Tae [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Dong-Jin [New Functional Components Research Team, Korea Institute of Footware & Leather Technology, 152 Danggamseo-ro, Busanjin-gu, Busan 614-100 (Korea, Republic of); Kim, Eok-Soo [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Kim, Hong Dae, E-mail: hdkim@kitech.re.kr [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of)

    2017-04-30

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co{sub 3}O{sub 4}, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co{sub 3}O{sub 4} and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  6. A numerical model for etching through a circular hole

    International Nuclear Information System (INIS)

    Rath, P; Chai, J C; Zheng, H; Lam, Y C; Murukeshan, V M

    2006-01-01

    A numerical model based on the total concentration of etchant is proposed to model the wet chemical etching through a circular hole. The reaction at the etchant-substrate interface is assumed to be infinitely fast i.e. etching is controlled by the diffusion of etchant to the interface. The proposed model is based on a fixed-grid approach analogous to the enthalpy method. The total concentration of etchant is the sum of the unreacted etchant concentration and the reacted etchant concentration. The reacted concentration of etchant is a measure of the etchfront position during etching. The governing mass diffusion equation based on the total concentration of etchant includes the interface condition. The etchfront position is found implicitly using the proposed approach. The computational domain is fixed, which includes the whole etchant and substrate domain including the mask region. For demonstration purposes, the finite volume method is used to solve the governing mass diffusion equation with prescribed initial and boundary conditions. The effect of mask thickness and initial etchant concentration on the shape evolution of etchfront is studied

  7. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  8. Monte Carlo simulation of the imaging properties of scintillator-coated X-ray pixel detectors

    International Nuclear Information System (INIS)

    Hjelm, M.; Norlin, B.; Nilsson, H.-E.; Froejdh, C.; Badel, X.

    2003-01-01

    The spatial resolution of scintillator-coated X-ray pixel detectors is usually limited by the isotropic light spread in the scintillator. One way to overcome this limitation is to use a pixellated scintillating layer on top of the semiconductor pixel detector. Using advanced etching and filling techniques, arrays of CsI columns have been successfully fabricated and characterized. Each CsI waveguide matches one pixel of the semiconductor detector, limiting the spatial spread of light. Another concept considered in this study is to detect the light emitted from the scintillator by diodes formed in the silicon pore walls. There is so far no knowledge regarding the theoretical limits for these two approaches, which makes the evaluation of the fabrication process difficult. In this work we present numerical calculations of the signal-to-noise ratio (SNR) for detector designs based on scintillator-filled pores in silicon. The calculations are based on separate Monte Carlo (MC) simulations of X-ray absorption and light transport in scintillator waveguides. The resulting data are used in global MC simulations of flood exposures of the detector array, from which the SNR values are obtained. Results are presented for two scintillator materials, namely CsI(Tl) and GADOX

  9. A LabVIEWTM-based detector testing system

    International Nuclear Information System (INIS)

    Yang Haori; Li Yuanjing; Wang Yi; Li Yulan; Li Jin

    2003-01-01

    The construction of a LabVIEW-based detector testing system is described in this paper. In this system, the signal of detector is magnified and digitized, so amplitude or time spectrum can be obtained. The Analog-to-Digital Converter is a peak-sensitive ADC based on VME bus. The virtual instrument constructed by LabVIEW can be used to acquire data, draw spectrum and save testing results

  10. The effects of sunlight exposure on the neutron response of CN-85 track detector

    International Nuclear Information System (INIS)

    Ahmad, N.; Mirza, N.M.; Mirza, S.K.; Tufail, M.

    1996-01-01

    The effect of sunlight exposure on the neutron response of CN-85 track detectors has been studied. It has been observed that the response during the first 28 days of sunlight exposure is slightly enhanced (10%) and then deceases continuously with increase in the sunlight exposure. After 84 days of sunlight exposure the response of the exposed detector relative to an unexposed detector is only 22%. It is also observed that the response can not be maintained by wrapping the CN-85 etch track detectors in typewriter black carbon papers if they are exposed to sunlight. (author)

  11. Mass spectrometry analysis of etch products from CR-39 plastic irradiated by heavy ions

    Science.gov (United States)

    Kodaira, S.; Nanjo, D.; Kawashima, H.; Yasuda, N.; Konishi, T.; Kurano, M.; Kitamura, H.; Uchihori, Y.; Naka, S.; Ota, S.; Ideguchi, Y.; Hasebe, N.; Mori, Y.; Yamauchi, T.

    2012-09-01

    As a feasibility study, gas chromatography-mass spectrometry (GC-MS) and matrix-assisted laser desorption ionization-mass spectrometry (MALDI-MS) have been applied to analyze etch products of CR-39 plastic (one of the most frequently used solid states nuclear track detector) for the understanding of track formation and etching mechanisms by heavy ion irradiation. The etch products of irradiated CR-39 dissolved in sodium hydroxide solution (NaOH) contain radiation-induced fragments. For the GC-MS analysis, we found peaks of diethylene glycol (DEG) and a small but a definitive peak of ethylene glycol (EG) in the etch products from CR-39 irradiated by 60 MeV N ion beams. The etch products of unirradiated CR-39 showed a clear peak of DEG, but no other significant peaks were found. DEG is known to be released from the CR-39 molecule as a fragment by alkaline hydrolysis reaction of the polymer. We postulate that EG was formed as a result of the breaking of the ether bond (C-O-C) of the DEG part of the CR-39 polymer by the irradiation. The mass distribution of polyallylalcohol was obtained from the etch products from irradiated and unirradiated CR-39 samples by MALDI-MS analysis. Polyallylalcohol, with the repeating mass interval of m/z = 58 Da (dalton) between m/z = 800 and 3500, was expected to be produced from CR-39 by alkaline hydrolysis. We used IAA as a matrix to assist the ionization of organic analyte in MALDI-MS analysis and found that peaks from IAA covered mass spectrum in the lower m/z region making difficult to identify CR-39 fragment peaks which were also be seen in the same region. The mass spectrometry analysis using GC-MS and MALDI-MS will be powerful tools to investigate the radiation-induced polymeric fragments and helping to understand the track formation mechanism in CR-39 by heavy ions.

  12. Ion beam evaluation of silicon carbide membrane structures intended for particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Pallon, J., E-mail: jan.pallon@nuclear.lu.se [Division of Nuclear Physics, Physics Department, Lund University, Box 118, SE-221 00 Lund (Sweden); Syväjärvi, M. [Linköping University, Department of Physics, Chemistry and Biology, SE-58183 Linköping (Sweden); Graphensic AB, Teknikringen 1F, SE-58330 Linköping (Sweden); Wang, Q. [Sensor System, ACREO Swedish ICT AB, Box 1070, SE-164 25 Kista (Sweden); Yakimova, R.; Iakimov, T. [Linköping University, Department of Physics, Chemistry and Biology, SE-58183 Linköping (Sweden); Graphensic AB, Teknikringen 1F, SE-58330 Linköping (Sweden); Elfman, M.; Kristiansson, P.; Nilsson, E.J.C.; Ros, L. [Division of Nuclear Physics, Physics Department, Lund University, Box 118, SE-221 00 Lund (Sweden)

    2016-03-15

    Thin ion transmission detectors can be used as a part of a telescope detector for mass and energy identification but also as a pre-cell detector in a microbeam system for studies of biological effects from single ion hits on individual living cells. We investigated a structure of graphene on silicon carbide (SiC) with the purpose to explore a thin transmission detector with a very low noise level and having mechanical strength to act as a vacuum window. In order to reach very deep cavities in the SiC wafers for the preparation of the membrane in the detector, we have studied the Inductive Coupled Plasma technique to etch deep circular cavities in 325 μm prototype samples. By a special high temperature process the outermost layers of the etched SiC wafers were converted into a highly conductive graphitic layer. The produced cavities were characterized by electron microscopy, optical microscopy and proton energy loss measurements. The average membrane thickness was found to be less than 40 μm, however, with a slightly curved profile. Small spots representing much thinner membrane were also observed and might have an origin in crystal defects or impurities. Proton energy loss measurement (also called Scanning Transmission Ion Microscopy, STIM) is a well suited technique for this thickness range. This work presents the first steps of fabricating a membrane structure of SiC and graphene which may be an attractive approach as a detector due to the combined properties of SiC and graphene in a monolithic materials structure.

  13. Charged projectile spectrometry using solid-state nuclear track detector of the PM-355 type

    Directory of Open Access Journals (Sweden)

    Malinowska Aneta

    2015-09-01

    Full Text Available To use effectively any radiation detector in high-temperature plasma experiments, it must have a lot of benefits and fulfill a number of requirements. The most important are: a high energy resolution, linearity over a wide range of recorded particle energy, high detection efficiency for these particles, a long lifetime and resistance to harsh conditions existing in plasma experiments and so on. Solid-state nuclear track detectors have been used in our laboratory in plasma experiments for many years, but recently we have made an attempt to use these detectors in spectroscopic measurements performed on some plasma facilities. This paper presents a method that we used to elaborate etched track diameters to evaluate the incident projectile energy magnitude. The method is based on the data obtained from a semiautomatic track scanning system that selects tracks according to two parameters, track diameter and its mean gray level.

  14. Preliminary quantification of a shape model for etch-pits formed during natural weathering of olivine

    International Nuclear Information System (INIS)

    Nowicki, M. Anna; Velbel, Michael A.

    2011-01-01

    Many etch-pits on olivine grains occur as a pair of cone-shaped pits sharing a base, which consequently appear as diamond-shaped etch-pits in cross-section. Quantitative image analysis of back-scattered electron images establishes empirical dimensions of olivine etch-pits in naturally weathered samples from Hawaii and North Carolina. Images of naturally etched olivine were acquired from polished thin-sections by scanning electron microscopy. An average cone-radius-to-height ratio (r:h) of 1.78 was determined for diamond-shaped cross-sections of etch-pits occurring in naturally weathered olivine grains, largely consistent with previous qualitative results. Olivine etch-pit shape as represented by r:h varies from slightly more than half the average value to slightly more than twice the average. Etch-pit shape does not appear to vary systematically with etch-pit size.

  15. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    Science.gov (United States)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch

  16. New Fast Response Thin Film-Based Superconducting Quench Detectors

    CERN Document Server

    Dudarev, A; van de Camp, W; Ravaioli, E; Teixeira, A; ten Kate, H H J

    2014-01-01

    Quench detection on superconducting bus bars and other devices with a low normal zone propagation velocity and low voltage build-up is quite difficult with conventional quench detection techniques. Currently, on ATLAS superconducting bus bar sections, superconducting quench detectors (SQD) are mounted to detect quench events. A first version of the SQD essentially consists of an insulated superconducting wire glued to a superconducting bus line or windings, which in the case of a quench rapidly builds up a relatively high resistance that can be easily and quietly detected. We now introduce a new generation of drastically improved SQDs. The new version makes the detection of quenches simpler, more reliable, and much faster. Instead of a superconducting wire, now a superconducting thin film is used. The layout of the sensor shows a meander like pattern that is etched out of a copper coated 25 mu m thick film of Nb-Ti glued in between layers of Kapton. Since the sensor is now much smaller and thinner, it is easi...

  17. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  18. Four-year clinical evaluation of Class II nano-hybrid resin composite restorations bonded with a one-step self-etch and a two-step etch-and-rinse adhesive

    DEFF Research Database (Denmark)

    van Dijken, Jan W V; Pallesen, Ulla

    2011-01-01

    The objective of this prospective clinical trial was to evaluate the 4-year clinical performance of an ormocer-based nano-hybrid resin composite (Ceram X; Dentsply/DeTrey) in Class II restorations placed with a one-step self-etch (Xeno III; Dentsply/DeTrey) and two-step etch-and-rinse adhesive (I...

  19. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  20. Fabrication of etched facets and vertical couplers in InP for packaging and on-wafer test

    NARCIS (Netherlands)

    Lemos Alvares Dos Santos, Rui; D'Agostino, D.; Soares, F. M.; Haghighi, H. Rabbani; Williams, K. A.; Leijtens, X. J. M.

    2016-01-01

    In this letter, the fabrication and the characterization of angled and straight etched facets in InP-based technology are reported. In addition, we report on etched facets combined with coupler mirrors for vertical outcoupling, realized with a wet-etching process.

  1. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  2. Cellular automaton-based position sensitive detector equalization

    Energy Technology Data Exchange (ETDEWEB)

    Ferrando, Nestor [Grupo de Diseno de Sistemas Digitales, Instituto de Aplicaciones de las Tecnologias de la Informacion y de las Comunicaciones Avanzadas, Universidad Politecnica de Valencia, Camino de Vera s/n, 46022 Valencia (Spain)], E-mail: nesferjo@upvnet.upv.es; Herrero, V.; Cerda, J.; Lerche, C.W.; Colom, R.J.; Gadea, R.; Martinez, J.D.; Monzo, J.M.; Mateo, F.; Sebastia, A.; Benlloch, J.M. [Grupo de Diseno de Sistemas Digitales, Instituto de Aplicaciones de las Tecnologias de la Informacion y de las Comunicaciones Avanzadas, Universidad Politecnica de Valencia, Camino de Vera s/n, 46022 Valencia (Spain)

    2009-06-01

    Indirect position detectors based on scintillator crystals lack of spacial uniformity in their response. This happens due to crystal inhomogeneities and gain differences among the photomultiplier anodes. In order to solve this, PESIC, an integrated front-end for multianode photomultiplier based nuclear imaging devices was created. One of its main features is the digitally programmable gain adjustment for every photomultiplier output. On another front, cellular automata have been proved to be a useful method for dynamic system modeling. In this paper, a cellular automaton which emulates the behavior of the scintillator crystal, the photomultiplier and the front-end is introduced. Thanks to this model, an automatic energy-based calibration of the detector can be done by configuring the cellular automaton with experimental data and making it evolve up to an stable state. This can be useful as a precalibration method of the detector.

  3. Cellular automaton-based position sensitive detector equalization

    International Nuclear Information System (INIS)

    Ferrando, Nestor; Herrero, V.; Cerda, J.; Lerche, C.W.; Colom, R.J.; Gadea, R.; Martinez, J.D.; Monzo, J.M.; Mateo, F.; Sebastia, A.; Benlloch, J.M.

    2009-01-01

    Indirect position detectors based on scintillator crystals lack of spacial uniformity in their response. This happens due to crystal inhomogeneities and gain differences among the photomultiplier anodes. In order to solve this, PESIC, an integrated front-end for multianode photomultiplier based nuclear imaging devices was created. One of its main features is the digitally programmable gain adjustment for every photomultiplier output. On another front, cellular automata have been proved to be a useful method for dynamic system modeling. In this paper, a cellular automaton which emulates the behavior of the scintillator crystal, the photomultiplier and the front-end is introduced. Thanks to this model, an automatic energy-based calibration of the detector can be done by configuring the cellular automaton with experimental data and making it evolve up to an stable state. This can be useful as a precalibration method of the detector.

  4. Effect of surface acid etching on the biaxial flexural strength of two hot-pressed glass ceramics.

    Science.gov (United States)

    Hooshmand, Tabassom; Parvizi, Shaghayegh; Keshvad, Alireza

    2008-07-01

    The purpose of this study was to assess the effect of surface acid etching on the biaxial flexural strength of two hot-pressed glass ceramics reinforced by leucite or lithium disilicate crystals. Forty glass ceramic disks (14-mm diameter, 2-mm thick) consisting of 20 leucite-based ceramic disks (IPS Empress) and 20 lithia disilicate-based ceramic (IPS Empress 2) were produced by hot-pressing technique. All specimens were polished and then cleaned ultrasonically in distilled water. Ten specimens of each ceramic group were then etched with 9% hydrofluoric (HF) acid gel for 2 minutes and cleaned ultrasonically again. The biaxial flexural strength was measured by the piston-on-three-ball test in a universal testing machine. Data based on ten specimens in each group were analyzed by two-way ANOVA (alpha= 0.05). Microstructure of ceramic surfaces before and after acid etching was also examined by a scanning electron microscope. The mean biaxial flexural strength values for each group tested were (in MPa): nonetched IPS Empress = 118.6 +/- 25.5; etched IPS Empress = 102.9 +/- 15.4; nonetched IPS Empress 2 = 283.0 +/- 48.5; and etched IPS Empress 2 = 250.6 +/- 34.6. The results showed that the etching process reduced the biaxial flexural strengths significantly for both ceramic types (p= 0.025). No significant interaction between the ceramic type and etching process was found (p= 0.407). From the results, it was concluded that surface HF acid etching could have a weakening effect on hot-pressed leucite or lithia disilicate-based glass ceramic systems.

  5. New development on electrochemical etching processes at the Atomic Energy Organization of Iran

    CERN Document Server

    Sohrabi, M

    1999-01-01

    Some highlights of new developments made in our laboratory at the Atomic Energy Organization of Iran on chemical and electrochemical etching (ECE) of polymer track detectors like polycarbonate (PC) are presented. They include introduction of new ECE chamber systems and methods for production of ECE signs and symbols, and a new versatile ECE chamber (VECE) system for multi-purpose, multi-size, and/or multi-shape detector processing; determination of photoneutron doses in and around high-energy X-ray beams of a 20 MV medical accelerator; verification of the Smythe and Mason equations for ECE of tracks in polymers; ECE of alpha and recoil tracks in PC using PMW, PEW and PEMW etchants; introduction of a novel method using ethylene diamine for treatment of PC detectors with its applications, for example in precision removal of surface layers of PC (e.g. bulk removal rates of about 0.04, 0.15, 0.36, 0.66, and 1.33 mm min sup - sup 1 for 60%, 65%, 70%, 75% and 80% ethylene diamine solution (v/v) in water respectivel...

  6. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  7. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  8. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  9. Investigation on electrical tree propagation in polyethylene based on etching method

    Directory of Open Access Journals (Sweden)

    Zexiang Shi

    2017-11-01

    Full Text Available To investigate the characteristic of electrical tree propagation in semi-crystalline polymers, the low-density polyethylene (LDPE samples containing electrical trees are cut into slices by using ultramicrotome. Then the slice samples are etched by potassium permanganate etchant. Finally, the crystalline structure and the electrical tree propagation path in samples are observed by polarized light microscopy (PLM. According to the observation, the LDPE spherocrystal structure model is established on the basis of crystallization kinetics and morphology of polymers. And the electrical tree growth process in LDPE is discussed based on the free volume breakdown theory, the molecular chain relaxation theory, the electromechanical force theory, the thermal expansion effect and the space charge shielding effect.

  10. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  11. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  12. A novel method for observation by unaided eyes of nitrogen ion tracks and angular distribution in a plasma focus device using 50 Hz–HV electrochemically-etched polycarbonate detectors

    International Nuclear Information System (INIS)

    Sohrabi, M.; Habibi, M.; Roshani, G.H.; Ramezani, V.

    2012-01-01

    A novel ion detection method has been developed and studied in this paper for the first time to detect and observe tracks of nitrogen ions and their angular distribution by unaided eyes in the Amirkabir 4 kJ plasma focus device (PFD). The method is based on electrochemical etching (ECE) of nitrogen ion tracks in 1 mm thick large area polycarbonate (PC) detectors. The ECE method employed a specially designed and constructed large area ECE chamber by applying a 50 Hz–high voltage (HV) generator under optimized ECE conditions. The nitrogen ion tracks and angular distribution were efficiently (constructed for this study) amplified to a point observable by the unaided eyes. The beam profile and angular distribution of nitrogen ion tracks in the central axes of the beam and two- and three-dimensional iso-ion track density distributions showing micro-beam spots were determined. The distribution of ion track density along the central axes versus angular position shows double humps around a dip at the 0° angular positions. The method introduced in this paper proved to be quite efficient for ion beam profile and characteristic studies in PFDs with potential for ion detection studies and other relevant dosimetry applications.

  13. Crystal growth vs. conventional acid etching: A comparative evaluation of etch patterns, penetration depths, and bond strengths

    Directory of Open Access Journals (Sweden)

    Devanna Raghu

    2008-01-01

    Full Text Available The present study was undertaken to investigate the effect on enamel surface, penetration depth, and bond strength produced by 37% phosphoric acid and 20% sulfated polyacrylic acid as etching agents for direct bonding. Eighty teeth were used to study the efficacy of the etching agents on the enamel surface, penetration depth, and tensile bond strength. It was determined from the present study that a 30 sec application of 20% sulfated polyacrylic acid produced comparable etching topography with that of 37% phosphoric acid applied for 30 sec. The 37% phosphoric acid dissolves enamel to a greater extent than does the 20% sulfated polyacrylic acid. Instron Universal testing machine was used to evaluate the bond strengths of the two etching agents. Twenty percent sulfated polyacrylic acid provided adequate tensile bond strength. It was ascertained that crystal growth can be an alternative to conventional phosphoric acid etching as it dissolves lesser enamel and provides adequate tensile bond strength.

  14. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  15. Alpha-particle detection based on the BJT detector and simple, IC-based readout electronics

    Energy Technology Data Exchange (ETDEWEB)

    Rovati, L; Bonaiuti, M [Dipartimento di Ingegneria dell' Informazione, Universita di Modena e Reggio Emilia, Modena (Italy); Bettarini, S [Dipartimento di Fisica, Universita di Pisa and INFN Pisa, Pisa (Italy); Bosisio, L [Dipartimento di Fisica, Universita di Trieste and INFN Trieste, Trieste (Italy); Dalla Betta, G-F; Tyzhnevyi, V [Dipartimento di Ingegneria e Scienza dell' Informazione, Universita di Trento e INFN Trento, Trento (Italy); Verzellesi, G [Dipartimento di Scienze e Metodi dell' Ingegneria, Universita di Modena e Reggio Emilia and INFN Trento, Reggio Emilia (Italy); Zorzi, N, E-mail: giovanni.verzellesi@unimore.i [Fondazione Bruno Kessler (FBK), Trento (Italy)

    2009-11-15

    In this paper we propose a portable instrument for alpha-particle detection based on a previously-developed BJT detector and a simple, IC-based readout electronics. Experimental tests of the BJT detector and readout electronics are reported. Numerical simulations are adopted to predict the performance enhancement achievable with optimized BJT detectors.

  16. Alpha-particle detection based on the BJT detector and simple, IC-based readout electronics

    International Nuclear Information System (INIS)

    Rovati, L; Bonaiuti, M; Bettarini, S; Bosisio, L; Dalla Betta, G-F; Tyzhnevyi, V; Verzellesi, G; Zorzi, N

    2009-01-01

    In this paper we propose a portable instrument for alpha-particle detection based on a previously-developed BJT detector and a simple, IC-based readout electronics. Experimental tests of the BJT detector and readout electronics are reported. Numerical simulations are adopted to predict the performance enhancement achievable with optimized BJT detectors.

  17. Masking considerations in chemically assisted ion beam etching of GaAs/AlGaAs laser structures

    International Nuclear Information System (INIS)

    Behfar-Rad, A.; Wong, S.S.; Davis, R.J.; Wolf, E.D.; Cornell Univ., Ithaca, NY

    1989-01-01

    The use of photoresist, Cr, and SiO 2 as etch masks for GaAs/AlGaAs structures in chemically assisted ion beam etching is reported. The optimized etch with a photoresist mask results in a high degree of anisotropy and smooth sidewalls. However, the etched surface contains undesirable features. The etch with a Cr mask is also highly anisotropic, and the etched surface is free of features. The drawback with Cr masks is that the sidewalls are rough. Vertical and smooth sidewalls as well as a featureless surface are obtained with a SiO 2 mask. The SiO 2 mask has been employed to etch the facets of monolithic GaAs/AlGaAs-based laser structures

  18. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    International Nuclear Information System (INIS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min −1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ. (paper)

  19. Development of X-ray detector based on phototransistor

    International Nuclear Information System (INIS)

    Ramacos Fardela; Kusminarto

    2014-01-01

    X-ray interaction with matter can produce phenomenon of fluorescence that emits visible light. This phenomenon has been exploited to design an X-ray detector based on photo transistor by attaching a screen ZnS(Ag) on the surface of the photo transistor which is arranged in a Darlington circuit. Response of detector was done by collimating of X-rays beam from the X-ray generator tube Philips 2000 watts, 60 kV type PW 2215/20 NR 780 026 and measure the detector output voltage (V out ). Varying the current by 5, 10, 15, 20, 25, 30, 35 and 40 mA in the X-ray panel. The experimental results showed that the Darlington circuit can be applied to design the detector of X-ray based on phototransistor. The results show that there is a linear relationship between the change in the intensity of X-ray detectors with voltage output phototransistor when it was closed with fluorescence materials ZnS(Ag), the linearity coefficient was R 2 = 0.99. Sensitivity of detector was obtained to be 3.7 x 10 -2 mV per cpm. (author)

  20. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  1. Fabrication of SiC nanopillars by inductively coupled SF6/O2 plasma etching

    International Nuclear Information System (INIS)

    Choi, J H; Bano, E; Latu-Romain, L; Dhalluin, F; Chevolleau, T; Baron, T

    2012-01-01

    In this paper, we demonstrate a top-down fabrication technique for nanometre scale silicon carbide (SiC) pillars using inductively coupled plasma etching. A set of experiments in SF 6 -based plasma was carried out in order to realize high aspect ratio SiC nanopillars. The etched SiC nanopillars using a small circular mask pattern (115 nm diameter) show high aspect ratio (7.4) with a height of 2.2 µm at an optimum bias voltage (300 V) and pressure (6 mTorr). Under the optimal etching conditions using a large circular mask pattern with 370 nm diameter, the obtained SiC nanopillars exhibit high anisotropy features (6.4) with a large etch depth (>7 µm). The etch characteristic of the SiC nanopillars under these conditions shows a high etch rate (550 nm min -1 ) and a high selectivity (over 60 for Ni). We also studied the etch profile of the SiC nanopillars and mask evolution over the etching time. As the mask pattern size shrinks in nanoscale, vertical and lateral mask erosion plays a crucial role in the etch profile of the SiC nanopillars. Long etching process makes the pillars appear with a hexagonal shape, coming from the crystallographic structure of α-SiC. It is found that the feature of pillars depends not only on the etching process parameters, but also on the crystallographic structure of the SiC phase. (paper)

  2. Silicon-Based Detectors at the HL-LHC

    CERN Document Server

    Hartmann, Frank

    2018-01-01

    This document discusses the silicon-based detectors planned for the High Luminosity LHC. The special aspects to cope with the new environment and its challenges, e.g. very high radiation levels and very high instantaneous luminosity thus high pile-up, high occupancy and high data rates, are addressed. The different design choices of the detectors are put into perspective. Exciting topics like trackers, high granularity silicon-based calorimetry with novel 8~inch processing, fast timing and new triggers are described.

  3. Micro-fabricated packed gas chromatography column based on laser etching technology.

    Science.gov (United States)

    Sun, J H; Guan, F Y; Zhu, X F; Ning, Z W; Ma, T J; Liu, J H; Deng, T

    2016-01-15

    In this work, a micro packed gas chromatograph column integrated with a micro heater was fabricated by using laser etching technology (LET) for analyzing environmental gases. LET is a powerful tool to etch deep well-shaped channels on the glass wafer, and it is the most effective way to increase depth of channels. The fabricated packed GC column with a length of over 1.6m, to our best knowledge, which is the longest so far. In addition, the fabricated column with a rectangular cross section of 1.2mm (depth) × 0.6mm (width) has a large aspect ratio of 2:1. The results show that the fabricated packed column had a large sample capacity, achieved a separation efficiency of about 5800 plates/m and eluted highly symmetrical Gaussian peaks. Copyright © 2015 Elsevier B.V. All rights reserved.

  4. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  5. Air alpha monitoring device and system for the calibration of the track detectors

    International Nuclear Information System (INIS)

    Danis, A; Oncescu, M.; Ciubotariu, M.

    2001-01-01

    The radon measurement plays a critical role: - in monitoring the human health and safety, due to radon destructive health effects. Sustained exposures of humans to high concentration of radon, in fact to high concentrations of its decay products, can produce lung cancer; - in a variety of geophysical, geochemical, hydrological and atmospheric investigations, such as exploring resources of uranium or hydrocarbons. The transport of radon within the earth, waters and atmosphere makes it a useful tracer in these purposes. in both cases, the reliable long-term measurements are required because usual short-term variations in concentration need to be averaged. These variations are caused by factors such as relative humidity, temperature, atmospheric pressure and their seasonal variations, moisture content in the air, or ventilation in the dwelling or working places. The integrating measurement methods meet these requirements. Among them, the alpha track method is one of the adequate and useful method and it is used by authors in radon measurements in dwelling and working places including mines and house cellars. The best etched track alpha detector for radon measurements proved to be the detector CR-39 due to: - its sensitivity to alpha particles emitted by radon decay products; - its stability against various environmental factors; - its high degree of optical clarity, was used in a proper device for alpha monitoring in air. Its calibration for radon measurements was performed in the proper calibration system. The general descriptions and specifications were given previously. Only some characteristics of these devices are given here. For air alpha monitoring device: i) equipped with filter, during alpha exposure, the alpha particles of radon are registered in the etched track detector mounted inside (ρ Rn - track density); ii) without filter, the alpha particles emitted by radon + its alpha decay products/their aerosols are registered in the detector (ρ tot - track

  6. Energy spectrum of iron nuclei measured inside the MIR space craft using CR-39 track detectors

    International Nuclear Information System (INIS)

    Guenther, W.; Leugner, D.; Becker, E.; Flesch, F.; Heinrich, W.; Huentrup, G.; Reitz, G.; Roecher, H.; Streibel, T.

    1999-01-01

    We have exposed stacks of CR-39 plastic nuclear track detectors inside the MIR space craft during the EUROMIR95 space mission for almost 6 months. Over this long period a large number of tracks of high LET events was accumulated in the detector foils. The etching and measuring conditions for this experiment were optimized to detect tracks of stopping iron nuclei. We found 185 stopping iron nuclei inside the stack and identified their trajectories through the material of the experiment. Based on the energy-range relation the energy at the surface of the stack was determined. These particles allow the determination of the low energy part of the spectrum of iron nuclei behind shielding material inside the MIR station

  7. Measurement and Modeling of Blocking Contacts for Cadmium Telluride Gamma Ray Detectors

    Energy Technology Data Exchange (ETDEWEB)

    Beck, Patrick R. [California Polytechnic State Univ. (CalPoly), San Luis Obispo, CA (United States)

    2010-01-07

    Gamma ray detectors are important in national security applications, medicine, and astronomy. Semiconductor materials with high density and atomic number, such as Cadmium Telluride (CdTe), offer a small device footprint, but their performance is limited by noise at room temperature; however, improved device design can decrease detector noise by reducing leakage current. This thesis characterizes and models two unique Schottky devices: one with an argon ion sputter etch before Schottky contact deposition and one without. Analysis of current versus voltage characteristics shows that thermionic emission alone does not describe these devices. This analysis points to reverse bias generation current or leakage through an inhomogeneous barrier. Modeling the devices in reverse bias with thermionic field emission and a leaky Schottky barrier yields good agreement with measurements. Also numerical modeling with a finite-element physics-based simulator suggests that reverse bias current is a combination of thermionic emission and generation. This thesis proposes further experiments to determine the correct model for reverse bias conduction. Understanding conduction mechanisms in these devices will help develop more reproducible contacts, reduce leakage current, and ultimately improve detector performance.

  8. Radiation measurements by pn junction InSb detector at the temperature from 4.2 K to 115 K

    International Nuclear Information System (INIS)

    Kanno, Ikuo; Yoshihara, Fumiki; Nouchi, Ryo; Sugiura, Osamu; Murase, Yasuhiro; Nakamura, Tatsuya; Katagiri, Masaki

    2003-01-01

    We fabricated the pn junction-type detectors on a p-type InSb substrate. Both sides of the InSb substrate were etched using a mixture of nitric and lactic acids. On the top side surface, Sn and Al were deposited by heat evaporation and then the Sn was diffused into the p-type InSb by lamp annealing and resulted in the n-type layer. Based on the confirmation of the performance of the InSb detector at temperatures of 0.5 K and 4.2 K, we concentrated on the measurement of alpha particles by the pm junction-type InSb detectors at higher operating temperatures of up to 115 K. The InSb detector showed a wide temperature operating range. We can conclude that all of the voltage was induced slowly by the holes at 4.2 K and mainly as a result of electrons at 77 K. (T. Tanaka)

  9. Intercomparison of radon gas detectors 1997 at PSI

    International Nuclear Information System (INIS)

    Schuler, Christoph; Butterweck-Dempewolf, Gernot

    1998-05-01

    Between Nov 14 and Nov 20, 1997, the Reference Laboratory for Radon Gas Activity Concentration Measurements at Paul Scherrer Institut performed the 1997 Radon Intercomparison Exercise. Radon gas detectors and instruments were exposed in the PSI Radon Chamber during seven days in a reference atmosphere with an average radon gas concentration of 3860 Bqm -3 . The majority of the participants at this intercomparison were Swiss Radon Gas Measurement Laboratories acknowledged by the Swiss Federal Office for Health. Criteria for this acknowledgement are a deviation of the measurement results to the reference value below 15% (traceability criterion) and a standard deviation of the mean of five detector measurement results below 15% (reproducibility criterion). With the exception of three participants, the results of electret ionisation chambers, track etch detectors and measuring instruments fulfilled the demanded traceability and reproducibility criteria. (author)

  10. Norm based design of fault detectors

    DEFF Research Database (Denmark)

    Rank, Mike Lind; Niemann, Hans Henrik

    1999-01-01

    The design of fault detectors for fault detection and isolation (FDI) in dynamic systems is considered in this paper from a norm based point of view. An analysis of norm based threshold selection is given based on different formulations of FDI problems. Both the nominal FDI problem as well...

  11. Low-voltage electroosmotic pumping using polyethylene terephthalate track-etched membrane

    Energy Technology Data Exchange (ETDEWEB)

    Wang Ceming; Wang Lin [State Key Laboratory of Nuclear Physics and Technology, Peking University, Beijing 100871 (China); Xue Jianming, E-mail: jmxue@pku.edu.cn [State Key Laboratory of Nuclear Physics and Technology, Peking University, Beijing 100871 (China); Center for Applied Physics and Technology, Peking University, Beijing 100871 (China)

    2012-09-01

    We present experimental investigations of electroosmotic (EO) pumping using polyethylene terephthalate (PET) track-etched membrane at a low applied voltage. An EO pump based on PET track-etched membrane has been designed and fabricated. Pumping performance of the device is experimentally studied in terms of flow rate as a function of applied voltage and KCl aqueous concentration. The PET track-etched membrane EO pump can generate flow rates on the order of 10 {mu}l min{sup -1} cm{sup -2} at several applied volts. The measured flow rate tends to decrease with increasing KCl aqueous concentration. In addition, we study the EO flow in cylindrical nanopore with use of a continuum model, composed of Nernst Planck equations, Poisson equation and Navier Stokes equations.

  12. Silicon-based photonic crystals fabricated using proton beam writing combined with electrochemical etching method.

    Science.gov (United States)

    Dang, Zhiya; Breese, Mark Bh; Recio-Sánchez, Gonzalo; Azimi, Sara; Song, Jiao; Liang, Haidong; Banas, Agnieszka; Torres-Costa, Vicente; Martín-Palma, Raúl José

    2012-07-23

    A method for fabrication of three-dimensional (3D) silicon nanostructures based on selective formation of porous silicon using ion beam irradiation of bulk p-type silicon followed by electrochemical etching is shown. It opens a route towards the fabrication of two-dimensional (2D) and 3D silicon-based photonic crystals with high flexibility and industrial compatibility. In this work, we present the fabrication of 2D photonic lattice and photonic slab structures and propose a process for the fabrication of 3D woodpile photonic crystals based on this approach. Simulated results of photonic band structures for the fabricated 2D photonic crystals show the presence of TE or TM gap in mid-infrared range.

  13. Plasmonic colorimetric sensors based on etching and growth of noble metal nanoparticles: Strategies and applications.

    Science.gov (United States)

    Zhang, Zhiyang; Wang, Han; Chen, Zhaopeng; Wang, Xiaoyan; Choo, Jaebum; Chen, Lingxin

    2018-08-30

    Plasmonic colorimetric sensors have emerged as a powerful tool in chemical and biological sensing applications due to the localized surface plasmon resonance (LSPR) extinction in the visible range. Among the plasmonic sensors, the most famous sensing mode is the "aggregation" plasmonic colorimetric sensor which is based on plasmon coupling due to nanoparticle aggregation. Herein, this review focuses on the newly-developing plasmonic colorimetric sensing mode - the etching or the growth of metal nanoparticles induces plasmon changes, namely, "non-aggregation" plasmonic colorimetric sensor. This type of sensors has attracted increasing interest because of their exciting properties of high sensitivity, multi-color changes, and applicability to make a test strip. Of particular interest, the test strip by immobilization of nanoparticles on the substrate can avoid the influence of nanoparticle auto-aggregation and increase the simplicity in storage and use. Although there are many excellent reviews available that describe the advance of plasmonic sensors, limited attention has been paid to the plasmonic colorimetric sensors based on etching or growth of metal nanoparticles. This review highlights recent progress on strategies and application of "non-aggregation" plasmonic colorimetric sensors. We also provide some personal insights into current challenges associated with "non-aggregation" plasmonic colorimetric sensors and propose future research directions. Copyright © 2018 Elsevier B.V. All rights reserved.

  14. Overview Of Dry-Etch Techniques

    Science.gov (United States)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  15. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  16. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  17. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  18. The MACRO detector at Gran Sasso

    International Nuclear Information System (INIS)

    Ambrosio, M.; Antolini, R.; Assiro, R.; Auriemma, G.; Bakari, D.; Baldini, A.; Barbarino, G.C.; Barbarito, E.; Barish, B.C.; Battistoni, G.; Becherini, Y.; Bellotti, R.; Bemporad, C.; Bernardini, P.; Bilokon, H.; Bisi, V.; Bloise, C.; Bottazzi, E.; Bower, C.; Brigida, M.; Bussino, S.; Cafagna, F.; Calicchio, M.; Campana, D.; Candela, A.; Carboni, M.; Cecchini, S.; Cei, F.; Ceres, A.; Chiarella, V.; Choudhary, B.C.; Coutu, S.; Cozzi, M.; Creti, P.; De Cataldo, G.; Esposti, L.D.L. Degli; Dekhissi, H.; Marzo, C. De; Mitri, I. De; Derkaoui, J.; Vincenzi, M. De; Credico, A. Di; Ferdinando, D. Di; Diotallevi, R.; Erriquez, O.; Favuzzi, C.; Forti, C.; Fusco, P.; Gebhard, M.; Giacomelli, G.; Giacomelli, R.; Giannini, G.; Giglietto, N.; Giorgini, M.; Giuliani, R.; Goretti, M.; Grassi, M.; Grau, H.; Gray, L.; Grillo, A.; Guarino, F.; Gustavino, C.; Habig, A.; Hanson, J.; Hanson, K.; Hawthorne, A.; Heinz, R.; Hong, J.T.; Iarocci, E.; Katsavounidis, E.; Katsavounidis, I.; Kearns, E.; Kim, H.; Kyriazopoulou, S.; Lamanna, E.; Lane, C.; Leone, A.; Levin, D.S.; Lipari, P.; Liu, G.; Liu, R.; Longley, N.P.; Longo, M.J.; Loparco, F.; Maaroufi, F.; Mancarella, G.; Mandrioli, G.; Manzoor, S.; Marrelli, V.; Margiotta, A.; Marini, A.; Martello, D.; Marzari-Chiesa, A.; Mazziotta, M.N.; Michael, D.G.; Mikheyev, S.; Miller, L.; Monacelli, P.; Mongelli, M.; Montaruli, T.; Monteno, M.; Mossbarger, L.; Mufson, S.; Musser, J.; Nicolo, D.; Nolty, R.; Okada, C.; Orsini, M.; Orth, C.; Osteria, G.; Ouchrif, M.; Palamara, O.; Parlati, S.; Patera, V.; Patrizii, L.; Pazzi, R.; Peck, C.W.; Pellizzoni, G.; Perchiazzi, M.; Perrone, L.; Petrakis, J.; Petrera, S.; Pignatano, N.; Pinto, C.; Pistilli, P.; Popa, V.; Raino, A.; Reynoldson, J.; Ronga, F.; Rrhioua, A.; Sacchetti, A.; Saggese, P.; Satriano, C.; Satta, L.; Scapparone, E.; Scholberg, K.; Sciubba, A.; Serra, P.; Sioli, M.; Sirri, G.; Sitta, M.; Sondergaard, S.; Spinelli, P.; Spinetti, M.; Spurio, M.; Stalio, S.; Steinberg, R.; Stone, J.L.; Sulak, L.R.; Surdo, A.; Tarle, G.; Togo, V.; Vakili, M.; Valieri, C.; Walter, C.W.; Webb, R.; Zaccheo, N.

    2002-01-01

    MACRO was an experiment that ran in the Laboratori Nazionali del Gran Sasso from 1988 to 2000. Its principal goal was to observe magnetic monopoles or set significantly lower experimental flux limits than had been previously available in the velocity range from about β=10 -4 to unity. In addition it made a variety of other observations. Examples are: setting flux limits on other so far unobserved particles such as nuclei and lightly ionizing particles, searching for WIMP annihilations in the Earth and the Sun and for neutrino bursts from stellar collapses in or near our Galaxy, and making measurements relevant to high energy muon and neutrino astronomy and of the flux of up-going muons as a function of nadir angle showing evidence for neutrino oscillations. The apparatus consisted of three principal types of detectors: liquid scintillator counters, limited streamer tubes, and nuclear track etch detectors. In addition, over part of its area it contained a transition radiation detector. The general design philosophy emphasized redundancy and complementarity. This paper describes the technical aspects of the complete MACRO detector, its operational performance, and the techniques used to calibrate it and verify its proper operation. It supplements a previously published paper which described the first portion of the detector that was built and operated

  19. Discovery of a new ECE parameter affecting the response of polymer track detectors

    International Nuclear Information System (INIS)

    Sohrabi, M.; Katouzi, M.

    1993-01-01

    The pressure applied to the electrochemical etching (ECE) chamber system and in turn to the rubber washers holding a detector tight in place was discovered to be a new parameter in ECE having a direct effect on internal heating and thus on the detector's response. The type, material, shape and size of the washers showed significant effects on the detector's response. Special pressure ECE (PECE) chambers with measurable and reproducible pressure were designed, constructed and used in this study. The effects observed seem to be due to forced vibrations of the detector in an electric field the degree of which depends on the pressure applied and stretching the detectors, like winding the strings of a musical instrument. The results of the above studies are presented and discussed. (author)

  20. Effects of the Addictives on Etching Characteristics of Aluminum Foil

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S.K.; Jang, J.M.; Chi, C.S. [Kookmin University, Seoul (Korea); Shin, D.C. [Sungnam Polytechnic, Sungnam (Korea); Lee, J.H.; Oh, H.J. [Hanseo University, Seosan (Korea)

    2001-01-01

    The effects of additives in the HCI etching solution on etching behaviors of aluminium foil as dielectric film for electrolytic capacitors were investigated. The etch pits formed in 1M hydrochloric acid containing ethylene glycol as an additive contain more fine and homogeneous etch tunnels compared to thoese in 1 M hydrochloric acid only, which led to the increase in the effective internal surface area of aluminum foil. After anodizing of aluminum foil etched in etching solutions, the LCR meter results have shown that the capacitance of dielectric film etched in hydrochloric acid with ethylene glycol was increased remarkably compared to that etched in hydrochloric acid only. (author). 21 refs., 10 figs.

  1. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  2. A method to control the fabrication of etched optical fiber probes with nanometric tips

    International Nuclear Information System (INIS)

    Tao, Miaomiao; Gu, Ning; Huang, Lan; Jin, Yonglong

    2010-01-01

    Optical fiber probes with small size tips have attracted much interest in the areas of biosensor and near-field scanning optical microscopy. Chemical etching is a common useful method to fabricate such probes. But it is difficult to study or determine the etching time and control the shape of the fiber during the etching. In this work, a new method combining a fiber optic spectrometer with static chemical etching has been developed to fabricate optical fiber probe nanotips, where the fiber optic spectrometer is used to measure the optical signal during the etching. By calculating and analyzing the testing data, the relationship between the apex angle and the optical signal can be obtained. Accordingly, the process of fabricating optical fibers based on the optical signal can be controlled

  3. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  4. Charge resolution of a Hungarian brand CR-39(MA-ND) detector exposed to a 84Kr beam of energy 0.45A GeV

    International Nuclear Information System (INIS)

    Bhattacharyya, D.P.; Basu, B.; Pal, P.; Mukherjee, S.C.; Ganguly, A.K.; Hunyady, I.

    1990-01-01

    The Hungarian brand CR-39(MA-ND) plastic has been irradiated with a 84 Kr ion beam of energy 0.45A GeV and etched for four different etching times, viz. 4, 6, 8 and 12 h. The estimated charge resolution of a CR-39(MA-ND) detector for registering the nuclei 32 ≤ Z ≤ 36 was found to be 0.18e which is close to our previous observation of the response with a CR-39(DOP) Pershore made plate exposed to a 1.88A GeV 56 Fe beam at the Lawrence Berkeley Laboratory's Bevalac. It was found that the estimated etch rate ratio V T /V G is independent of etching time. The cone length and minor axis of the etch pits has been found to increase with etching time. (orig.)

  5. Photoacoustic-based detector for infrared laser spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Scholz, L.; Palzer, S., E-mail: stefan.palzer@imtek.uni-freiburg.de [Department of Microsystems Engineering-IMTEK, Laboratory for Gas Sensors, University of Freiburg, Georges-Köhler-Allee 102, Freiburg 79110 (Germany)

    2016-07-25

    In this contribution, we present an alternative detector technology for use in direct absorption spectroscopy setups. Instead of a semiconductor based detector, we use the photoacoustic effect to gauge the light intensity. To this end, the target gas species is hermetically sealed under excess pressure inside a miniature cell along with a MEMS microphone. Optical access to the cell is provided by a quartz window. The approach is particularly suitable for tunable diode laser spectroscopy in the mid-infrared range, where numerous molecules exhibit large absorption cross sections. Moreover, a frequency standard is integrated into the method since the number density and pressure inside the cell are constant. We demonstrate that the information extracted by our method is at least equivalent to that achieved using a semiconductor-based photon detector. As exemplary and highly relevant target gas, we have performed direct spectroscopy of methane at the R3-line of the 2v{sub 3} band at 6046.95 cm{sup −1} using both detector technologies in parallel. The results may be transferred to other infrared-active transitions without loss of generality.

  6. Dosimetry in heavy ion beams using various detectors

    Czech Academy of Sciences Publication Activity Database

    Brabcová, Kateřina; Jadrníčková, Iva; Molokanov, A. G.; Spurný, František

    2010-01-01

    Roč. 45, č. 10 (2010), s. 1384-1386 ISSN 1350-4487. [Neutron and Ion Dosimetry Symposium /11./. Cape Town, 12.10.2009-16.10.2009] R&D Projects: GA ČR GA205/09/0171; GA AV ČR IAA100480902 Institutional research plan: CEZ:AV0Z10480505 Keywords : tack-etched detectors * LET spectra * TLD * heavy ion beams Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.019, year: 2010

  7. Shear bond strength of orthodontic brackets after acid-etched and erbium-doped yttrium aluminum garnet laser-etched

    Directory of Open Access Journals (Sweden)

    Shiva Alavi

    2014-01-01

    Full Text Available Background: Laser ablation has been suggested as an alternative method to acid etching; however, previous studies have obtained contrasting results. The purpose of this study was to compare the shear bond strength (SBS and fracture mode of orthodontic brackets that are bonded to enamel etched with acid and erbium-doped yttrium aluminum garnet (Er:YAG laser. Materials and Methods: In this experimental in vitro study, buccal surfaces of 15 non-carious human premolars were divided into mesial and distal regions. Randomly, one of the regions was etched with 37% phosphoric acid for 15 s and another region irradiated with Er:YAG laser at 100 mJ energy and 20 Hz frequency for 20 s. Stainless steel brackets were then bonded using Transbond XT, following which all the samples were stored in distilled water for 24 h and then subjected to 500 thermal cycles. SBS was tested by a chisel edge, mounted on the crosshead of universal testing machine. After debonding, the teeth were examined under Χ10 magnification and adhesive remnant index (ARI score determined. SBS and ARI scores of the two groups were then compared using t-test and Mann-Whitney U test. Significant level was set at P < 0.05. Results: The mean SBS of the laser group (16.61 ± 7.7 MPa was not significantly different from that of the acid-etched group (18.86 ± 6.09 MPa (P = 0.41. There was no significant difference in the ARI scores between two groups (P = 0.08. However, in the laser group, more adhesive remained on the brackets, which is not suitable for orthodontic purposes. Conclusion: Laser etching at 100 mJ energy produced bond strength similar to acid etching. Therefore, Er:YAG laser may be an alternative method for conventional acid-etching.

  8. Bend measurement using an etched fiber incorporating a fiber Bragg grating.

    Science.gov (United States)

    Rauf, Abdul; Zhao, Jianlin; Jiang, Biqiang; Jiang, Yajun; Jiang, Wei

    2013-01-15

    A fiber Bragg grating (FBG) based bend measurement method using an etched fiber is proposed that utilizes the coupling of the core mode to the cladding and radiation modes at the bending region. An etching region of 99 µm diameter that serves as bend sensing head is achieved at 10 mm upstream the FBG through processing in 40% hydrofluoric acid, while the FBG acts as a narrowband reflector to enhance the sensitivity. The power variation curves are obtained for a wide range of bend angles, but the performance is limited due to the presence of the loss peaks. The sensing response is improved by immersing the etching region in a refractive index matching gel. The results are analyzed by using curve fitting formulas and are in good agreement. A large dynamic range of -27° to +27° and sensitivity of 0.43 dBm/deg is achieved, which can be enhanced by reducing the etched diameter.

  9. Fabrication of different pore shapes by multi-step etching technique in ion-irradiated PET membranes

    Science.gov (United States)

    Mo, D.; Liu, J. D.; Duan, J. L.; Yao, H. J.; Latif, H.; Cao, D. L.; Chen, Y. H.; Zhang, S. X.; Zhai, P. F.; Liu, J.

    2014-08-01

    A method for the fabrication of different pore shapes in polyethylene terephthalate (PET)-based track etched membranes (TEMs) is reported. A multi-step etching technique involving etchant variation and track annealing was applied to fabricate different pore shapes in PET membranes. PET foils of 12-μm thickness were irradiated with Bi ions (kinetic energy 9.5 MeV/u, fluence 106 ions/cm2) at the Heavy Ion Research Facility (HIRFL, Lanzhou). The cross-sections of fundamental pore shapes (cylinder, cone, and double cone) were analyzed. Funnel-shaped and pencil-shaped pores were obtained using a two-step etching process. Track annealing was carried out in air at 180 °C for 120 min. After track annealing, the selectivity of the etching process decreased, which resulted in isotropic etching in subsequent etching steps. Rounded cylinder and rounded cone shapes were obtained by introducing a track-annealing step in the etching process. Cup and spherical funnel-shaped pores were fabricated using a three- and four-step etching process, respectively. The described multi-step etching technique provides a controllable method to fabricate new pore shapes in TEMs. Introduction of a variety of pore shapes may improve the separation properties of TEMs and enrich the series of TEM products.

  10. Alpha particle radiography and the track plastic detector CR-39

    International Nuclear Information System (INIS)

    Souza, Bismarck Amilar de.

    1991-05-01

    This work develops the radiographic technique using charged particle beams. This technique complements the X-ray conventional radiography, and presents some advantages in certain cases. The material used as nuclear plastic detector was CR-39, manufactured by Pershre Mould. England, of 250 and 1000 μm nominal thicknesses. The irradiations were made with 7 MeV/Nucleon alpha particles beams, accelerated in the CV-28 Cyclotron of Instituto de Engenharia Nuclear/CNEN - Rio de Janeiro. The etch conditions used were a Na OH 6,25 N solution at 70 0 C, varying the etch time, so that the best etch time was found to be six hours. The calibration curve is presented, which permits images interpretation, showed in terms of light transmittance (obtained using a micro densitometer), and in terms of energy losses suffered by alpha particles in several aluminum degradating thicknesses. This curve was checked by the use of other degradating materials: Mylar, Makrofol, and CR-39 itself. The influence of alpha particle beam FWHM widening on images quality, when it crosses several degradating materials, is also presented. Radiographs of some specimen are presented, including some images obtained varying some irradiation and etch parameters. (author). 62 refs., 22 figs., 19 tabs

  11. Radiation detector system having heat pipe based cooling

    Science.gov (United States)

    Iwanczyk, Jan S.; Saveliev, Valeri D.; Barkan, Shaul

    2006-10-31

    A radiation detector system having a heat pipe based cooling. The radiation detector system includes a radiation detector thermally coupled to a thermo electric cooler (TEC). The TEC cools down the radiation detector, whereby heat is generated by the TEC. A heat removal device dissipates the heat generated by the TEC to surrounding environment. A heat pipe has a first end thermally coupled to the TEC to receive the heat generated by the TEC, and a second end thermally coupled to the heat removal device. The heat pipe transfers the heat generated by the TEC from the first end to the second end to be removed by the heat removal device.

  12. Four channel Cosmic Ray detector based on polymaq

    Science.gov (United States)

    Herrera-Guzman, K. N.; Gutierrez-Sanchez, R. A.; Felix, J.

    2017-01-01

    The Cherenkov radiation has been widely studied in transparent materials, and applied to detect and identify elementary particles. But it has not been widely studied in opaque materials. A four channels radiation detector has been designed, built, characterized, and operated; based on four polymaq (UHMW-PE) bars of 2.54 cm X 5.08 cm X 25.4 cm, which is an opaque material to visible radiation to the human eye. Silicon photo detectors, Hamamatsu, avalanche type (APD) are used to detect the radiation produced by the passage of particles in the detector blocks. The design, construction, characterization, operation, and preliminary results of this cosmic ray detector details are presented.

  13. Cosmic radiation dose in aircraft - a neutron track etch detector

    Energy Technology Data Exchange (ETDEWEB)

    Vukovic, B.; Radolic, V.; Miklavcic, I.; Poje, M.; Varga, M. [Department of Physics, University of Osijek, 31000 Osijek, P.O. Box 125, Gajev trg 6 (Croatia); Planinic, J. [Department of Physics, University of Osijek, 31000 Osijek, P.O. Box 125, Gajev trg 6 (Croatia)], E-mail: planinic@ffos.hr

    2007-12-15

    Cosmic radiation bombards us at high altitude by ionizing particles. The radiation environment is a complex mixture of charged particles of solar and galactic origin, as well as of secondary particles produced in interaction of the galactic cosmic particles with the nuclei of atmosphere of the Earth. The radiation field at aircraft altitude consists of different types of particles, mainly photons, electrons, positrons and neutrons, with a large energy range. The non-neutron component of cosmic radiation dose aboard ATR 42 and A 320 aircrafts (flight level of 8 and 11 km, respectively) was measured with TLD-100 (LiF:Mg,Ti) detectors and the Mini 6100 semiconductor dosimeter. The estimated occupational effective dose for the aircraft crew (A 320) working 500 h per year was 1.64 mSv. Other experiments, or dose rate measurements with the neutron dosimeter, consisting of LR-115 track detector and boron foil BN-1 or 10B converter, were performed on five intercontinental flights. Comparison of the dose rates of the non-neutron component (low LET) and the neutron one (high LET) of the radiation field at the aircraft flight level showed that the neutron component carried about 50% of the total dose. The dose rate measurements on the flights from the Middle Europe to the South and Middle America, then to Korea and Japan, showed that the flights over or near the equator region carried less dose rate; this was in accordance with the known geomagnetic latitude effect.

  14. Cosmic radiation dose in aircraft - a neutron track etch detector

    International Nuclear Information System (INIS)

    Vukovic, B.; Radolic, V.; Miklavcic, I.; Poje, M.; Varga, M.; Planinic, J.

    2007-01-01

    Cosmic radiation bombards us at high altitude by ionizing particles. The radiation environment is a complex mixture of charged particles of solar and galactic origin, as well as of secondary particles produced in interaction of the galactic cosmic particles with the nuclei of atmosphere of the Earth. The radiation field at aircraft altitude consists of different types of particles, mainly photons, electrons, positrons and neutrons, with a large energy range. The non-neutron component of cosmic radiation dose aboard ATR 42 and A 320 aircrafts (flight level of 8 and 11 km, respectively) was measured with TLD-100 (LiF:Mg,Ti) detectors and the Mini 6100 semiconductor dosimeter. The estimated occupational effective dose for the aircraft crew (A 320) working 500 h per year was 1.64 mSv. Other experiments, or dose rate measurements with the neutron dosimeter, consisting of LR-115 track detector and boron foil BN-1 or 10B converter, were performed on five intercontinental flights. Comparison of the dose rates of the non-neutron component (low LET) and the neutron one (high LET) of the radiation field at the aircraft flight level showed that the neutron component carried about 50% of the total dose. The dose rate measurements on the flights from the Middle Europe to the South and Middle America, then to Korea and Japan, showed that the flights over or near the equator region carried less dose rate; this was in accordance with the known geomagnetic latitude effect

  15. Integration, gap formation, and sharpening of III-V heterostructure nanowires by selective etching

    DEFF Research Database (Denmark)

    Kallesoe, C.; Mølhave, Kristian; Larsen, K. F.

    2010-01-01

    Epitaxial growth of heterostructure nanowires allows for the definition of narrow sections with specific semiconductor composition. The authors demonstrate how postgrowth engineering of III-V heterostructure nanowires using selective etching can form gaps, sharpening of tips, and thin sections...... lithography is used for deposition of catalyst particles on trench sidewalls and the lateral growth of III-V nanowires is achieved from such catalysts. The selectivity of a bromine-based etch on gallium arsenide segments in gallium phosphide nanowires is examined, using a hydrochloride etch to remove the III...

  16. Nanoscale silver-assisted wet etching of crystalline silicon for anti-reflection surface textures.

    Science.gov (United States)

    Li, Rui; Wang, Shuling; Chuwongin, Santhad; Zhou, Weidong

    2013-01-01

    We report here an electro-less metal-assisted chemical etching (MacEtch) process as light management surface-texturing technique for single crystalline Si photovoltaics. Random Silver nanostructures were formed on top of the Si surface based on the thin film evaporation and annealing process. Significant reflection reduction was obtained from the fabricated Si sample, with approximately 2% reflection over a wide spectra range (300 to 1050 nm). The work demonstrates the potential of MacEtch process for anti-reflection surface texture fabrication of large area, high efficiency, and low cost thin film solar cell.

  17. Toward reliable morphology assessment of thermosets via physical etching: Vinyl ester resin as an example

    Directory of Open Access Journals (Sweden)

    J. Karger-Kocsis

    2013-05-01

    Full Text Available The morphology of peroxide-cured, styrene crosslinked, bisphenol A-based vinyl ester (VE resin was investigated by atomic force microscopy (AFM after ‘physical’ etching with different methods. Etching was achieved by laser ablation, atmospheric plasma treatment and argon ion bombardment. Parameters of the etching were varied to get AFM scans of high topography resolution. VE exhibited a nanoscaled nodular structure the formation of which was ascribed to complex intra- and intermolecular reactions during crosslinking. The microstructure resolved after all the above physical etching techniques was similar provided that optimized etching and suitable AFM scanning conditions were selected. Nevertheless, with respect to the ‘morphology visualization’ these methods follow the power ranking: argon bombardment > plasma treatment > laser ablation.

  18. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    Science.gov (United States)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer area.

  19. Design of FPGA-based radiation tolerant quench detectors for LHC

    Science.gov (United States)

    Steckert, J.; Skoczen, A.

    2017-04-01

    The Large Hadron Collider (LHC) comprises many superconducting circuits. Most elements of these circuits require active protection. The functionality of the quench detectors was initially implemented as microcontroller based equipment. After the initial stage of the LHC operation with beams the introduction of a new type of quench detector began. This article presents briefly the main ideas and architectures applied to the design and the validation of FPGA-based quench detectors.

  20. Design of FPGA-based radiation tolerant quench detectors for LHC

    International Nuclear Information System (INIS)

    Steckert, J.; Skoczen, A.

    2017-01-01

    The Large Hadron Collider (LHC) comprises many superconducting circuits. Most elements of these circuits require active protection. The functionality of the quench detectors was initially implemented as microcontroller based equipment. After the initial stage of the LHC operation with beams the introduction of a new type of quench detector began. This article presents briefly the main ideas and architectures applied to the design and the validation of FPGA-based quench detectors.

  1. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  2. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    features during the etch process. Herein we will also demonstrate a test case on how a combination or plasma assisted and plasma free etch techniques has the potential to improve process performance of a 193nm immersion based self aligned quandruple patterning (SAQP) for BEOL compliant films (an example shown in Fig 2). In addition, we will also present on the application of gas etches for (1) profile improvement, (2) selective mandrel pull (3) critical dimension trim of mandrels, with an analysis of advantages over conventional techniques in terms of LER and EPE.

  3. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  4. Deep reactive ion etching of fused silica using a single-coated soft mask layer for bio-analytical applications

    International Nuclear Information System (INIS)

    Ray, Tathagata; Zhu, Haixin; Meldrum, Deirdre R

    2010-01-01

    In this note, we present our results from process development and characterization of reactive ion etching (RIE) of fused silica using a single-coated soft masking layer (KMPR® 1025, Microchem Corporation, Newton, MA). The effects of a number of fluorine-radical-based gaseous chemistries, the gas flow rate, RF power and chamber pressure on the etch rate and etching selectivity of fused silica were studied using factorial experimental designs. RF power and pressure were found to be the most important factors in determining the etch rate. The highest fused silica etch rate obtained was about 933 Å min −1 by using SF 6 -based gas chemistry, and the highest etching selectivity between the fused silica and KMPR® 1025 was up to 1.2 using a combination of CF 4 , CHF 3 and Ar. Up to 30 µm deep microstructures have been successfully fabricated using the developed processes. The average area roughness (R a ) of the etched surface was measured and results showed it is comparable to the roughness obtained using a wet etching technique. Additionally, near-vertical sidewalls (with a taper angle up to 85°) have been obtained for the etched microstructures. The processes developed here can be applied to any application requiring fabrication of deep microstructures in fused silica with near-vertical sidewalls. To our knowledge, this is the first note on deep RIE of fused silica using a single-coated KMPR® 1025 masking layer and a non-ICP-based reactive ion etcher. (technical note)

  5. Low-frequency magnetization processes in chemically etched Co-based amorphous ribbons

    International Nuclear Information System (INIS)

    Betancourt, I.; Martinez, L.A.; Valenzuela, R.

    2005-01-01

    In this report we present a study of the magnetization processes for Co-based amorphous ribbons at low frequencies (10 Hz-13 MHz) as a function of decreasing thicknesses attained by chemical etching. Reversible domain-wall bulging, characterized by initial permeability and relaxation frequency, was monitored by means of inductance measurements. The real part of inductance (proportional to initial permeability) exhibited a decreasing trend with diminishing ribbon thickness, together with an increasing tendency for the relaxation frequency. For high amplitude of the ac field (leading to domain-wall unpinning), reduced ribbon thickness showed a deleterious-enhancement effect on irreversible domain-wall displacement, which was observed for both real and imaginary inductance spectroscopic plots. Results are interpreted in terms of reduced domain-wall pinning distances resulting from thinner alloy samples

  6. Diallyl phthalate (DAP) solid state nuclear track detector

    CERN Document Server

    Koguchi, Y; Ashida, T; Tsuruta, T

    2003-01-01

    Diallyl phthalate (DAP) solid state nuclear track detector is suitable for detecting heavy ions such as fission fragments, because it is insensitive to right ions such as alpha particles and protons. Detection efficiency of fission tracks is about 100%, which is unaffected under conditions below 240degC lasting for 1h or below 1 MGy of gamma-ray irradiation. Optimum etching condition for the DAP detector for detection of fission fragments is 2-4 h using 30% KOH aqueous solution at 90degC or 8-15 min using PEW-65 solution at 60degC. DAP detector is useful in detecting induced fission tracks for dating of geology or measuring intense heavy ions induced by ultra laser plasma. The fabrication of copolymers of DAP and CR-39 makes it possible to control the discrimination level for detection threshold of heavy ions. (author)

  7. THE ATLAS INNER DETECTOR TRACK BASED ALIGNMENT

    CERN Document Server

    Marti i Garcia, Salvador; The ATLAS collaboration

    2018-01-01

    The alignment of the ATLAS Inner Detector is performed with a track-based alignment algorithm. Its goal is to provide an accurate description of the detector geometry such that track parameters are accurately determined and free from biases. Its software implementation is modular and configurable, with a clear separation of the alignment algorithm from the detector system specifics and the database handling. The alignment must cope with the rapid movements of the detector as well as with the slow drift of the different mechanical units. Prompt alignment constants are derived for every run at the calibration stage. These sets of constants are then dynamically split from the beginning of the run in many chunks, allowing to describe the tracker geometry as it evolves with time. The alignment of the Inner Detector is validated and improved by studying resonance decays (Z and J/psi to mu+mu-), as well as using information from the calorimeter system with the E/p method with electrons. A detailed study of these res...

  8. High precision AlGaAsSb ridge-waveguide etching by in situ reflectance monitored ICP-RIE

    Science.gov (United States)

    Tran, N. T.; Breivik, Magnus; Patra, S. K.; Fimland, Bjørn-Ove

    2014-05-01

    GaSb-based semiconductor diode lasers are promising candidates for light sources working in the mid-infrared wavelength region of 2-5 μm. Using edge emitting lasers with ridge-waveguide structure, light emission with good beam quality can be achieved. Fabrication of the ridge waveguide requires precise etch stop control for optimal laser performance. Simulation results are presented that show the effect of increased confinement in the waveguide when the etch depth is well-defined. In situ reflectance monitoring with a 675 nm-wavelength laser was used to determine the etch stop with high accuracy. Based on the simulations of laser reflectance from a proposed sample, the etching process can be controlled to provide an endpoint depth precision within +/- 10 nm.

  9. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching.

    Science.gov (United States)

    Nazarov, Denis V; Zemtsova, Elena G; Solokhin, Alexandr Yu; Valiev, Ruslan Z; Smirnov, Vladimir M

    2017-01-13

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  10. Variation of absorbed doses onboard of ISS Russian Service Module as measured with passive detectors

    Czech Academy of Sciences Publication Activity Database

    Jadrníčková, Iva; Tateyama, R.; Yasuda, N.; Kawashima, H.; Kurano, M.; Uchihori, Y.; Kitamura, H.; Akatov, YU.; Shurshakov, V.; Kobayashi, I.; Ohguchi, H.; Koguchi, Y.; Spurný, František

    2009-01-01

    Roč. 44, 9-10 (2009), s. 901-904 ISSN 1350-4487. [International Conference on Nuclear Tracks in Solids /24./. Bologna, 01.09.2008-05.09.2008] R&D Projects: GA AV ČR KJB100480901; GA ČR GA205/09/0171 Institutional research plan: CEZ:AV0Z10480505 Keywords : space dosimetry * International Space Station * passive detector * track etch detector Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.973, year: 2009

  11. Unbiased roughness measurements: the key to better etch performance

    Science.gov (United States)

    Liang, Andrew; Mack, Chris; Sirard, Stephen; Liang, Chen-wei; Yang, Liu; Jiang, Justin; Shamma, Nader; Wise, Rich; Yu, Jengyi; Hymes, Diane

    2018-03-01

    Edge placement error (EPE) has become an increasingly critical metric to enable Moore's Law scaling. Stochastic variations, as characterized for lines by line width roughness (LWR) and line edge roughness (LER), are dominant factors in EPE and known to increase with the introduction of EUV lithography. However, despite recommendations from ITRS, NIST, and SEMI standards, the industry has not agreed upon a methodology to quantify these properties. Thus, differing methodologies applied to the same image often result in different roughness measurements and conclusions. To standardize LWR and LER measurements, Fractilia has developed an unbiased measurement that uses a raw unfiltered line scan to subtract out image noise and distortions. By using Fractilia's inverse linescan model (FILM) to guide development, we will highlight the key influences of roughness metrology on plasma-based resist smoothing processes. Test wafers were deposited to represent a 5 nm node EUV logic stack. The patterning stack consists of a core Si target layer with spin-on carbon (SOC) as the hardmask and spin-on glass (SOG) as the cap. Next, these wafers were exposed through an ASML NXE 3350B EUV scanner with an advanced chemically amplified resist (CAR). Afterwards, these wafers were etched through a variety of plasma-based resist smoothing techniques using a Lam Kiyo conductor etch system. Dense line and space patterns on the etched samples were imaged through advanced Hitachi CDSEMs and the LER and LWR were measured through both Fractilia and an industry standard roughness measurement software. By employing Fractilia to guide plasma-based etch development, we demonstrate that Fractilia produces accurate roughness measurements on resist in contrast to an industry standard measurement software. These results highlight the importance of subtracting out SEM image noise to obtain quicker developmental cycle times and lower target layer roughness.

  12. Alpha-particle radiobiological experiments using thin CR-39 detectors

    International Nuclear Information System (INIS)

    Chan, K. F.; Siu, S. Y. M.; McClella, K. E.; Tse, A. K. W.; Lau, B. M. F.; Nikezic, D.; Richardson, B. J.; Lam, P. K. S.; Fong, W. F.; Yu, K. N.

    2006-01-01

    The present paper studied the feasibility of applying comet assay to evaluate the DNA damage in individual HeLa cervix cancer cells after alpha-particle irradiation. We prepared thin CR-39 detectors (<20 μm) as cell-culture substrates, with UV irradiation to shorten the track formation time. After irradiation of the HeLa cells by alpha particles, the tracks on the underside of the CR-39 detector were developed by chemical etching in (while floating on) a 14 N KOH solution at 37 deg. C. Comet assay was then applied. Diffusion of DNA out of the cells could be generally observed from the images of stained DNA. The alpha-particle tracks corresponding to the comets developed on the underside of the CR-39 detectors could also be observed by just changing the focal plane of the confocal microscope. (authors)

  13. Micro-channel cooling for silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Flaschel, Nils

    2017-12-15

    Silicon tracking detectors employed in high-energy physics are located very close to the interaction points of the colliding particle beams. The high energetic radiation emerging from the interaction induces defects into the silicon, downgrading the efficiency to collect the charges created by passing particles and increasing the noise while data taking. Cooling the sensors to low temperatures can help to prevent defects and maintain a high efficiency and lower noise level. In order to maximize the LHC's discovery potential, the collider and its detectors will be upgraded to a higher luminosity around 2024. The conditions inside the detector will become harsher demanding that the technology must adapt to the new situation. Radiation damage is already an issue in the current ATLAS detector and therefore a huge number of parameters are constantly monitored and evaluated to ensure optimal operation. To provide the best possible settings the behavior of the sensors inside the ATLAS Inner Detector is predicted using simulations. In this work several parameters in the simulation including the depletion voltage and the crosstalk between sensor strips of the SCT detector are analyzed and compared with data. The main part of this work concerns the investigation of a novel cooling system based on microchannels etched into silicon in a generic research and development project at DESY and IMB-CNM. A channel layout is designed providing a homogeneous flow distribution across a large surface area and tested in a computational fluid simulation before its production. Two different fabrication techniques, anodic and eutectic bonding, are used to test prototypes with differing mechanical and thermal properties. Hydromechanical and thermal measurements are performed to fully characterize the flow inside the device and the thermal properties of the prototype in air and in a vacuum. The thermal behavior is analyzed by means of local measurements with thermal resistors and infrared

  14. Micro-channel cooling for silicon detectors

    International Nuclear Information System (INIS)

    Flaschel, Nils

    2017-12-01

    Silicon tracking detectors employed in high-energy physics are located very close to the interaction points of the colliding particle beams. The high energetic radiation emerging from the interaction induces defects into the silicon, downgrading the efficiency to collect the charges created by passing particles and increasing the noise while data taking. Cooling the sensors to low temperatures can help to prevent defects and maintain a high efficiency and lower noise level. In order to maximize the LHC's discovery potential, the collider and its detectors will be upgraded to a higher luminosity around 2024. The conditions inside the detector will become harsher demanding that the technology must adapt to the new situation. Radiation damage is already an issue in the current ATLAS detector and therefore a huge number of parameters are constantly monitored and evaluated to ensure optimal operation. To provide the best possible settings the behavior of the sensors inside the ATLAS Inner Detector is predicted using simulations. In this work several parameters in the simulation including the depletion voltage and the crosstalk between sensor strips of the SCT detector are analyzed and compared with data. The main part of this work concerns the investigation of a novel cooling system based on microchannels etched into silicon in a generic research and development project at DESY and IMB-CNM. A channel layout is designed providing a homogeneous flow distribution across a large surface area and tested in a computational fluid simulation before its production. Two different fabrication techniques, anodic and eutectic bonding, are used to test prototypes with differing mechanical and thermal properties. Hydromechanical and thermal measurements are performed to fully characterize the flow inside the device and the thermal properties of the prototype in air and in a vacuum. The thermal behavior is analyzed by means of local measurements with thermal resistors and infrared

  15. Optimization of KOH etching parameters for quantitative defect recognition in n- and p-type doped SiC

    Science.gov (United States)

    Sakwe, S. A.; Müller, R.; Wellmann, P. J.

    2006-04-01

    We have developed a KOH-based defect etching procedure for silicon carbide (SiC), which comprises in situ temperature measurement and control of melt composition. As benefit for the first time reproducible etching conditions were established (calibration plot, etching rate versus temperature and time); the etching procedure is time independent, i.e. no altering in KOH melt composition takes place, and absolute melt temperature values can be set. The paper describes this advanced KOH etching furnace, including the development of a new temperature sensor resistant to molten KOH. We present updated, absolute KOH etching parameters of n-type SiC and new absolute KOH etching parameters for low and highly p-type doped SiC, which are used for quantitative defect analysis. As best defect etching recipes we found T=530 °C/5 min (activation energy: 16.4 kcal/mol) and T=500 °C/5 min (activation energy: 13.5 kcal/mol) for n-type and p-type SiC, respectively.

  16. 3D memory: etch is the new litho

    Science.gov (United States)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  17. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  18. An Analysis of Delay-based and Integrator-based Sequence Detectors for Grid-Connected Converters

    DEFF Research Database (Denmark)

    Khazraj, Hesam; Silva, Filipe Miguel Faria da; Bak, Claus Leth

    2017-01-01

    -signal cancellation operators are the main members of the delay-based sequence detectors. The aim of this paper is to provide a theoretical and experimental comparative study between integrator and delay based sequence detectors. The theoretical analysis is conducted based on the small-signal modelling......Detecting and separating positive and negative sequence components of the grid voltage or current is of vital importance in the control of grid-connected power converters, HVDC systems, etc. To this end, several techniques have been proposed in recent years. These techniques can be broadly...... classified into two main classes: The integrator-based techniques and Delay-based techniques. The complex-coefficient filter-based technique, dual second-order generalized integrator-based method, multiple reference frame approach are the main members of the integrator-based sequence detector and the delay...

  19. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  20. Development of a neutron imager based on superconducting detectors

    Energy Technology Data Exchange (ETDEWEB)

    Miyajima, Shigeyuki, E-mail: miyajima@nict.go.jp [Department of Physics and Engineering, Osaka Prefecture University (Japan); Institute for Nanofabrication Research, Osaka Prefecture University (Japan); Yamaguchi, Hiroyuki; Nakayama, Hirotaka; Shishido, Hiroaki [Department of Physics and Engineering, Osaka Prefecture University (Japan); Institute for Nanofabrication Research, Osaka Prefecture University (Japan); Fujimaki, Akira [Department of Quantum Engineering, Nagoya University (Japan); Hidaka, Mutsuo [National Institute of Advanced Industrial Science and Technology (Japan); Harada, Masahide; Oikawa, Kenichi; Oku, Takayuki; Arai, Masatoshi [J-PARC Center, Japan Atomic Energy Agency (Japan); Ishida, Takekazu [Department of Physics and Engineering, Osaka Prefecture University (Japan); Institute for Nanofabrication Research, Osaka Prefecture University (Japan)

    2016-11-15

    Highlights: • A neutron detector based on superconducting meander line is demonstrated. • Fast response time of a few tens ns is obtained. • Spatial resolution is 1 μm and can be improved to sub-μm scale. • The proposed neutron detector can operate under the γ-ray fields. - Abstract: We succeeded in demonstrating a neutron detector based on a Nb superconducting meander line with a {sup 10}B conversion layer for a neutron imager based on superconductor devices. We use a current-biased kinetic inductance detector (CB-KID), which is composed of a meander line, for detection of a neutron with high spatial resolution and fast response time. The thickness of Nb meander lines is 40 nm and the line width is narrower than 3 mu m. The area of 8 mm × 8 mm is covered by CB-KIDs, which are assembled at the center of the Si chip of the size 22 mm × 22 mm. The Nb CB-KIDs with a {sup 10}B conversion layer output the voltage by irradiating pulsed neutrons. We have investigated γ/n discrimination of a Nb-based CB-KID with {sup 10}B conversion layer using a Cd plate, which indicates that a CB-KID can operate as a neutron detector under the strong γ-ray fields.

  1. Development of a neutron imager based on superconducting detectors

    International Nuclear Information System (INIS)

    Miyajima, Shigeyuki; Yamaguchi, Hiroyuki; Nakayama, Hirotaka; Shishido, Hiroaki; Fujimaki, Akira; Hidaka, Mutsuo; Harada, Masahide; Oikawa, Kenichi; Oku, Takayuki; Arai, Masatoshi; Ishida, Takekazu

    2016-01-01

    Highlights: • A neutron detector based on superconducting meander line is demonstrated. • Fast response time of a few tens ns is obtained. • Spatial resolution is 1 μm and can be improved to sub-μm scale. • The proposed neutron detector can operate under the γ-ray fields. - Abstract: We succeeded in demonstrating a neutron detector based on a Nb superconducting meander line with a "1"0B conversion layer for a neutron imager based on superconductor devices. We use a current-biased kinetic inductance detector (CB-KID), which is composed of a meander line, for detection of a neutron with high spatial resolution and fast response time. The thickness of Nb meander lines is 40 nm and the line width is narrower than 3 mu m. The area of 8 mm × 8 mm is covered by CB-KIDs, which are assembled at the center of the Si chip of the size 22 mm × 22 mm. The Nb CB-KIDs with a "1"0B conversion layer output the voltage by irradiating pulsed neutrons. We have investigated γ/n discrimination of a Nb-based CB-KID with "1"0B conversion layer using a Cd plate, which indicates that a CB-KID can operate as a neutron detector under the strong γ-ray fields.

  2. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  3. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  4. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  5. An antinucleus detector with unprecedented collecting power and resolution

    International Nuclear Information System (INIS)

    Ahlen, S.P.; Price, P.B.; Salamon, M.H.; Tarle, G.; California Univ., Berkeley

    1982-01-01

    We describe the details of a novel technique to detect the presence of antimatter in cosmic rays by taking advantage of the presence of higher order quantum electrodynamic effects involving the interactions of relativistic, heavily ionizing particles with plastic scintillators, track etch detectors and Cherenkov counters. We review the relevant physics, summarize the experimental status involving the response mechanisms of the different types of particle detectors, and give a detailed description of the construction and anticipated performance characteristics of the instrument. By extending the sensitivity of previous antimatter searches by two orders of magnitude, this experiment should be the first to be sensitive to extragalactic antimatter, should the universe contain substantial quantities of antimatter. (orig.)

  6. Guidelines for etching silicon MEMS structures using fluorine high-density plasmas at cryogenic temperatures

    NARCIS (Netherlands)

    de Boer, Meint J.; Gardeniers, Johannes G.E.; Jansen, Henricus V.; Gilde, M.J.; Roelofs, Gerard; Sasserath, Jay N.; Elwenspoek, Michael Curt

    This paper presents guidelines for the deep reactive ion etching (DRIE) of silicon MEMS structures, employing SF6/O2-based high-density plasmas at cryogenic temperatures. Procedures of how to tune the equipment for optimal results with respect to etch rate and profile control are described. Profile

  7. Application of solid state nuclear track detectors in measurement of natural alpha- radioactivity in environment

    Energy Technology Data Exchange (ETDEWEB)

    Maged, A F; El-Behay, A Z; Borham, E [National Center for Radiation Research and Technology, Atomic Energy Authority, Cairo (Egypt)

    1997-12-31

    The use of solid state nuclear track detectors (SSNTDs) is one of the most convenient techniques to assess the average radiation levels of alpha activities in the environment. This technique has been used to assess radon gas and its daughters in buildings. Exposed SSNTD films are chemically etched in an alkali solution and alpha tracks are evaluated by using the image analyzer system. The detailed procedure for this study and the etched films for conversion of alpha track density to radon concentration in Bq m{sup -}3 are given and discussed in the text.1 fig., 3 tabs.

  8. SPECT detector system design based on embedded system

    International Nuclear Information System (INIS)

    Zhang Weizheng; Zhao Shujun; Zhang Lei; Sun Yuanling

    2007-01-01

    A single-photon emission computed tomography detector system based on embedded Linux designed. This system is composed of detector module, data acquisition module, ARM MPU module, network interface communication module and human machine interface module. Its software uses multithreading technology based on embedded Linux. It can achieve high speed data acquisition, real-time data correction and network data communication. It can accelerate the data acquisition and decrease the dead time. The accuracy and the stability of the system can be improved. (authors)

  9. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    Science.gov (United States)

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  10. Comparative study of resist stabilization techniques for metal etch processing

    Science.gov (United States)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  11. Effects of etching time on enamel bond strengths.

    Science.gov (United States)

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  12. Study of the spatial resolution of low-material GEM tracking detectors

    Directory of Open Access Journals (Sweden)

    Kudryavtsev V.N.

    2018-01-01

    Full Text Available The spatial resolution of GEM based tracking detectors has been simulated and measured. The simulation includes the GEANT4 based transport of high energy electrons with careful accounting for atomic relaxation processes including emission of fluorescent photons and Auger electrons and custom post-processing, including accounting for diffusion, gas amplification fluctuations, the distribution of signals on readout electrodes, electronics noise and a particular algorithm of the final coordinate calculation (center of gravity. The simulation demonstrates that a minimum of the spatial resolution of about 10 μm can be achieved with strip pitches from 250 μm to 300 μm. For larger pitches the resolution is quickly degrading reaching 80-100 μm at a pitch of 500 μm. The spatial resolution of low-material triple-GEM detectors for the DEUTRON facility at the VEPP-3 storage ring is measured at the extracted beam facility of the VEPP-4M collider. The amount of material in these detectors is reduced by etching the copper of the GEMs electrodes and using a readout structure on a thin kapton foil rather than on a glass fibre plate. The exact amount of material in one DEUTRON detector is measured by studying multiple scattering of 100 MeV electrons in it. The result of these measurements is X/X0 = 2.4×10−3 corresponding to a thickness of the copper layers of the GEM foils of 3 μm. The spatial resolution of one DEUTRON detector is measured with 500 MeV electrons and the measured value is equal to 35 ± 1 μm for orthogonal tracks.

  13. Study of the spatial resolution of low-material GEM tracking detectors

    Science.gov (United States)

    Kudryavtsev, V. N.; Maltsev, T. V.; Shekhtman, L. I.

    2018-02-01

    The spatial resolution of GEM based tracking detectors has been simulated and measured. The simulation includes the GEANT4 based transport of high energy electrons with careful accounting for atomic relaxation processes including emission of fluorescent photons and Auger electrons and custom post-processing, including accounting for diffusion, gas amplification fluctuations, the distribution of signals on readout electrodes, electronics noise and a particular algorithm of the final coordinate calculation (center of gravity). The simulation demonstrates that a minimum of the spatial resolution of about 10 μm can be achieved with strip pitches from 250 μm to 300 μm. For larger pitches the resolution is quickly degrading reaching 80-100 μm at a pitch of 500 μm. The spatial resolution of low-material triple-GEM detectors for the DEUTRON facility at the VEPP-3 storage ring is measured at the extracted beam facility of the VEPP-4M collider. The amount of material in these detectors is reduced by etching the copper of the GEMs electrodes and using a readout structure on a thin kapton foil rather than on a glass fibre plate. The exact amount of material in one DEUTRON detector is measured by studying multiple scattering of 100 MeV electrons in it. The result of these measurements is X/X0 = 2.4×10-3 corresponding to a thickness of the copper layers of the GEM foils of 3 μm. The spatial resolution of one DEUTRON detector is measured with 500 MeV electrons and the measured value is equal to 35 ± 1 μm for orthogonal tracks.

  14. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  15. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  16. Influence of water storage on fatigue strength of self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  17. Calibration of PM-355 nuclear track detectors for low-energy deuterons

    International Nuclear Information System (INIS)

    Malinowski, K.; Skladnik-Sadowska, E.; Sadowski, M.J.; Czaus, K.

    2008-01-01

    A dependence of track diameters on deuteron energy was investigated for PM-355 nuclear track detectors. Deuteron streams were obtained from RPI-IBIS facility at the pulsed injection of deuterium. Mass and energy analysis was performed with a Thomson-type spectrometer and PM-355 samples. An etched deuteron parabola extended from about 20 keV to about 500 keV. The energy resolution of measurements on the parabola at 20 keV was ±0.2keV, and at 500 keV amounted to ±50keV. Accuracy of the determination of deuteron energies decreased for higher energy values. Results are presented in diagrams showing the track diameters as a function of deuteron energy for chosen etching times (1-8 h)

  18. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  19. Acid Solutions for Etching Corrosion-Resistant Metals

    Science.gov (United States)

    Simmons, J. R.

    1982-01-01

    New study characterized solutions for etching austenitic stainless steels, nickel-base alloys, and titanium alloys (annealed). Solutions recommended for use remove at least 0.4 mil of metal from surface in less than an hour. Solutions do not cause intergranular attack on metals for which they are effective, when used under specified conditions.

  20. Neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Stillwagon, G.B.; Morgan, K.Z.

    1977-01-01

    Registration of α-tracks and fast-neutron-induced recoils tracks by the electrochemical etching technique as applied to sensitive polymer foils (e.g., polycarbonate) provides a simple, sensitive and inexpensive means of fast neutron personnel dosimetry as well as a valuable research tool for microdosimetry. When tracks were amplified by our electrochemical technique and the etching results compared with conventional etching technique a striking difference was noted. The electrochemically etched tracks were of much larger diameter (approx. 100 μm) and gave superior contrast. Two optical devices--the transparency projector and microfiche reader--were adapted to facilitate counting of the tracks appearing on our polycarbonate foils. The projector produced a magnification of 14X for a screen to projector distance of 5.0 meter and read's magnification was 50X. A Poisson distribution was determined for the number of tracks located in a particular area of the foil and experimentally verified by random counting of quarter sections of the microfiche reader screen. Finally, in an effort to determine dose equivalent (rem), a conversion factor is being determined by finding the sensitivity response (tracks/neutron) of recoil particle induced tracks as a function of monoenergetic fast neutrons and comparing results with those obtained by others

  1. Gas microstrip detectors on polymer, silicon and glass substrates

    International Nuclear Information System (INIS)

    Barasch, E.F.; Demroff, H.P.; Drew, M.M.; Elliott, T.S.; Gaedke, R.M.; Goss, L.T.; Kasprowicz, T.B.; Lee, B.; Mazumdar, T.K.; McIntyre, P.M.; Pang, Y.; Smith, D.D.; Trost, H.J.; Vanstraelen, G.; Wahl, J.

    1993-01-01

    We present results on the performance of Gas Microstrip Detectors on various substrates. These include a 300 μm anode-anode pitch pattern on Tempax borosilicate glass and ABS/copolyether, a 200 μm pattern on Upilex ''S'' polyimide, Texin 4215, Tedlar, ion-implanted Kapton, orientation-dependent etched flat-topped silicon (''knife-edge chamber''), and iron-vanadium glass, and a 100 μm pitch pattern on Upilex ''S'' and ion-implanted Kapton. (orig.)

  2. Geopolymerisation of fly ashes with waste aluminium anodising etching solutions.

    Science.gov (United States)

    Ogundiran, M B; Nugteren, H W; Witkamp, G J

    2016-10-01

    Combined management of coal combustion fly ash and waste aluminium anodising etching solutions using geopolymerisation presents economic and environmental benefits. The possibility of using waste aluminium anodising etching solution (AES) as activator to produce fly ash geopolymers in place of the commonly used silicate solutions was explored in this study. Geopolymerisation capacities of five European fly ashes with AES and the leaching of elements from their corresponding geopolymers were studied. Conventional commercial potassium silicate activator-based geopolymers were used as a reference. The geopolymers produced were subjected to physical, mechanical and leaching tests. The leaching of elements was tested on 28 days cured and crushed geopolymers using NEN 12457-4, NEN 7375, SPLP and TCLP leaching tests. After 28 days ambient curing, the geopolymers based on the etching solution activator showed compressive strength values between 51 and 84 MPa, whereas the commercial potassium silicate based geopolymers gave compressive strength values between 89 and 115 MPa. Based on the regulatory limits currently associated with the used leaching tests, all except one of the produced geopolymers (with above threshold leaching of As and Se) passed the recommended limits. The AES-geopolymer geopolymers demonstrated excellent compressive strength, although less than geopolymers made from commercial activator. Additionally, they demonstrated low element leaching potentials and therefore can be suitable for use in construction works. Copyright © 2016. Published by Elsevier Ltd.

  3. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  4. The effect of the nuclear track detectors' position on the radon concentration measurements

    International Nuclear Information System (INIS)

    Yilmaz, A.; Kuerkcueoglu, M. E.; Haner, B.

    2009-01-01

    It is important to determine the radon concentration values of the underground mines according to workers' health. For this purpose, to be able to measure radon concentrations by using passive nuclear etched track detectors, CR-39 detectors were placed into 66 points on the way of aeration galleries of Armutcuk, Amasra, Karadon, Kozlu and Uezuelmez bituminous coal mines which are known as the Carboniferous outcrops of the Western Black Sea Area in Turkey. In every measurement point, a pair of detectors, one of them is being perpendicular and the other one is parallel to air flow, were exposed to radon gases over 40 days for four seasons of the year 2008. The relationship between the readings of vertically and horizontally positioned detectors was investigated by evaluating the effect of the detectors' positions on the detected radon concentrations. It can be concluded that, the vertically positioned detectors, in general, recorded higher radon gases concentration values than that of the horizontally positioned ones.

  5. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2017-01-01

    Full Text Available In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions and the etching time on the morphology and surface relief of ultrafine grained (UFG and coarse grained (CG titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM, atomic force microscopy (AFM, and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF and X-ray Photoelectron Spectroscopy (XPS. Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  6. The influence of the laser spot size and the pulse number on laser-induced backside wet etching

    International Nuclear Information System (INIS)

    Boehme, R.; Zimmer, K.

    2005-01-01

    The laser-induced backside wet etching (LIBWE) of transparent solids at the interface to absorbing liquid is a new promising method for laser microstructuring. The influence of the laser spot size and the applied pulse number to the etch rate were investigated in detail for fused silica and two different liquids. Additional to the significant rise of the etch rate with increasing spot size considerable incubation effects have been observed at low laser fluences and pulse numbers. Based on the bubble formation during LIBWE processing, a relation between the bubble collapse time and the etch rate was ascertained. This relation fits the etch rate dependence on the spot size well. It is assumed that the deposition of decomposition products from the bubble accounts for the spot size influence the etch rate

  7. A Nanoscale Plasma Etching Process for Pole Tip Recession of Perpendicular Recording Magnetic Head

    OpenAIRE

    LIU, Shoubin; HE, Dayao

    2017-01-01

    The pole tip of perpendicular recording head is constructed in a stacked structure with materials of NiCoFe, NiFe, Al2O3 and AlTiC. The surfaces of different materials are set at different heights below the air-bearing surface of slider. This paper presented a plasma dry etching process for Pole Tip Recession (PTR) based on an ion beam etching system. Ar and O2 mixed plasma at small incident angles have a high removal rate to the nonmagnetic material. It was utilised to etch the reference sur...

  8. Annealing and etching effects on strain and stress sensitivity of polymer optical fibre Bragg grating sensors

    DEFF Research Database (Denmark)

    Pospori, A.; Marques, C. A.F.; Sáez-Rodríguez, D.

    2017-01-01

    Thermal annealing and chemical etching effects on the strain and stress sensitivity of polymer optical fibre based sensors are investigated. Bragg grating sensors have been photo-inscribed in PMMA optical fibre and their strain and stress sensitivity has been characterised before and after any...... annealing or etching process. The annealing and etching processes have been tried in different sequence in order to investigate their impact on the sensor's performance. Results show with high confidence that fibre annealing can improve both strain and stress sensitivities. The fibre etching can also...... provide stress sensitivity enhancement, however the strain sensitivity changes seems to be random....

  9. Self-aligned mask renewal for anisotropically etched circular micro- and nanostructures

    International Nuclear Information System (INIS)

    Kaspar, Peter; Jäckel, Heinz; Holzapfel, Sebastian; Windhab, Erich J

    2011-01-01

    The top–down fabrication of high aspect ratio circular micro- and nanostructures in silicon nitride is presented. A new method is introduced to increase the aspect ratio of anisotropically etched holes by a factor of more than two with respect to the results obtained from an established dry-etching process. The method is based on the renewal of an etching mask after a first etching step has been completed. Mask renewal is done by line-of-sight deposition of a masking layer on the surface of the sample, which is mounted at an angle with respect to the deposition direction. No additional alignment step is required. The proof of principle is performed for silicon nitride etching through a mask of titanium, but the method has great potential to be applicable to a wide variety of substrate–mask combinations and to find entrance into various engineering fields. Two specific applications are highlighted. Firstly, a thick silicon nitride hardmask is used for the fabrication of deeply etched photonic crystal holes in indium phosphide (InP). For holes of 280 nm diameter, a record aspect ratio of 20 and an overall selectivity of 28.5 between a positive-tone resist layer and InP are reported. Secondly, the use of perforated silicon nitride membranes for droplet formation for applications in food engineering or pharmaceutics is addressed. Preliminary results show a potential for the self-aligned mask renewal method to exceed state-of-the-art membrane quality in terms of pore size, aspect ratio and membrane stability.

  10. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains

    Science.gov (United States)

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-01

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  11. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  12. 3-D GaAs radiation detectors

    International Nuclear Information System (INIS)

    Meikle, A.R.; Bates, R.L.; Ledingham, K.; Marsh, J.H.; Mathieson, K.; O'Shea, V.; Smith, K.M.

    2002-01-01

    A novel type of GaAs radiation detector featuring a 3-D array of electrodes that penetrate through the detector bulk is described. The development of the technology to fabricate such a detector is presented along with electrical and radiation source tests. Simulations of the electrical characteristics are given for detectors of various dimensions. Laser drilling, wet chemical etching and metal evaporation were used to create a cell array of nine electrodes, each with a diameter of 60 μm and a pitch of 210 μm. Electrical measurements showed I-V characteristics with low leakage currents and high breakdown voltages. The forward and reverse I-V measurements showed asymmetrical characteristics, which are not seen in planar diodes. Spectra were obtained using alpha particle illumination. A charge collection efficiency of 50% and a S/N ratio of 3 : 1 were obtained. Simulations using the MEDICI software package were performed on cells with various dimensions and were comparable with experimental results. Simulations of a nine-electrode cell with 10 μm electrodes with a 25 μm pitch were also performed. The I-V characteristics again showed a high breakdown voltage with a low leakage current but also showed a full depletion voltage of just 8 V

  13. Some characteristics of the CR-39 solid state nuclear - Track Detector for register of protons and low energy alpha particles

    International Nuclear Information System (INIS)

    Fonseca, E.S. da.

    1983-01-01

    Experimental results related to registration properties of the CR-39 Solid State Nuclear Track Detector for charged particles are presented and discussed. The existence of an inverse proportion between the induction time and the temperature as well as normal concentration of solutions, is showed by the study of CR-39 chemical etching characteristics in NaOH and KOH solutions, comprising varied concentration and temperature. The bulk-etch rate and activation energy of the process were obtained. The critical energy and critical energy-loss rate of CR-39 track-detectors for registration of protons were experimentally determined. Samples were exposed to 24 Mev proton beams in the IEN/CNEN Cyclotron (CV-28), using scattering chamber with a tantalum thin target and aluminium absorbers in contact with the samples, in order to provide the required fluctuation in the scattered beam energy. From the mean track-diameter plotted against incident proton energy the critical energy was obtained. From the calculated energy-loss rate vs. energy curve, the critical energy loss rate were evaluated. The CR-39 response for low energy alpha particles (E = 7h) under the conditions of 6.25 N NaOH at 70 0 C. It is shown that successive chemical etchings do not produce the same track geometry as obtained by means of a continous revelation with the same total etching time. (Author) [pt

  14. In vitro evaluation of microleakage around orthodontic brackets using laser etching and Acid etching methods.

    Directory of Open Access Journals (Sweden)

    Mohammad Hossein Toodehzaeim

    2014-06-01

    Full Text Available path of microleakage between the enamel and adhesive potentially allows microbial ingress that may consequently cause enamel decalcification. The aim of this study was to compare microleakage of brackets bonded either by laser or acid etching techniques.The specimens were 33 extracted premolars that were divided into three groups as the acid etching group (group 1, laser etching with Er:YAG at 100 mJ and 15 Hz for 15s (group 2, and laser etching with Er:YAG at 140 mJ and 15 Hz for 15s (group 3. After photo polymerization, the teeth were subjected to 500 thermal cycles. Then the specimens were sealed with nail varnish, stained with 2% methylen blue for 24hs, sectioned, and examined under a stereomicroscope. They were scored for marginal microleakage that occurred between the adhesive-enamel and bracket-adhesive interfaces from the occlusal and gingival margins. Data were analyzed with the Kruskal- Wallis test.For the adhesive-enamel and bracket-adhesive surfaces, significant differences were not observed between the three groups.According to this study, the Er:YAG laser with 1.5 and 2.1 watt settings may be used as an adjunctive for preparing the surface for orthodontic bracket bonding.

  15. Performance of B-10 based detectors

    Energy Technology Data Exchange (ETDEWEB)

    Boucher, Mathieu; Anderson, Tom; Johnson, Nathan; Mckinny, Kevin; Mcpheeters, Matthew [GE Measurement and Control - Reuter-Stokes, Twinsburg, Ohio (United States)

    2015-07-01

    Helium-3 gas-filled detectors have been used in nuclear safeguards applications, in homeland security neutron detection modules and in research for over 30 years. With the current shortage of {sup 3}He gas, GE's Reuter-Stokes business developed a {sup 10}B lined proportional counter and a {sup 10}B hybrid detector, in which a small amount of {sup 3}He is added to a 10B detector to enhance the neutron sensitivity. In 2010, GE's Reuter-Stokes successfully developed a commercial alternative to 3He gas-filled detectors for homeland security neutron detection modules based on 10B lined proportional counters. We will present the concept behind the {sup 10}B neutron detection modules, as drop-in replacement to existing 3He neutron detection modules deployed, and the timeline and development needed to get a fully commercial application. To ensure the highest quality, each {sup 10}B neutron detection unit is put through a series of tests: temperature cycles from -40 deg. C to +55 deg. C, vibration testing at levels up to 2.5 g from 10 Hz to 50 Hz in every direction, neutron sensitivity reaching up to 4.5 cps/(ng {sup 252}CF at 2 m), and gamma insensitivity with field reaching 1 Sv/hr. In 2013, GE's Reuter-Stokes developed the B10Plus+{sup R} detector, in which a small amount of {sup 3}He is added to a {sup 10}B lined proportional counter. Depending on the amount of {sup 3}He added, the B10Plus+{sup R} can more than double the neutron sensitivity compared to a {sup 10}B lined proportional counter. {sup 10}B lined proportional counters and B10Plus+{sup R} have excellent gamma rejection and excellent performance even at very high neutron flux. The gamma rejection and high neutron flux performance of these detectors are comparable, if not better, than traditional {sup 3}He proportional counters. GE's Reuter-Stokes business modelled, designed, built and tested prototype coincidence counters using the {sup 10}B lined detectors and the {sup 10}B hybrid

  16. A novel transparent charged particle detector for the CPET upgrade at TITAN

    Science.gov (United States)

    Lascar, D.; Kootte, B.; Barquest, B. R.; Chowdhury, U.; Gallant, A. T.; Good, M.; Klawitter, R.; Leistenschneider, E.; Andreoiu, C.; Dilling, J.; Even, J.; Gwinner, G.; Kwiatkowski, A. A.; Leach, K. G.

    2017-10-01

    The detection of an electron bunch exiting a strong magnetic field can prove challenging due to the small mass of the electron. If placed too far from a solenoid's entrance, a detector outside the magnetic field will be too small to reliably intersect with the exiting electron beam because the light electrons will follow the diverging magnetic field outside the solenoid. The TITAN group at TRIUMF in Vancouver, Canada, has made use of advances in the practice and precision of photochemical machining (PCM) to create a new kind of charge collecting detector called the "mesh detector." The TITAN mesh detector was used to solve the problem of trapped electron detection in the new Cooler PEnning Trap (CPET) currently under development at TITAN. This thin array of wires etched out of a copper plate is a novel, low profile, charge agnostic detector that can be made effectively transparent or opaque at the user's discretion.

  17. Particularisation of Alpha Contamination using CR-39 Track Detectors

    International Nuclear Information System (INIS)

    Zakia, M.F.; El-Shaer, Y.H.

    2008-01-01

    Solid-state nuclear track detectors have found wide use in various domains of science and technology, e.g. in environmental experiments. The measurement of alpha activity on sources in an environment, such as air is not easy because of short penetration range of the alpha particles. Furthermore, the measurement of alpha activity by most gas ionization detectors suffers from the high background induced by the accompanying gamma radiation. Solid State Nuclear Track Detectors (SSNTDs) have been used successfully as detecting devices as passive system to detect the alpha contamination different surfaces. This work presents the response of CR-39 (for two types) to alpha particles from two sources, 238 Pu with energy 5 MeV and 241 Am with energy 5.4 MeV. The methods of etching and counting are investigated, along with the achievable linearity, efficiency and reproducibility. The sensitivity to low activity and energy resolution are studied

  18. Photonic jet μ-etching: from static to dynamic process

    Science.gov (United States)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  19. Development of hybrid track detector using CR39 and photographic plate

    International Nuclear Information System (INIS)

    Kuge, Kenichi; Endo, Yusuke; Hayashi, Kentaro; Hasegawa, Akira; Kumagai, Hiroshi

    2004-01-01

    Hybrid track detector using CR39 and color photography was prepared by coating multi-layered color photographic emulsions on one side of CR39. Etch pits and color tracks were observed at the same time. Photographic plate with different sensitivity emulsions and couplers were exposed to light, α-, β- and γ-rays. We observed sensitivity difference to the radiation by color changes on one plate. (authors)

  20. Radon measurements technique in air using a track plastic detector

    International Nuclear Information System (INIS)

    Pereira, J.F.A.; Silva Estrada, J.J. da; Binns, D.A.C.; Urban, M.

    1983-01-01

    A difusion chamber is used to measure the radon concentration in air through alpha particles tracks in Makrofol E, 300μm thick. This system was developed by Karlsruhe Nuclear Research Centre, Germany, and is already used by the Occupational Radiological Protection Department of IRD/CNEN, for premilimar measurements in Pocos de Caldas and Rio de Janeiro. In the chamber, the plastic detector is set at the lower end and a filter is placed at the upper end. In this way, a known volume is defined in the detector system. To amplify the tracks produced by the alpha particles due to radon and short-lived dadon-daughter products, an electrochemical system is employed. Some theoretical questions about the treeing produced by the electrochemical etching, the detector characteristics, as well as the adapted statistics model are also discussed. (Author) [pt