WorldWideScience

Sample records for epitaxial silicon film

  1. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  2. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  3. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  4. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  5. Junction Transport in Epitaxial Film Silicon Heterojunction Solar Cells: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Young, D. L.; Li, J. V.; Teplin, C. W.; Stradins, P.; Branz, H. M.

    2011-07-01

    We report our progress toward low-temperature HWCVD epitaxial film silicon solar cells on inexpensive seed layers, with a focus on the junction transport physics exhibited by our devices. Heterojunctions of i/p hydrogenated amorphous Si (a-Si) on our n-type epitaxial crystal Si on n++ Si wafers show space-charge-region recombination, tunneling or diffusive transport depending on both epitaxial Si quality and the applied forward voltage.

  6. Ferroelectric and piezoelectric properties of epitaxial PZT films and devices on silicon

    NARCIS (Netherlands)

    Nguyen, Duc Minh

    2010-01-01

    In this thesis, the integration of lead zirconate titanate Pb(Zr,Ti)O3 (PZT) thin films into piezoelectric microelectromechanical systems (MEMS) based on silicon is studied. In these structures, all epitaxial oxide layers (thin film/electrode/buffer-layer(s)) were deposited by pulsed laser

  7. Selfsupported epitaxial silicon films

    International Nuclear Information System (INIS)

    Lazarovici, D.; Popescu, A.

    1975-01-01

    The methods of removing the p or p + support of an n-type epitaxial silicon layer using electrochemical etching are described. So far, only n + -n junctions have been processed. The condition of anodic dissolution for some values of the support and layer resistivity are given. By this method very thin single crystal selfsupported targets of convenient areas can be obtained for channeling - blocking experiments

  8. Upconversion photoluminescence of epitaxial Yb{sup 3+}/Er{sup 3+} codoped ferroelectric Pb(Zr,Ti)O{sub 3} films on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yang, E-mail: zhangy_acd@hotmail.com [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Kämpfe, Thomas [Institut für Angewandte Physik, TU Dresden, 01062 Dresden (Germany); Bai, Gongxun [Department of Applied Physics, The Hong Kong Polytechnic University, Hong Kong (China); Mietschke, Michael; Yuan, Feifei; Zopf, Michael [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Abel, Stefan [IBM Research GmbH, Saümerstrasse 4, 8803 Rüschlikon (Switzerland); Eng, Lukas M. [Institut für Angewandte Physik, TU Dresden, 01062 Dresden (Germany); Hühne, Ruben [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Fompeyrine, Jean [IBM Research GmbH, Saümerstrasse 4, 8803 Rüschlikon (Switzerland); Ding, Fei, E-mail: f.ding@ifw-dresden.de [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Schmidt, Oliver G. [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Material Systems for Nanoelectronics, Chemnitz University of Technology, Reichenhainer strasse 70, 09107 Chemnitz (Germany)

    2016-05-31

    Thin films of Yb{sup 3+}/Er{sup 3+} codoped Pb(Zr,Ti)O{sub 3} (PZT:Yb/Er) have been epitaxially grown on the SrTiO{sub 3} buffered Si wafer by pulsed laser deposition. Strong upconversion photoluminescence was observed in the PZT:Yb/Er thin film. Using piezoresponse force microscopy, polar domains in the PZT:Yb/Er film can be reversibly switched with a phase change of 180°. Ferroelectric hysteresis loop shape with a well-saturated response was observed. The epitaxially grown lanthanide-doped PZT on silicon opens up a promising route to the integration of luminescent functional oxides on the silicon platform. - Highlights: • Epitaxial growth of Yb{sup 3+}/Er{sup 3+} codoped Pb(Zr,Ti)O{sub 3} films on SrTiO{sub 3} buffered silicon • Upconversion emissions were obtained from the lanthanide ion doped thin films. • Saturated ferroelectric hysteresis loops were observed. • Polar domains were switched by PFM with a phase change of 180°.

  9. Doping efficiency analysis of highly phosphorous doped epitaxial/amorphous silicon emitters grown by PECVD for high efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    El-Gohary, H.G.; Sivoththaman, S. [Waterloo Univ., ON (Canada). Dept. of Electrical and Computer Engineering

    2008-08-15

    The efficient doping of hydrogenated amorphous and crystalline silicon thin films is a key factor in the fabrication of silicon solar cells. The most popular method for developing those films is plasma enhanced chemical vapor deposition (PECVD) because it minimizes defect density and improves doping efficiency. This paper discussed the preparation of different structure phosphorous doped silicon emitters ranging from epitaxial to amorphous films at low temperature. Phosphine (PH{sub 3}) was employed as the doping gas source with the same gas concentration for both epitaxial and amorphous silicon emitters. The paper presented an analysis of dopant activation by applying a very short rapid thermal annealing process (RTP). A spreading resistance profile (SRP) and SIMS analysis were used to detect both the active dopant and the dopant concentrations, respectively. The paper also provided the results of a structural analysis for both bulk and cross-section at the interface using high-resolution transmission electron microscopy and Raman spectroscopy, for epitaxial and amorphous films. It was concluded that a unity doping efficiency could be achieved in epitaxial layers by applying an optimized temperature profile using short time processing rapid thermal processing technique. The high quality, one step epitaxial layers, led to both high conductive and high doping efficiency layers.

  10. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  11. Laser process for extended silicon thin film solar cells

    International Nuclear Information System (INIS)

    Hessmann, M.T.; Kunz, T.; Burkert, I.; Gawehns, N.; Schaefer, L.; Frick, T.; Schmidt, M.; Meidel, B.; Auer, R.; Brabec, C.J.

    2011-01-01

    We present a large area thin film base substrate for the epitaxy of crystalline silicon. The concept of epitaxial growth of silicon on large area thin film substrates overcomes the area restrictions of an ingot based monocrystalline silicon process. Further it opens the possibility for a roll to roll process for crystalline silicon production. This concept suggests a technical pathway to overcome the limitations of silicon ingot production in terms of costs, throughput and completely prevents any sawing losses. The core idea behind these thin film substrates is a laser welding process of individual, thin silicon wafers. In this manuscript we investigate the properties of laser welded monocrystalline silicon foils (100) by micro-Raman mapping and spectroscopy. It is shown that the laser beam changes the crystalline structure of float zone grown silicon along the welding seam. This is illustrated by Raman mapping which visualizes compressive stress as well as tensile stress in a range of - 147.5 to 32.5 MPa along the welding area.

  12. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  13. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  14. Epitaxial silicon semiconductor detectors, past developments, future prospects

    International Nuclear Information System (INIS)

    Gruhn, C.R.

    1976-01-01

    A review of the main physical characteristics of epitaxial silicon as it relates to detector development is presented. As examples of applications results are presented on (1) epitaxial silicon avalanche diodes (ESAD); signal-to-noise, non-linear aspects of the avalanche gain mechanism, gain-bandwidth product, (2) ultrathin epitaxial silicon surface barrier (ESSB) detectors, response to heavy ions, (3) an all-epitaxial silicon diode (ESD), response to heavy ions, charge transport and charge defect. Future prospects of epitaxial silicon as it relates to new detector designs are summarized

  15. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  16. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  17. High resolution x-ray scattering studies of strain in epitaxial thin films of yttrium silicide grown on silicon (111)

    International Nuclear Information System (INIS)

    Marthinez-Miranda, L.J.; Santiago-Aviles, J.J.; Siegal, M.P.; Graham, W.R.; Heiney, P.A.

    1990-01-01

    The authors have used high resolution grazing incidence x-ray scattering (GIXS) to study the in- plane and out-of-plane structure of epitaxial YSi 2-x films grown on Si(111), with thicknesses ranging from 85 Angstrom to 510 Angstrom. Their results indicate that the films are strained, and that film strain increases as a function of thickness, with lattice parameters varying from a = 3.846 Angstrom/c = 4.142 Angstrom for the 85 Angstrom film to a = 3.877 Angstrom/c = 4.121 Angstrom for the 510 Angstrom film. The authors correlate these results with an increase in pinhole areal coverage as a function of thickness. In addition, the authors' measurements show no evidence for the existence of ordered silicon vacancies in the films

  18. Multifunctional epitaxial systems on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Department of Physics, The University of Texas at El Paso, El Paso, Texas 79968 (United States); Prater, John Thomas [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2016-09-15

    Multifunctional heterostructures can exhibit a wide range of functional properties, including colossal magneto-resistance, magnetocaloric, and multiferroic behavior, and can display interesting physical phenomena including spin and charge ordering and strong spin-orbit coupling. However, putting this functionality to work remains a challenge. To date, most of the work reported in the literature has dealt with heterostructures deposited onto closely lattice matched insulating substrates such as DyScO{sub 3}, SrTiO{sub 3} (STO), or STO buffered Si(100) using concepts of lattice matching epitaxy (LME). However, strain in heterostructures grown by LME is typically not fully relaxed and the layers contain detrimental defects such as threading dislocations that can significantly degrade the physical properties of the films and adversely affect the device characteristics. In addition, most of the substrates are incompatible with existing CMOS-based technology, where Si (100) substrates dominate. This review discusses recent advances in the integration of multifunctional oxide and non-oxide materials onto silicon substrates. An alternative thin film growth approach, called “domain matching epitaxy,” is presented which identifies approaches for minimizing lattice strain and unwanted defects in large misfit systems (7%–25% and higher). This approach broadly allows for the integration of multifunctional materials onto silicon substrates, such that sensing, computation, and response functions can be combined to produce next generation “smart” devices. In general, pulsed laser deposition has been used to epitaxially grow these materials, although the concepts developed here can be extended to other deposition techniques, as well. It will be shown that TiN and yttria-stabilized zirconia template layers provide promising platforms for the integration of new functionality into silicon-based computer chips. This review paper reports on a number of thin-film

  19. Dewetting of Epitaxial Silver Film on Silicon by Thermal Annealing

    Science.gov (United States)

    Sanders, Charlotte E.; Kellogg, Gary L.; Shih, C.-K.

    2013-03-01

    It has been shown that noble metals can grow epitaxially on semiconducting and insulating substrates, despite being a non-wetting system: low temperature deposition followed by room temperature annealing leads to atomically flat film morphology. However, the resulting metastable films are vulnerable to dewetting, which has limited their utility for applications under ambient conditions. The physics of this dewetting is of great interest but little explored. We report on an investigation of the dewetting of epitaxial Ag(111) films on Si(111) and (100). Low energy electron microscopy (LEEM) shows intriguing evolution in film morphology and crystallinity, even at temperatures below 100oC. On the basis of these findings, we can begin to draw compelling inferences about film-substrate interaction and the kinetics of dewetting. Financial support is from NSF, DGE-0549417 and DMR-0906025. This work was performed, in part, at the Center for Integrated Nanotechnologies, User Facility operated for the U.S. DOE Office of Science. Sandia National Lab is managed and operated by Sandia Corp., a subsidiary of Lockheed Martin Corp., for the U.S. DOE's National Nuclear Security Administration under DE-AC04-94AL85000.

  20. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  1. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  2. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  3. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    Science.gov (United States)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  4. Catastrophic degradation of the interface of epitaxial silicon carbide on silicon at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Pradeepkumar, Aiswarya; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca [Queensland Micro and Nanotechnology Centre and Environmental Futures Research Institute, Griffith University, Nathan QLD 4111 (Australia); Boeckl, John J. [Materials and Manufacturing Directorate, Air Force Research Laboratories, Wright-Patterson Air Force Base, Ohio 45433 (United States); Hellerstedt, Jack; Fuhrer, Michael S. [Monash Centre for Atomically Thin Materials, Monash University, Monash, VIC 3800 (Australia)

    2016-07-04

    Epitaxial cubic silicon carbide on silicon is of high potential technological relevance for the integration of a wide range of applications and materials with silicon technologies, such as micro electro mechanical systems, wide-bandgap electronics, and graphene. The hetero-epitaxial system engenders mechanical stresses at least up to a GPa, pressures making it extremely challenging to maintain the integrity of the silicon carbide/silicon interface. In this work, we investigate the stability of said interface and we find that high temperature annealing leads to a loss of integrity. High–resolution transmission electron microscopy analysis shows a morphologically degraded SiC/Si interface, while mechanical stress measurements indicate considerable relaxation of the interfacial stress. From an electrical point of view, the diode behaviour of the initial p-Si/n-SiC junction is catastrophically lost due to considerable inter-diffusion of atoms and charges across the interface upon annealing. Temperature dependent transport measurements confirm a severe electrical shorting of the epitaxial silicon carbide to the underlying substrate, indicating vast predominance of the silicon carriers in lateral transport above 25 K. This finding has crucial consequences on the integration of epitaxial silicon carbide on silicon and its potential applications.

  5. Enhanced piezoelectric properties of (110)-oriented PbZr1−xTixO3 epitaxial thin films on silicon substrates at shifted morphotropic phase boundary

    NARCIS (Netherlands)

    Wan, X.; Houwman, Evert Pieter; Steenwelle, Ruud Johannes Antonius; van Schaijk, R.; Nguyen, Duc Minh; Dekkers, Jan M.; Rijnders, Augustinus J.H.M.

    2014-01-01

    Piezoelectrical, ferroelectrical, and structural properties of epitaxial pseudocubic (110)pc oriented 500 nm thick PbZr1−xTixO3 thin films, prepared by pulsed laser deposition on (001) silicon substrates, were measured as a function of composition. The dependence of the measurement data on the Ti

  6. EDITORIAL: Epitaxial graphene Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire

    2012-04-01

    Graphene is widely regarded as an important new electronic material with interesting two-dimensional electron gas properties. Not only that, but graphene is widely considered to be an important new material for large-scale integrated electronic devices that may eventually even succeed silicon. In fact, there are countless publications that demonstrate the amazing applications potential of graphene. In order to realize graphene electronics, a platform is required that is compatible with large-scale electronics processing methods. It was clear from the outset that graphene grown epitaxially on silicon carbide substrates was exceptionally well suited as a platform for graphene-based electronics, not only because the graphene sheets are grown directly on electronics-grade silicon carbide (an important semiconductor in its own right), but also because these sheets are oriented with respect to the semiconductor. Moreover, the extremely high temperatures involved in production assure essentially defect-free and contamination-free materials with well-defined interfaces. Epitaxial graphene on silicon carbide is not a unique material, but actually a class of materials. It is a complex structure consisting of a reconstructed silicon carbide surface, which, for planar hexagonal silicon carbide, is either the silicon- or the carbon-terminated face, an interfacial carbon rich layer, followed by one or more graphene layers. Consequently, the structure of graphene films on silicon carbide turns out to be a rich surface-science puzzle that has been intensively studied and systematically unravelled with a wide variety of surface science probes. Moreover, the graphene films produced on the carbon-terminated face turn out to be rotationally stacked, resulting in unique and important structural and electronic properties. Finally, in contrast to essentially all other graphene production methods, epitaxial graphene can be grown on structured silicon carbide surfaces to produce graphene

  7. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  8. Low-temperature epitaxy of silicon by electron beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Gorka, B. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany); Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Sieber, I.; Fenske, F.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2007-07-16

    In this paper we report on homoepitaxial growth of thin Si films at substrate temperatures T{sub s} = 500-650 deg. C under non-ultra-high vacuum conditions by using electron beam evaporation. Si films were grown at high deposition rates on monocrystalline Si wafers with (100), (110) and (111) orientations. The ultra-violet visible reflectance spectra of the films show a dependence on T{sub s} and on the substrate orientation. To determine the structural quality of the films in more detail Secco etch experiments were carried out. No etch pits were found on the films grown on (100) oriented wafers. However, on films grown on (110) and (111) oriented wafers different types of etch pits could be detected. Films were also grown on polycrystalline silicon (poly-Si) seed layers prepared by an Aluminum-Induced Crystallisation (AIC) process on glass substrates. Electron Backscattering Diffraction (EBSD) shows that the film growth proceeds epitaxially on the grains of the seed layer. But a considerably higher density of extended defects is revealed by Secco etch experiments.

  9. High efficiency thin film solar cells grown by molecular beam epitaxy (HEFTY)

    Energy Technology Data Exchange (ETDEWEB)

    Mason, N.B.; Barnham, K.W.J.; Ballard, I.M.; Zhang, J. [Imperial College, London (United Kingdom)

    2006-05-04

    The project sought to show the UK as a world leader in the field of thin film crystalline solar cells. A premise was that the cell design be suitable for large-scale manufacturing and provide a basis for industrial exploitation. The study demonstrated (1) that silicon films grown at temperatures suitable for deposition on glass by Gas Phase Molecular Beam Epitaxy gives better PV cells than does Ultra Low Pressure Chemical Vapor Deposition; (2) a conversion energy of 15 per cent was achieved - the project target was 18 per cent and (3) one of the highest reported conversion efficiencies for a 15 micrometre silicon film was achieved. The study was carried out by BP Solar Limited under contract to the DTI.

  10. Disorder and defect formation mechanisms in molecular-beam-epitaxy grown silicon epilayers

    International Nuclear Information System (INIS)

    Akbari-Sharbaf, Arash; Baribeau, Jean-Marc; Wu, Xiaohua; Lockwood, David J.; Fanchini, Giovanni

    2013-01-01

    We investigate the role of disorder, stress and crystallite size in determining the density of defects in disordered and partially ordered silicon thin films deposited at low or moderate temperatures by molecular beam epitaxy. We find that the paramagnetic defect density measured by electron spin resonance (ESR) is strongly dependent on the growth temperature of the films, decreasing from ∼ 2 · 10 19 cm −3 at 98 °C to ∼ 1 · 10 18 cm −3 at 572 °C. The physical nature of the defects is strongly dependent on the range of order in the films: ESR spectra consistent with dangling bonds in an amorphous phase are observed at the lowest temperatures, while the ESR signal gradually becomes more anisotropic as medium-range order improves and the stress level (measured both by X-ray diffraction and Raman spectroscopy) is released in more crystalline films. Anisotropic ESR spectra consistent with paramagnetic defects embedded in an epitaxial phase are observed at the highest growth temperature (572 °C). - Highlights: ► Disordered Si epilayers were grown by molecular beam epitaxy. ► Growth has been carried out at temperatures T = 98 °C–514 °C. ► A correlation between defect density and disorder in the films has been found. ► Lack of medium range order and stress cause the formation of defects at low T. ► At high T, defects are associated to grain boundaries and oriented stacking faults

  11. Preparation and Characterization of Epitaxial VO2 Films on Sapphire Using Postepitaxial Topotaxy Route via Epitaxial V2O3 Films

    Science.gov (United States)

    Yamaguchi, Iwao; Manabe, Takaaki; Tsuchiya, Tetsuo; Nakajima, Tomohiko; Sohma, Mitsugu; Kumagai, Toshiya

    2008-02-01

    Epitaxial VO2 films were prepared on the C-planes of α-Al2O3 substrates by a metal organic deposition (MOD) process. It was difficult to obtain the single phase of (010)M-oriented VO2 films, in which the subscript M refers to the monoclinic indices, by the heat treatment of amorphous precursor films in the VO2-stable region after the pyrolysis of the coating solution. The product films consisted of discontinuous circular grains of 1-2 µm size on the substrate surface. Therefore, we prepared the (010)M-oriented epitaxial VO2 films using postepitaxial topotaxy (PET), that is, topotactic oxidation of (0001)-oriented epitaxial V2O3 films. First, epitaxial V2O3(0001) films were obtained by MOD starting with a vanadium naphthenate solution. Second, the epitaxial V2O3(0001) films were topotactically oxidized at 500 °C in an Ar-O2 gas mixture with pO2 = 10-4 atm to obtain (010)M-oriented epitaxial VO2 films. The epitaxial relationships were VO2(010)M ∥ α-Al2O3(0001) and VO2[100]M ∥ α-Al2O3[0110], [1010], [1100]. The VO2(010)M films exhibited metal-semiconductor transitions with hysteresis loops at 60-80 °C. The resistivity change before and after the transition of the VO2(010)M film oxidized for 6 h was three orders of magnitude.

  12. Solid phase epitaxy on N-type polysilicon films formed by aluminium induced crystallization of amorphous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Tuezuen, O., E-mail: Ozge.Tuzun@iness.c-strasbourg.f [InESS, UMR 7163 CNRS-UdS, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France); Slaoui, A.; Roques, S.; Focsa, A. [InESS, UMR 7163 CNRS-UdS, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France); Jomard, F.; Ballutaud, D. [GEMaC-UMR 8635 CNRS, 1 place Aristide Briand, F-92195 Meudon (France)

    2009-10-01

    In this work, undoped amorphous silicon layers were deposited on n-type AIC seed films and then annealed at different temperatures for epitaxial growth. The epitaxy was carried out using halogen lamps (rapid thermal process or RTP) or a tube conventional furnace (CTP). We investigated the morphology of the resulting 2 {mu}m thick epi-layers by means of optical microscopy. An average grain size of about 40 {mu}m is formed after 90 s annealing at 1000 {sup o}C in RTP. The stress and degree of crystallinity of the epi-layers were studied by micro-Raman Spectroscopy and UV-visible spectrometer as a function of annealing time. The presence of compressive stress is observed from the peak position which shifts from 520.0 cm{sup -1} to 521.0 cm{sup -1} and 522.3 cm{sup -1} after CTP annealing for 10 min and 90 min, respectively. It is shown that the full width at half maximum (FWHM) varies from 9.8 cm{sup -1} to 15.6 cm{sup -1}, and the magnitude of stress is changing from 325 MPa to 650 MPa. Finally, the highest crystallinity is achieved after annealing at 1000 {sup o}C for 90 min in a tube furnace exhibiting a crystalline fraction of 81.5%. X-ray diffraction technique was used to determine the preferential orientation of the poly-Si thin films formed by SPE technique on n{sup +} type AIC layer. The preferential orientation is <100> for all annealing times at 1000 {sup o}C.

  13. High-rate deposition of epitaxial layers for efficient low-temperature thin film epitaxial silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, L.; Schmidt, J.; Wagner, T.A.; Bergmann, R.B. [Stuttgart Univ. (Germany). Inst. of Physical Electronics

    2001-07-01

    Low-temperature deposition of Si for thin-film solar cells has previously been hampered by low deposition rates and low material quality, usually reflected by a low open-circuit voltage of these solar cells. In contrast, ion-assisted deposition produces Si films with a minority-carrier diffusion length of 40 {mu}m, obtained at a record deposition rate of 0.8 {mu}m/min and a deposition temperature of 650{sup o}C with a prebake at 810{sup o}C. A thin-film Si solar cell with a 20-{mu}m-thick epitaxial layer achieves an open-circuit voltage of 622 mV and a conversion efficiency of 12.7% without any light trapping structures and without high-temperature solar cell process steps. (author)

  14. Growth of (100)-highly textured BaBiO{sub 3} thin films on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ferreyra, C. [GIyA and INN, CNEA, Av. Gral Paz 1499, 1650 San Martín, Buenos Aires (Argentina); Departamento de Física, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Pabellón 1, Ciudad Universitaria, Buenos Aires (Argentina); Marchini, F. [Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET) (Argentina); Departamento de Química Inorgánica, Analítica y Química-Física, INQUIMAE-CONICET, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Pabellón 2, Ciudad Universitaria, Buenos Aires (Argentina); Granell, P. [INTI, CMNB, Av. Gral Paz 5445, B1650KNA San Martín, Buenos Aires (Argentina); Golmar, F. [Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET) (Argentina); INTI, CMNB, Av. Gral Paz 5445, B1650KNA San Martín, Buenos Aires (Argentina); Escuela de Ciencia y Tecnología, UNSAM, Campus Miguelete, 1650 San Martín, Buenos Aires (Argentina); Albornoz, C. [GIyA and INN, CNEA, Av. Gral Paz 1499, 1650 San Martín, Buenos Aires (Argentina); and others

    2016-08-01

    We report on the growth and characterization of non-epitaxial but (100)-highly textured BaBiO{sub 3} thin films on silicon substrates. We have found the deposition conditions that optimize the texture, and show that the textured growth is favoured by the formation of a BaO layer at the first growth stages. X-ray diffraction Φ-scans, together with the observation that the same textured growth is found on films grown on Pt and SiO{sub 2} buffered Si, demonstrate the absence of epitaxy. Finally, we have shown that our (100)-oriented BaBiO{sub 3} films can be used as suitable buffers for the growth of textured heterostructures on silicon, which could facilitate the integration of potential devices with standard electronics. - Highlights: • BaBiO{sub 3} thin films were grown on Si substrates and characterized. • Films prepared using optimized conditions are highly textured in the (100) direction. • The absence of in-plane texture was demonstrated by X-ray diffraction. • Our films are suitable buffers for the growth of (100)-textured oxide heterostructures.

  15. Increased carrier lifetimes in epitaxial silicon layers on buried silicon nitride produced by ion implantation

    International Nuclear Information System (INIS)

    Skorupa, W.; Kreissig, U.; Hensel, E.; Bartsch, H.

    1984-01-01

    Carrier lifetimes were measured in epitaxial silicon layers deposited on buried silicon nitride produced by high-dose nitrogen implantation at 330 keV. The values were in the range 20-200 μs. The results are remarkable taking into account the high density of crystal defects in the epitaxial layers. Comparing with other SOI technologies the measured lifetimes are higher by 1-2 orders of magnitude. (author)

  16. X-ray and scanning electron microscopic investigation of porous silicon and silicon epitaxial layers grown on porous silicon

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.

    1998-01-01

    The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)

  17. One-step Ge/Si epitaxial growth.

    Science.gov (United States)

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  18. N-type polycrystalline silicon films formed on alumina by aluminium induced crystallization and overdoping

    Energy Technology Data Exchange (ETDEWEB)

    Tuezuen, O. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg (France)], E-mail: Ozge.Tuzun@iness.c-strasbourg.fr; Slaoui, A. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg (France); Gordon, I. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Focsa, A. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg (France); Ballutaud, D. [GEMaC-UMR 8635 CNRS, 1 place Aristide Briand, F-92195 Meudon (France); Beaucarne, G.; Poortmans, J. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium)

    2008-08-30

    In this work, we investigated the formation of n-type polysilicon films on alumina substrates by overdoping a p-type silicon layer obtained by aluminium induced crystallization of amorphous silicon (AIC), and subsequent epitaxy. The phosphorus doping of the AIC was carried out by thermal diffusion from a solid source. The structural quality of the n-type Si film was monitored by optical microscope and scanning electron microscope (SEM). The doping efficiency was determined by resistivity measurements and secondary ion mass spectroscopy (SIMS). The sheet resitivity changed from 2700{omega}/sq to 19.6{omega}/sq after thermal diffusion at 950 deg. C for 1h, indicating the overdoping effect. The SIMS profile carried out after the high temperature epitaxy exhibits a two steps phosphorus distribution, indicating the formation of an n{sup +}n structure.

  19. Ultrafast Terahertz Conductivity of Photoexcited Nanocrystalline Silicon

    DEFF Research Database (Denmark)

    Cooke, David; MacDonald, A. Nicole; Hryciw, Aaron

    2007-01-01

    The ultrafast transient ac conductivity of nanocrystalline silicon films is investigated using time-resolved terahertz spectroscopy. While epitaxial silicon on sapphire exhibits a free carrier Drude response, silicon nanocrystals embedded in glass show a response that is best described by a class...... in the silicon nanocrystal films is dominated by trapping at the Si/SiO2 interface states, occurring on a 1–100 ps time scale depending on particle size and hydrogen passivation......The ultrafast transient ac conductivity of nanocrystalline silicon films is investigated using time-resolved terahertz spectroscopy. While epitaxial silicon on sapphire exhibits a free carrier Drude response, silicon nanocrystals embedded in glass show a response that is best described...

  20. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  1. Optimized electrode coverage of membrane actuators based on epitaxial PZT thin films

    International Nuclear Information System (INIS)

    Nguyen, M D; Dekkers, M; Blank, D H A; Rijnders, G; Nazeer, H

    2013-01-01

    This research presents an optimization of piezoelectric membrane actuators by maximizing the actuator displacement. Membrane actuators based on epitaxial Pb(Zr,Ti)O 3 thin films grown on all-oxide electrodes and buffer layers using silicon technology were fabricated. Electrode coverage was found to be an important factor in the actuation displacement of the piezoelectric membranes. The optimum electrode coverage for maximum displacement was theoretically determined to be 39%, which is in good agreement with the experimental results. Dependences of membrane displacement and optimum electrode coverage on membrane diameter and PZT-film/Si-device-layer thickness ratio have also been investigated. (paper)

  2. Vapor phase epitaxy of silicon on meso porous silicon for deposition on economical substrate and low cost photovoltaic application

    International Nuclear Information System (INIS)

    Quoizola, S.

    2003-01-01

    The silicon is more and more used in the industry. Meanwhile the production cost is a problem to solve to develop the photovoltaic cells production. This thesis presents a new technology based on the use of a meso-porous silicon upper layer,to grow the active silicon layer of 50 μm width. The photovoltaic cell is then realized, the device is removed and placed on a low cost substrate. The silicon substrate of beginning can be used again after cleaning. The first chapter presents the operating and the characteristics of the silicon photovoltaic cell. The second chapter is devoted to the growth technique, the vapor phase epitaxy, and the third chapter to the epitaxy layer. The chapter four deals with the porous silicon and the structure chosen in this study. The chapter five is devoted to the characterization of the epitaxy layer on porous silicon. The photovoltaic cells realized on these layers are presented in the last chapter. (A.L.B.)

  3. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  4. Epitaxial patterning of thin-films: conventional lithographies and beyond

    International Nuclear Information System (INIS)

    Zhang, Wei; Krishnan, Kannan M

    2014-01-01

    Thin-film based novel magnetic and electronic devices have entered a new era in which the film crystallography, structural coherence, and epitaxy play important roles in determining their functional properties. The capabilities of controlling such structural and functional properties are being continuously developed by various physical deposition technologies. Epitaxial patterning strategies further allow the miniaturization of such novel devices, which incorporates thin-film components into nanoscale architectures while keeping their functional properties unmodified from their ideal single-crystal values. In the past decade, epitaxial patterning methods on the laboratory scale have been reported to meet distinct scientific inquires, in which the techniques and processes used differ from one to the other. In this review we summarize many of these pioneering endeavors in epitaxial patterning of thin-film devices that use both conventional and novel lithography techniques. These methods demonstrate epitaxial patterning for a broad range of materials (metals, oxides, and semiconductors) and cover common device length scales from micrometer to sub-hundred nanometer. Whilst we have been motivated by magnetic materials and devices, we present our outlook on developing systematic-strategies for epitaxial patterning of functional materials which will pave the road for the design, discovery and industrialization of next-generation advanced magnetic and electronic nano-devices. (topical review)

  5. Enhanced electrical and magnetic properties in La0.7Sr0.3MnO3 thin films deposited on CaTiO3-buffered silicon substrates

    Directory of Open Access Journals (Sweden)

    C. Adamo

    2015-06-01

    Full Text Available We investigate the suitability of an epitaxial CaTiO3 buffer layer deposited onto (100 Si by reactive molecular-beam epitaxy (MBE for the epitaxial integration of the colossal magnetoresistive material La0.7Sr0.3MnO3 with silicon. The magnetic and electrical properties of La0.7Sr0.3MnO3 films deposited by MBE on CaTiO3-buffered silicon (CaTiO3/Si are compared with those deposited on SrTiO3-buffered silicon (SrTiO3/Si. In addition to possessing a higher Curie temperature and a higher metal-to-insulator transition temperature, the electrical resistivity and 1/f noise level at 300 K are reduced by a factor of two in the heterostructure with the CaTiO3 buffer layer. These results are relevant to device applications of La0.7Sr0.3MnO3 thin films on silicon substrates.

  6. High-Performance Flexible Thin-Film Transistors Based on Single-Crystal-like Silicon Epitaxially Grown on Metal Tape by Roll-to-Roll Continuous Deposition Process.

    Science.gov (United States)

    Gao, Ying; Asadirad, Mojtaba; Yao, Yao; Dutta, Pavel; Galstyan, Eduard; Shervin, Shahab; Lee, Keon-Hwa; Pouladi, Sara; Sun, Sicong; Li, Yongkuan; Rathi, Monika; Ryou, Jae-Hyun; Selvamanickam, Venkat

    2016-11-02

    Single-crystal-like silicon (Si) thin films on bendable and scalable substrates via direct deposition are a promising material platform for high-performance and cost-effective devices of flexible electronics. However, due to the thick and unintentionally highly doped semiconductor layer, the operation of transistors has been hampered. We report the first demonstration of high-performance flexible thin-film transistors (TFTs) using single-crystal-like Si thin films with a field-effect mobility of ∼200 cm 2 /V·s and saturation current, I/l W > 50 μA/μm, which are orders-of-magnitude higher than the device characteristics of conventional flexible TFTs. The Si thin films with a (001) plane grown on a metal tape by a "seed and epitaxy" technique show nearly single-crystalline properties characterized by X-ray diffraction, Raman spectroscopy, reflection high-energy electron diffraction, and transmission electron microscopy. The realization of flexible and high-performance Si TFTs can establish a new pathway for extended applications of flexible electronics such as amplification and digital circuits, more than currently dominant display switches.

  7. Epitaxy - a new technology for fabrication of advanced silicon radiation detectors

    International Nuclear Information System (INIS)

    Kemmer, J.; Wiest, F.; Pahlke, A.; Boslau, O.; Goldstrass, P.; Eggert, T.; Schindler, M.; Eisele, I.

    2005-01-01

    Twenty five years after the introduction of the planar process to the fabrication of silicon radiation detectors a new technology, which replaces the ion implantation doping by silicon epitaxy is presented. The power of this new technique is demonstrated by fabrication of silicon drift detectors (SDDs), whereby both the n-type and p-type implants are replaced by n-type and p-type epi-layers. The very first SDDs ever produced with this technique show energy resolutions of 150 eV for 55 Fe at -35 deg C. The area of the detectors is 10 mm 2 and the thickness 300 μm. The high potential of epitaxy for future detectors with integrated complex electronics is described

  8. Ferroelectric and piezoelectric responses of (110) and (001)-oriented epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} thin films on all-oxide layers buffered silicon

    Energy Technology Data Exchange (ETDEWEB)

    Vu, Hien Thu [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Nguyen, Minh Duc, E-mail: minh.nguyen@itims.edu.vn [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); SolMateS B.V., Drienerlolaan 5, Building 6, 7522 NB Enschede (Netherlands); Houwman, Evert; Boota, Muhammad [Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Dekkers, Matthijn [SolMateS B.V., Drienerlolaan 5, Building 6, 7522 NB Enschede (Netherlands); Vu, Hung Ngoc [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Rijnders, Guus [Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2015-12-15

    Graphical abstract: The cross sections show a very dense structure in the (001)-oriented films (c,d), while an open columnar growth structure is observed in the case of the (110)-oriented films (a,b). The (110)-oriented PZT films show a significantly larger longitudinal piezoelectric coefficient (d33{sub ,f}), but smaller transverse piezoelectric coefficient (d31{sub ,f}) than the (001) oriented films. - Highlights: • We fabricate all-oxide, epitaxial piezoelectric PZT thin films on Si. • The orientation of the films can be controlled by changing the buffer layer stack. • The coherence of the in-plane orientation of the grains and grain boundaries affects the ferroelectric properties. • Good cycling stability of the ferroelectric properties of (001)-oriented PZT thin films. The (110)-oriented PZT thin films show a larger d33{sub ,f} but smaller d31{sub ,f} than the (001)-oriented films. - Abstract: Epitaxial ferroelectric Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} (PZT) thin films were fabricated on silicon substrates using pulsed laser deposition. Depending on the buffer layers and perovskite oxide electrodes, epitaxial films with different orientations were grown. (110)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) films were obtained on YSZ-buffered Si substrates, while (001)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) were fabricated with an extra CeO{sub 2} buffer layer (CeO{sub 2}/YSZ/Si). There is no effect of the electrode material on the properties of the films. The initial remnant polarizations in the (001)-oriented films are higher than those of (110)-oriented films, but it increases to the value of the (001) films upon cycling. The longitudinal piezoelectric d33{sub ,f} coefficients of the (110) films are larger than those of the (001) films, whereas the transverse piezoelectric d31{sub ,f} coefficients in the (110)-films are less than those in the (001)-oriented films. The difference is ascribed to the lower density (connectivity between

  9. Epitaxially grown polycrystalline silicon thin-film solar cells on solid-phase crystallised seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei

    2014-09-30

    Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.

  10. Synchrotron radiation excited silicon epitaxy using disilane

    International Nuclear Information System (INIS)

    Akazawa, Housei; Utsumi, Yuichi

    1995-01-01

    Synchrotron radiation (SR) excited chemical reactions provide new crystal growth methods suitable for low-temperature Si epitaxy. The growth kinetics and film properties were investigated by atomic layer epitaxy (ALE) and photochemical vapor deposition (CVD) modes using Si 2 H 6 . SR-ALE, isolating the surface growth channel mediated by photon stimulated hydrogen desorption, achieves digital growth independent of gas exposure time, SR irradiation time, and substrate temperature. On the other hand in SR-CVD, photolysis of Si 2 H 6 is predominant. In the nonirradiated region, Eley-Rideal type reaction between the photofragments and the surface deposit Si adatoms in a layer-by-layer fashion. In the irradiated region, however, multi-layer photolysis and rebounding occurs within the condensed Si 2 H 6 layer. The pertinent elementary processes were identified by using the high-resolution time-of-flight mass spectroscopy. The SR-CVD can grow a uniform and epitaxial Si film down to 200degC. The surface morphology is controlled by the surfactant effect of hydrogen atoms. (author)

  11. The origin of local strain in highly epitaxial oxide thin films.

    Science.gov (United States)

    Ma, Chunrui; Liu, Ming; Chen, Chonglin; Lin, Yuan; Li, Yanrong; Horwitz, J S; Jiang, Jiechao; Meletis, E I; Zhang, Qingyu

    2013-10-31

    The ability to control the microstructures and physical properties of hetero-epitaxial functional oxide thin films and artificial structures is a long-sought goal in functional materials research. Normally, only the lattice misfit between the film and the substrate is considered to govern the physical properties of the epitaxial films. In fact, the mismatch of film unit cell arrangement and the Surface-Step-Terrace (SST) dimension of the substrate, named as "SST residual matching", is another key factor that significantly influence the properties of the epitaxial film. The nature of strong local strain induced from both lattice mismatch and the SST residual matching on ferroelectric (Ba,Sr)TiO3 and ferromagnetic (La,Ca)MnO3 thin films are systematically investigated and it is demonstrated that this combined effect has a dramatic impact on the physical properties of highly epitaxial oxide thin films. A giant anomalous magnetoresistance effect (~10(10)) was achieved from the as-designed vicinal surfaces.

  12. Growth of epitaxial thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lowndes, D.H.

    1992-01-01

    High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs

  13. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  14. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    Energy Technology Data Exchange (ETDEWEB)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH[sub 4] discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures [<=] 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH[sub 4] partial pressure (PCH[sub 4]) and stoichiometric composition can only be obtained in a narrow PCH[sub 4] range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T[sub s]=850 degrees C and PCH[sub 4]=0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO[sub 2] layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 [mu]A cm[sup -2], a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor structures were fabricated by thermally grown SiO[sub 2] on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10[sup 11] cm[sup -2] eV[sup -1]. Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V.

  15. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    International Nuclear Information System (INIS)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH 4 discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures ≤ 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH 4 partial pressure (PCH 4 ) and stoichiometric composition can only be obtained in a narrow PCH 4 range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T s =850 degrees C and PCH 4 =0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO 2 layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 μA cm -2 , a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor (MOS) structures were fabricated by thermally grown SiO 2 on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10 11 cm -2 eV -1 . Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V. 59 refs, figs, tabs

  16. Epitaxial ternary nitride thin films prepared by a chemical solution method

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Hongmei [Los Alamos National Laboratory; Feldmann, David M [Los Alamos National Laboratory; Wang, Haiyan [TEXAS A& M; Bi, Zhenxing [TEXAS A& M

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.

  17. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    Science.gov (United States)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  18. Self-Organized Ni Nanocrystal Embedded in BaTiO3 Epitaxial Film

    Directory of Open Access Journals (Sweden)

    Ge FF

    2010-01-01

    Full Text Available Abstract Ni nanocrystals (NCs were embedded in BaTiO3 epitaxial films using the laser molecular beam epitaxy. The processes involving the self-organization of Ni NCs and the epitaxial growth of BaTiO3 were discussed. With the in situ monitoring of reflection high-energy electron diffraction, the nanocomposite films were engineered controllably by the fine alternation of the self-organization of Ni NCs and the epitaxial growth of BaTiO3. The transmission electron microscopy and the X-ray diffraction characterization confirmed that the composite film consists of the Ni NCs layers alternating with the (001/(100-oriented epitaxial BaTiO3 separation layers.

  19. Structural and magentic characterization of rare earth and transition metal films grown on epitaxial buffer films on semiconductor substrates

    International Nuclear Information System (INIS)

    Farrow, R.F.C.; Parkin, S.S.P.; Speriosu, V.S.; Bezinge, A.; Segmuller, A.P.

    1989-01-01

    Structural and magnetic data are presented and discussed for epitaxial films of rare earth metals (Dy, Ho, Er) on LaF 3 films on the GaAs(TTT) surface and Fe on Ag films on the GaAs(001) surface. Both systems exhibit unusual structural characteristics which influence the magnetic properties of the metal films. In the case of rare earth epitaxy on LaF 3 the authors present evidence for epitaxy across an incommensurate or discommensurate interface. Coherency strain is not transmitted into the metal which behaves much like bulk crystals of the rare earths. In the case of Fe films, tilted epitaxy and long-range coherency strain are confirmed by X- ray diffractometry. Methods of controlling some of these structural effects by modifying the epitaxial structures are presented

  20. Application of plasma silicon nitride to crystalline thin-film silicon solar cells. Paper

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, J.; Oberbeck, L.; Rinke, T.J.; Berge, C.; Bergmann, R.B.

    2002-07-01

    We use plasma-enhanced chemical vapour deposition to deposit silicon nitride (SiN{sub x}) films at low temperature(400 C) onto the front surface of two different types of crystalline thin-film Si solar cells. The silicon nitride acts as an excellent antireflection coating on Si and provides a very high degree of electronic surface passivation over a wide range of compositions, including near-stoichiometric and Si-rich SiN{sub x}. Application of stoichiometric SiN{sub x} to non-textured thin-film cells, epitaxially grown at low temperature by ion-assisted deposition onto a monocrystalline Si substrate, results in an open-circuit voltage of 622 mV, a short-circuit current density of 26.6 mA/cm{sup 2} and an efficiency of 12.7%. It is shown that the SiN{sub x}-passivated in-situ grown n{sup +}-emitter of this cell type allows to reach open-circuit voltages of up to 667 mV. Silicon-rich SiN{sub x} is applied to the phosphorus-diffused n{sup +}-emitter of a textured thin-film cell on a glass superstrate fabricated by layer-transfer. The emitter saturation current density of these cells is only 40-64 fA/cm{sup 2}, which allows for open-circuit voltages of up to 699 mV. An impressively high open-circuit voltage of 638 mV and a short-circuit current density of 32.0 mA/cm{sup 2} are obtained for a 25 {mu}m thick SiN{sub x}-passivated, random pyramid-textured transfer cell. A transfer cell efficiency of 15.3% is independently confirmed.

  1. Film-thickness and composition dependence of epitaxial thin-film PZT-based

    NARCIS (Netherlands)

    Nguyen, Duc Minh; Dekkers, Jan M.; Vu, Hung Ngoc; Rijnders, Augustinus J.H.M.

    2013-01-01

    The transverse piezoelectric coefficient e31,f and mass-sensitivity were measured on piezoelectric cantilevers based on epitaxial PZT thin-films with film-thicknesses ranging from 100 to 2000 nm. The highest values of e31,f and mass-sensitivity were observed at a film thickness of 500–750 nm, while

  2. Changing of micromorphology of silicon-on-sapphire epitaxial layer surface at irradiation by subthreshold energy X-radiation

    CERN Document Server

    Kiselev, A N; Skupov, V D; Filatov, D O

    2001-01-01

    The morphology of silicon-on-sapphire epitaxial layer surface after pulse irradiation by the X-rays with the energy of <= 140 keV is studied. The study on the irradiated material surface is carried out by the methods of the atomic force microscopy and ellipsometry. The average roughness value after irradiation constitutes 7 nm. The change in the films surface microrelief occurs due to reconstruction of their dislocation structure under the action of elastic waves, originating in the X radiation

  3. Zirconia thin films from aqueous precursors: Processing, microstructural development, and epitaxial growth

    International Nuclear Information System (INIS)

    Miller, K.T.

    1991-01-01

    Thin films of ZrO 2 (Y 2 O 3 ) were prepared from aqueous salt precursors by spin coating. Films were pyrolyzed to produce porous polycrystalline thin films of 5-10 nm grain size. Subsequent microstructural development depends greatly upon the nature of the substrate. Upon randomly oriented sapphire, the films initially sintered to full density; further heat treatment and grain growth causes these films to break into interconnected islands and finally isolated particles. Thermodynamic calculations predict that breakup is energetically favorable when the grain-size film-thickness ratio exceeds a critical value. Upon basal-plane-oriented sapphire, grain growth and breakup prefer the (100) oriented grains, presumably because this orientation is a special interface of low energy. The isolated, oriented grains produced by film breakup act as seeds for the growth of newly deposited material. Upon (100) cubic zirconia, true epitaxial films develop. Epitaxial growth was observed for lattice mismatches up to 1.59%. Growth proceeds from a fine epitaxial layer which is produced during the initial stages of heat treatment, consuming the porous polycrystalline material and producing a dense epitaxial thin film whose misfit is accommodated by a combination of film strain and misfit dislocations

  4. Strain-induced properties of epitaxial VOx thin films

    NARCIS (Netherlands)

    Rata, AD; Hibma, T

    We have grown VOx thin films on different substrates in order to investigate the influence of epitaxial strain on the transport properties. We found that the electric conductivity is much larger for films grown under compressive strain on SrTiO3 substrates, as compared to bulk material and VOx films

  5. A comparative study of transport properties in polycrystalline and epitaxial chromium nitride films

    KAUST Repository

    Duan, X. F.

    2013-01-08

    Polycrystalline CrNx films on Si(100) and glass substrates and epitaxial CrNx films on MgO(100) substrates were fabricated by reactive sputtering with different nitrogen gas flow rates (fN2). With the increase of fN2, a lattice phase transformation from metallic Cr2N to semiconducting CrN appears in both polycrystalline and epitaxial CrNx films. At fN2= 100 sccm, the low-temperature conductance mechanism is dominated by both Mott and Efros-Shklovskii variable-range hopping in either polycrystalline or epitaxial CrN films. In all of the polycrystalline and epitaxial films, only the polycrystalline CrNx films fabricated at fN2 = 30 and 50 sccm exhibit a discontinuity in ρ(T) curves at 260-280 K, indicating that both the N-vacancy concentration and grain boundaries play important roles in the metal-insulator transition. © 2013 American Institute of Physics.

  6. Seed layer technique for high quality epitaxial manganite films

    Directory of Open Access Journals (Sweden)

    P. Graziosi

    2016-08-01

    Full Text Available We introduce an innovative approach to the simultaneous control of growth mode and magnetotransport properties of manganite thin films, based on an easy-to-implement film/substrate interface engineering. The deposition of a manganite seed layer and the optimization of the substrate temperature allows a persistent bi-dimensional epitaxy and robust ferromagnetic properties at the same time. Structural measurements confirm that in such interface-engineered films, the optimal properties are related to improved epitaxy. A new growth scenario is envisaged, compatible with a shift from heteroepitaxy towards pseudo-homoepitaxy. Relevant growth parameters such as formation energy, roughening temperature, strain profile and chemical states are derived.

  7. Crystalline and electronic structure of epitaxial γ-Al2O3 films

    International Nuclear Information System (INIS)

    Wu, Huiyan; Lu, Dawei; Zhu, Kerong; Xu, Guoyong; Wang, Hu

    2013-01-01

    Epitaxial γ-Al 2 O 3 films were fabricated on SrTiO 3 (1 0 0) substrates using pulsed laser deposition (PLD) technique. The high quality of epitaxial growth γ-Al 2 O 3 films was confirmed by X-ray diffraction (XRD). Atomic force microscopy (AFM) images indicated the smooth surfaces and the step-flow growth of the films. In order to illuminate the electronic properties and the local structure of the epitaxial γ-Al 2 O 3 , we experimentally measured the X-ray absorption near-edge structure (XANES) spectrum at the O K-edge and compared the spectrum with the theoretical simulations by using various structure models. Our results based on XANES spectrum analysis indicated that the structure of the epitaxial γ-Al 2 O 3 film was a defective spinel with Al vacancies, which prefer to be located at the octahedral sites

  8. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  9. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    Science.gov (United States)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.

  10. Microwave impedance of epitaxial high-temperature superconductor films

    International Nuclear Information System (INIS)

    Melkov, G.A.; Malyshev, V.Yu.; Bagada, A.V.

    1995-01-01

    In the 3 cm band dependences of the epitaxial HTS film surface resistance on the magnitude of ac and dc magnetic fields have been measured. YBa 2 Cu 3 O 7-σ films on sapphire were investigated. It was established that alternating magnetic field produces a stronger impact on the surface resistance than dc field. To explain experimental results the assumption is made that a HTS film is not an ideal superconductor and consists of series-connected sections of various types: sections of an ideal superconductor, sections of low and large resistance intragranular Josephson junctions, shunted by the ideal superconductor, and finally, sections of intergranular Josephson junctions few for epitaxial films. In these conditions the dependences of the surface resistance on dc magnetic field are caused by Abrikosov's vortices moving in ideal superconductive sections, and dependences on the amplitude of ac magnetic field are caused by switching of large resistance junctions to a low resistance state

  11. Transport Measurements and Synchrotron-Based X-Ray Absorption Spectroscopy of Iron Silicon Germanide Grown by Molecular Beam Epitaxy

    Science.gov (United States)

    Elmarhoumi, Nader; Cottier, Ryan; Merchan, Greg; Roy, Amitava; Lohn, Chris; Geisler, Heike; Ventrice, Carl, Jr.; Golding, Terry

    2009-03-01

    Some of the iron-based metal silicide and germanide phases have been predicted to be direct band gap semiconductors. Therefore, they show promise for use as optoelectronic materials. We have used synchrotron-based x-ray absorption spectroscopy to study the structure of iron silicon germanide films grown by molecular beam epitaxy. A series of Fe(Si1-xGex)2 thin films (2000 -- 8000å) with a nominal Ge concentration of up to x = 0.04 have been grown. X-ray absorption near edge structure (XANES) and extended x-ray absorption fine structure (EXAFS) measurements have been performed on the films. The nearest neighbor co-ordination corresponding to the β-FeSi2 phase of iron silicide provides the best fit with the EXAFS data. Temperature dependent (20 coefficient was calculated. Results suggest semiconducting behavior of the films which is consistent with the EXAFS results.

  12. Investigation of the silicon ion density during molecular beam epitaxy growth

    CERN Document Server

    Eifler, G; Ashurov, K; Morozov, S

    2002-01-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate betw...

  13. High-quality AlN films grown on chemical vapor-deposited graphene films

    Directory of Open Access Journals (Sweden)

    Chen Bin-Hao

    2016-01-01

    Full Text Available We report the growth of high-quality AlN films on graphene. The graphene films were synthesized by CVD and then transferred onto silicon substrates. Epitaxial aluminum nitride films were deposited by DC magnetron sputtering on both graphene as an intermediate layer and silicon as a substrate. The structural characteristics of the AlN films and graphene were investigated. Highly c-axis-oriented AlN crystal structures are investigated based on the XRDpatterns observations.

  14. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José

    2010-10-24

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation and strain in oxide ionic conducting materials used as electrolytes, such as fluorites, and in mixed ionic and electronic conducting materials used as electrodes, typically oxides with perovskite or perovskite-related layered structures. The recent effort towards the enhancement of the electrochemical performance of SOFC materials through the deposition of artificial film heterostructures is also presented. These thin films have been engineered at a nanoscale level, such as the case of epitaxial multilayers or nanocomposite cermet materials. The recent progress in the implementation of thin films in SOFC devices is also reported. © 2010 Springer-Verlag.

  15. Characterization of carbon, nitrogen, oxygen and refractory metals in binary and ternary silicon-based films using ion beam methods

    International Nuclear Information System (INIS)

    Somatri-Bouamrane, R.

    1996-01-01

    Ion beam methods (non Rutherford backscattering, nuclear reactions) have been carried out in order to characterize silicon-based films. The cross sections for the reactions 12 C(α,α), 14 N(α,α), 16 O(α,α), 28 Si(α,α) and 14 N(α,p) have been measured within 2 and 7 MeV. CVD beta SiC films could be analyzed and the interface between silicon carbide and the (100) silicon substrate was studied. The epitaxial growth of the beta SiC film could be modelled by comparing the results obtained with ion beam analysis, infrared spectroscopy and electron microscopy. Moreover, the stoichiometry of low pressure CVD Me-Si-N (Me=Re, W, Ti, Ta) ternary systems was studied. The evolution of the nitrogen content in W-Si-N systems allowed to study their stability with respect to the annealing conditions. (N.T.)

  16. Adsorption-controlled growth of BiMnO3 films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Ke, X.; Misra, R.; Schiffer, P.; Ihlefeld, J. F.; Mei, Z. G.; Liu, Z. K.; Xu, X. S.; Musfeldt, J. L.; Heeg, T.; Schlom, D. G.; Roeckerath, M.; Schubert, J.

    2010-01-01

    We have developed the means to grow BiMnO 3 thin films with unparalleled structural perfection by reactive molecular-beam epitaxy and determined its band gap. Film growth occurs in an adsorption-controlled growth regime. Within this growth window bounded by oxygen pressure and substrate temperature at a fixed bismuth overpressure, single-phase films of the metastable perovskite BiMnO 3 may be grown by epitaxial stabilization. X-ray diffraction reveals phase-pure and epitaxial films with ω rocking curve full width at half maximum values as narrow as 11 arc sec (0.003 deg. ). Optical absorption measurements reveal that BiMnO 3 has a direct band gap of 1.1±0.1 eV.

  17. Charge collection properties of heavily irradiated epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Kramberger, G.; Cindro, V.; Dolenc, I.; Fretwurst, E.; Lindstroem, G.; Mandic, I.; Mikuz, M.; Zavrtanik, M.

    2005-01-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75μm thicknesses (ρ=50Ωcm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10 16 cm -2 . Charge collection for minimum ionizing electrons from a 90 Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC

  18. Charge collection properties of heavily irradiated epitaxial silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Kramberger, G. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)]. E-mail: Gregor.Kramberger@ijs.si; Cindro, V. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Dolenc, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Fretwurst, E. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Lindstroem, G. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Mandic, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Mikuz, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Zavrtanik, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)

    2005-12-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75{mu}m thicknesses ({rho}=50{omega}cm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10{sup 16}cm{sup -2}. Charge collection for minimum ionizing electrons from a {sup 90}Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC.

  19. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  20. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  1. Electrical properties of epitaxially grown VOx thin films

    NARCIS (Netherlands)

    Rata, A.D.; Chezan, A.R; Presura, C.N.; Hibma, T

    2003-01-01

    High quality VOx thin films on MgO(100) substrates were prepared and studied from the structural and electronic point of view. Epitaxial growth was confirmed by RHEED and XRD techniques. The oxygen content of VOx thin films as a function of oxygen flux was determined using RBS. The upper and lower

  2. Integration of functional complex oxide nanomaterials on silicon

    Directory of Open Access Journals (Sweden)

    Jose Manuel eVila-Fungueiriño

    2015-06-01

    Full Text Available The combination of standard wafer-scale semiconductor processing with the properties of functional oxides opens up to innovative and more efficient devices with high value applications that can be produced at large scale. This review uncovers the main strategies that are successfully used to monolithically integrate functional complex oxide thin films and nanostructures on silicon: the chemical solution deposition approach (CSD and the advanced physical vapor deposition techniques such as oxide molecular beam epitaxy (MBE. Special emphasis will be placed on complex oxide nanostructures epitaxially grown on silicon using the combination of CSD and MBE. Several examples will be exposed, with a particular stress on the control of interfaces and crystallization mechanisms on epitaxial perovskite oxide thin films, nanostructured quartz thin films, and octahedral molecular sieve nanowires. This review enlightens on the potential of complex oxide nanostructures and the combination of both chemical and physical elaboration techniques for novel oxide-based integrated devices.

  3. Boron, arsenic and phosphorus dopant incorporation during low temperature low pressure silicon epitaxial growth

    International Nuclear Information System (INIS)

    Borland, J.O.; Thompson, T.; Tagle, V.; Benzing, W.

    1987-01-01

    Submicron silicon epitaxial structures with very abrupt epi/substrate transition widths have been realized through the use of low temperature silicon epitaxial growth techniques. At these low temperature and low pressure epitaxial growth conditions there is minimal, if any, dopant diffusion from the substrate into the epilayer during deposition. The reincorporation of autodoped dopant as well as the incorporation of intentional dopant can be a trade-off at low temperatures and low pressures. For advanced CMOS and Bi-CMOS technologies, five to six orders of magnitude change in concentration levels are desirable. In this investigation, all of the epitaxial depositions were carried out in an AMC-7810 epi-reactor with standard jets for a turbulent mixing system, and using a modified center inject configuration to achieve a single pass laminar flow system. To simulate the reincorporation of various autodoped dopant, the authors ran a controlled dopant flow of 100 sccm for each of the three dopants (boron, phosphorus and arsenic) to achieve the controlled background dopant level in the reactor gas stream

  4. Quantum mechanical theory of epitaxial transformation of silicon to silicon carbide

    International Nuclear Information System (INIS)

    Kukushkin, S A; Osipov, A V

    2017-01-01

    The paper focuses on the study of transformation of silicon crystal into silicon carbide crystal via substitution reaction with carbon monoxide gas. As an example, the Si(1 0 0) surface is considered. The cross section of the potential energy surface of the first stage of transformation along the reaction pathway is calculated by the method of nudged elastic bands. It is found that in addition to intermediate states associated with adsorption of CO and SiO molecules on the surface, there is also an intermediate state in which all the atoms are strongly bonded to each other. This intermediate state significantly reduces the activation barrier of transformation down to 2.6 eV. The single imaginary frequencies corresponding to the two transition states of this transformation are calculated, one of which is reactant-like, whereas the other is product-like. By methods of quantum chemistry of solids, the second stage of this transformation is described, namely, the transformation of precarbide silicon into silicon carbide. Energy reduction per one cell is calculated for this ‘collapse’ process, and bond breaking energy is also found. Hence, it is concluded that the smallest size of the collapsing islet is 30 nm. It is shown that the chemical bonds of the initial silicon crystal are coordinately replaced by the bonds between Si and C in silicon carbide, which leads to a high quality of epitaxy and a low concentration of misfit dislocations. (paper)

  5. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  6. Intercalation of metals and silicon at the interface of epitaxial graphene and its substrates

    International Nuclear Information System (INIS)

    Huang Li; Xu Wen-Yan; Que Yan-De; Mao Jin-Hai; Meng Lei; Pan Li-Da; Li Geng; Wang Ye-Liang; Du Shi-Xuan; Gao Hong-Jun; Liu Yun-Qi

    2013-01-01

    Intercalations of metals and silicon between epitaxial graphene and its substrates are reviewed. For metal intercalation, seven different metals have been successfully intercalated at the interface of graphene/Ru(0001) and form different intercalated structures. Meanwhile, graphene maintains its original high quality after the intercalation and shows features of weakened interaction with the substrate. For silicon intercalation, two systems, graphene on Ru(0001) and on Ir(111), have been investigated. In both cases, graphene preserves its high quality and regains its original superlative properties after the silicon intercalation. More importantly, we demonstrate that thicker silicon layers can be intercalated at the interface, which allows the atomic control of the distance between graphene and the metal substrates. These results show the great potential of the intercalation method as a non-damaging approach to decouple epitaxial graphene from its substrates and even form a dielectric layer for future electronic applications. (topical review - low-dimensional nanostructures and devices)

  7. Self-supporting film method of silicon single crystal by ion implantation and it`s application

    Energy Technology Data Exchange (ETDEWEB)

    Saito, Kazuo; Nakao, Setsuo; Niwa, Hiroaki; Miyagawa, Soji [National Industrial Research Inst. of Nagoya (Japan)

    1996-12-01

    A few {mu}m of thickness of self-supporting film of silicon single crystal was produced by the ion implantation and the selective etching. This materials are distinguished by a uniform film thickness, good controllability, crystallization and the mechanical strength. For applying it to device, the detailed process has to be established, because there are some improved problems such as pinhole and morphology on the surface. This materials are very useful to the basic experiment of the base for epitaxial growth under irradiation of ion beams and the ion beam analysis in the atmosphere. (S.Y.)

  8. Growth and characterization of Hg 1– Cd Te epitaxial films by ...

    Indian Academy of Sciences (India)

    Growth of Hg1–CdTe epitaxial films by a new technique called asymmetric vapour phase epitaxy (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication have ...

  9. Electronic properties of epitaxial 6H silicon carbide

    International Nuclear Information System (INIS)

    Wessels, B.W.; Gatos, H.C.

    1977-01-01

    The electrical conductivity and Hall coefficient were measured in the temperature range from 78 to 900 K for n-type epitaxially grown 6H silicon carbide. A many-valley model of the conduction band was used in the analysis of electron concentration as a function of temperature. From this analysis, the density of states mass to the free electron mass ratio per ellipsoid was calculated to be 0.45. It was estimated that the constant energy surface of the conduction band consists of three ellipsoids. The ionization energy of the shallowest nitrogen donor was found to be 105 meV, when the valley-orbit interaction was taken into account. The electron scattering mechanisms in the epitaxial layers were analyzed and it was shown that the dominant mechanism limiting electron mobility at high temperatures is inter-valley scattering and at low temperatures (200K), impurity and space charge scattering. A value of 360 cm 2 /V sec was calculated for the maximum room temperature Hall mobility expected for electrons in pure 6H SiC. The effect of epitaxial growth temperature on room temperature Hall mobility was also investigated. (author)

  10. Epitaxial growth of SrTiO3 thin film on Si by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhou, X. Y.; Miao, J.; Dai, J. Y.; Chan, H. L. W.; Choy, C. L.; Wang, Y.; Li, Q.

    2007-01-01

    SrTiO 3 thin films have been deposited on Si (001) wafers by laser molecular beam epitaxy using an ultrathin Sr layer as the template. X-ray diffraction measurements indicated that SrTiO 3 was well crystallized and epitaxially aligned with Si. Cross-sectional observations in a transmission electron microscope revealed that the SrTiO 3 /Si interface was sharp, smooth, and fully crystallized. The thickness of the Sr template was found to be a critical factor that influenced the quality of SrTiO 3 and the interfacial structure. Electrical measurements revealed that the SrTiO 3 film was highly resistive

  11. Process for forming epitaxial perovskite thin film layers using halide precursors

    Science.gov (United States)

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  12. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  13. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  14. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José ; Burriel, Mó nica

    2010-01-01

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation

  15. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    KAUST Repository

    Pergolesi, Daniele

    2015-02-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used to probe the effect of strain on the oxygen ion migration in oxide materials. For the purpose of these investigations a good lattice matching between the film and the substrate is required to promote the ordered film growth. Moreover, the substrate should be a good electrical insulator at high temperature to allow a reliable electrical characterization of the deposited film. Here we report the fabrication of an epitaxial heterostructure made with a double buffer layer of BaZrO3 and SrTiO3 grown on MgO substrates that fulfills both requirements. Based on such template platform, highly ordered (001) epitaxially oriented thin films of 15% Sm-doped CeO2 and 8 mol% Y2O3 stabilized ZrO2 are grown. Bulk conductivities as well as activation energies are measured for both materials, confirming the success of the approach. The reported insulating template platform promises potential application also for the electrical characterization of other novel electrolyte materials that still need a thorough understanding of their ionic conductivity.

  16. Preparation of ZnO:N films by radical beam gettering epitaxy

    International Nuclear Information System (INIS)

    Rogozin, I. V.

    2007-01-01

    ZnO:N epitaxial films are obtained by radical beam gettering epitaxy. The properties of the films are studied using X-ray diffraction, atomic-force microscopy, secondary-ion mass spectroscopy, and photoluminescence. A narrow (002) peak is observed in the X-ray diffraction spectra, which indicates that the ZnO:N films are oriented along the c axis. Secondary-ion mass spectroscopy indicates that N is present in the ZnO films. In the low-energy luminescence spectrum of the ZnO:N films, a peak at 3.31 eV is observed. This peak is presumably attributed to the exciton bound at the neutral acceptor N O . The postannealing of the ZnO:N films was carried out in atomic oxygen. The nature of the donor-acceptor (3.23 eV) and green (2.56 eV) luminescence bands is discussed

  17. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  18. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan; Li, Peng; Li, Jun; Jiang, Qiu; Zhang, Xixiang; Alshareef, Husam N.

    2016-01-01

    ) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115

  19. Non-adiabatic ab initio molecular dynamics of supersonic beam epitaxy of silicon carbide at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Taioli, Simone [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Department of Physics, University of Trento, Trento (Italy); Istituto Nazionale di Fisica Nucleare, Sezione di Perugia (Italy); Department of Chemistry, University of Bologna, Bologna (Italy); Garberoglio, Giovanni [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Simonucci, Stefano [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Istituto Nazionale di Fisica Nucleare, Sezione di Perugia (Italy); Department of Physics, University of Camerino, Camerino (Italy); Beccara, Silvio a [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Department of Physics, University of Trento, Trento (Italy); Aversa, Lucrezia [Institute of Materials for Electronics and Magnetism, IMEM-CNR, Trento (Italy); Nardi, Marco [Institute of Materials for Electronics and Magnetism, IMEM-CNR, Trento (Italy); Institut fuer Physik, Humboldt-Universitaet zu Berlin, Berlin (Germany); Verucchi, Roberto [Institute of Materials for Electronics and Magnetism, FBK-CNR, Trento (Italy); Iannotta, Salvatore [Institute of Materials for Electronics and Magnetism, IMEM-CNR, Parma (Italy); Dapor, Maurizio [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Department of Materials Engineering and Industrial Technologies, University of Trento, Trento (Italy); Istituto Nazionale di Fisica Nucleare, Sezione di Padova (Italy); and others

    2013-01-28

    In this work, we investigate the processes leading to the room-temperature growth of silicon carbide thin films by supersonic molecular beam epitaxy technique. We present experimental data showing that the collision of fullerene on a silicon surface induces strong chemical-physical perturbations and, for sufficient velocity, disruption of molecular bonds, and cage breaking with formation of nanostructures with different stoichiometric character. We show that in these out-of-equilibrium conditions, it is necessary to go beyond the standard implementations of density functional theory, as ab initio methods based on the Born-Oppenheimer approximation fail to capture the excited-state dynamics. In particular, we analyse the Si-C{sub 60} collision within the non-adiabatic nuclear dynamics framework, where stochastic hops occur between adiabatic surfaces calculated with time-dependent density functional theory. This theoretical description of the C{sub 60} impact on the Si surface is in good agreement with our experimental findings.

  20. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Isobe, Shigehito [Creative Research Institution, Hokkaido University, Sapporo 001-0021 (Japan); Graduate School of Engineering, Hokkaido University, Sapporo 060-8628 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Orimo, Shin-ichi [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan)

    2015-09-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10{sup −2} Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R{sub RMS} of ∼0.4 nm.

  1. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Isobe, Shigehito; Kuwano, Hiroki; Shiraki, Susumu; Hitosugi, Taro; Orimo, Shin-ichi

    2015-01-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10 −2 Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R RMS of ∼0.4 nm

  2. Pseudomorphic growth of organic semiconductor thin films driven by incommensurate epitaxy

    International Nuclear Information System (INIS)

    Sassella, A.; Campione, M.; Raimondo, L.; Borghesi, A.; Bussetti, G.; Cirilli, S.; Violante, A.; Goletti, C.; Chiaradia, P.

    2009-01-01

    A stable pseudomorphic phase of α-quaterthiophene, a well known organic semiconductor, is obtained by growing films with organic molecular beam epitaxy (OMBE) on a single crystal of another organic semiconductor, namely, tetracene. The structural characteristics of the new phase are investigated by monitoring in situ the OMBE process by reflectance anisotropy spectroscopy; thus assessing that incommensurate epitaxy is in this case, the driving force for tuning the molecular packing in organic molecular films and in turn, their solid state properties

  3. Ultrathin, epitaxial cerium dioxide on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Flege, Jan Ingo, E-mail: flege@ifp.uni-bremen.de; Kaemena, Björn; Höcker, Jan; Schmidt, Thomas; Falta, Jens [Institute of Solid State Physics, University of Bremen, Otto-Hahn-Allee 1, 28359 Bremen (Germany); Bertram, Florian [Photon Science, Deutsches Elektronensynchrotron (DESY), Notkestraße 85, 22607 Hamburg (Germany); Wollschläger, Joachim [Department of Physics, University of Osnabrück, Barbarastraße 7, 49069 Osnabrück (Germany)

    2014-03-31

    It is shown that ultrathin, highly ordered, continuous films of cerium dioxide may be prepared on silicon following substrate prepassivation using an atomic layer of chlorine. The as-deposited, few-nanometer-thin Ce{sub 2}O{sub 3} film may very effectively be converted at room temperature to almost fully oxidized CeO{sub 2} by simple exposure to air, as demonstrated by hard X-ray photoemission spectroscopy and X-ray diffraction. This post-oxidation process essentially results in a negligible loss in film crystallinity and interface abruptness.

  4. Increasing the radiation resistance of single-crystal silicon epitaxial layers

    Directory of Open Access Journals (Sweden)

    Kurmashev Sh. D.

    2014-12-01

    Full Text Available The authors investigate the possibility of increasing the radiation resistance of silicon epitaxial layers by creating radiation defects sinks in the form of dislocation networks of the density of 109—1012 m–2. Such networks are created before the epitaxial layer is applied on the front surface of the silicon substrate by its preliminary oxidation and subsequent etching of the oxide layer. The substrates were silicon wafers KEF-4.5 and KDB-10 with a diameter of about 40 mm, grown by the Czochralski method. Irradiation of the samples was carried out using electron linear accelerator "Electronics" (ЭЛУ-4. Energy of the particles was 2,3—3,0 MeV, radiation dose 1015—1020 m–2, electron beam current 2 mA/m2. It is shown that in structures containing dislocation networks, irradiation results in reduction of the reverse currents by 5—8 times and of the density of defects by 5—10 times, while the mobility of the charge carriers is increased by 1,2 times. Wafer yield for operation under radiation exposure, when the semiconductor structures are formed in the optimal mode, is increased by 7—10% compared to the structures without dislocation networks. The results obtained can be used in manufacturing technology for radiation-resistant integrated circuits (bipolar, CMOS, BiCMOS, etc..

  5. Effect of extrapolation length on the phase transformation of epitaxial ferroelectric thin films

    International Nuclear Information System (INIS)

    Hu, Z.S.; Tang, M.H.; Wang, J.B.; Zheng, X.J.; Zhou, Y.C.

    2008-01-01

    Effects of extrapolation length on the phase transformation of epitaxial ferroelectric thin films on dissimilar cubic substrates have been studied on the basis of the mean-field Landau-Ginzburg-Devonshire (LGD) thermodynamic theory by taking an uneven distribution of the interior stress with thickness into account. It was found that the polarization of epitaxial ferroelectric thin films is strongly dependent on the extrapolation length of films. The physical origin of the extrapolation length during the phase transformation from paraelectric to ferroelectric was revealed in the case of ferroelectric thin films

  6. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  7. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  8. Epitaxial properties of ZnO thin films on SrTiO3 substrates grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wei, X. H.; Li, Y. R.; Zhu, J.; Huang, W.; Zhang, Y.; Luo, W. B.; Ji, H.

    2007-01-01

    Epitaxial ZnO thin films with different orientations have been grown by laser molecular beam epitaxy on (001)- (011)-, and (111)-orientated SrTiO 3 single-crystal substrates. The growth behavior was in situ monitored by reflection high-energy electron diffraction, and the epitaxial orientation relations were reconfirmed by ex situ x-ray diffraction measurements. In the case of ZnO on SrTiO 3 (001), four orthogonal domains coexisted in the ZnO epilayer, i.e., ZnO(110) parallel SrTiO 3 (001) and ZnO[-111] parallel SrTiO 3 . For (011)- and (111)-orientated substrates, single-domain epitaxy with c axial orientation was observed, in which the in-plane relationship was ZnO[110] parallel SrTiO 3 [110] irrespective of the substrate orientations. Additionally, the crystalline quality of ZnO on SrTiO 3 (111) was better than that of ZnO on SrTiO 3 (011) because of the same symmetry between the (111) substrates and (001) films. The obtained results can be attributed to the difference of the in-plane crystallographic symmetry. Furthermore, those alignments can be explained by the interface stress between the substrates and the films

  9. Growth and characterization of Hg1–xCdxTe epitaxial films by ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. Growth of Hg1–xCdxTe epitaxial films by a new technique called asymmetric vapour phase epitaxy. (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication.

  10. Drift mechanism of mass transfer on heterogeneous reaction in crystalline silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Kukushkin, S.A. [Institute of Problems of Mechanical Engineering, Russian Academy of Science, St Petersburg, 199178 (Russian Federation); St. Petersburg National Research University of Information Technologies, Mechanics and Optics, 197101 (Russian Federation); Osipov, A.V., E-mail: Andrey.V.Osipov@gmail.com [Institute of Problems of Mechanical Engineering, Russian Academy of Science, St Petersburg, 199178 (Russian Federation); St. Petersburg National Research University of Information Technologies, Mechanics and Optics, 197101 (Russian Federation)

    2017-05-01

    This work aims to study the pressure dependence of the thickness of the epitaxial silicon carbide film growing from crystalline silicon due to the heterogeneous reaction with gaseous carbon monoxide. It turned out that this dependence exhibits the clear maximum. On further pressure increasing the film thickness decreases. The theoretical model has been developed which explains such a character of the dependence by the fact that the gaseous silicon monoxide reaction product inhibits the drift of the gaseous reagent through the channels of a crystal lattice, thus decreasing their hydraulic diameter. In the proposed hydraulic model, the dependences of the film thickness both on the gas pressure and time have been calculated. It was shown that not only the qualitative but also quantitative correspondence between theoretical and experimental results takes place. As one would expect, due to the Einstein relation, at short growth times the drift model coincides with the diffusion one. Consequences of this drift mechanism of epitaxial film growing are discussed. - Graphical abstract: This work aims to study the pressure dependence of the thickness of the epitaxial silicon carbide film growing from crystalline silicon due to the heterogeneous reaction with gaseous carbon monoxide. It turned out that this dependence exhibits the clear maximum. On further pressure increasing the film thickness decreases. The theoretical model has been developed which explains such a character of the dependence by the fact that the gaseous silicon monoxide reaction product inhibits the drift of the gaseous reagent through the channels of a crystal lattice, thus decreasing their hydraulic diameter. - Highlights: • It is established that the greater pressure, the smaller is the reaction rate. • The reaction product prevents penetration of the reagent into a reaction zone. • For description the hydraulic model of crystal lattice channels is developed. • Theoretical results for polytropic

  11. Laser molecular beam epitaxy of ZnO thin films and heterostructures

    International Nuclear Information System (INIS)

    Opel, Matthias; Geprägs, Stephan; Althammer, Matthias; Brenninger, Thomas; Gross, Rudolf

    2014-01-01

    We report on the growth of epitaxial ZnO thin films and ZnO-based heterostructures on sapphire substrates by laser molecular beam epitaxy (MBE). We first discuss some recent developments in laser-MBE such as flexible ultraviolet laser beam optics, infrared laser heating systems or the use of atomic oxygen and nitrogen sources, and describe the technical realization of our advanced laser-MBE system. Then we describe the optimization of the deposition parameters for ZnO films such as laser fluence and substrate temperature and the use of buffer layers. The detailed structural characterization by x-ray analysis and transmission electron microscopy shows that epitaxial ZnO thin films with high structural quality can be achieved, as demonstrated by a small out-of-plane and in-plane mosaic spread as well as the absence of rotational domains. We also demonstrate the heteroepitaxial growth of ZnO-based multilayers as a prerequisite for spin transport experiments and the realization of spintronic devices. As an example, we show that TiN/Co/ZnO/Ni/Au multilayer stacks can be grown on (0 0 0 1)-oriented sapphire with good structural quality of all layers and well defined in-plane epitaxial relations. (paper)

  12. Strain dependent microstructural modifications of BiCrO{sub 3} epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kannan, Vijayanandhini, E-mail: kvnandhini@gmail.com [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Arredondo, Miryam; Johann, Florian; Hesse, Dietrich [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); Labrugere, Christine [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); CeCaMA, University of Bordeaux, ICMCB, F-33600 Pessac (France); Maglione, Mario [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Vrejoiu, Ionela [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany)

    2013-10-31

    Strain-dependent microstructural modifications were observed in epitaxial BiCrO{sub 3} (BCO) thin films fabricated on single crystalline substrates, utilizing pulsed laser deposition. The following conditions were employed to modify the epitaxial-strain: (i) in-plane tensile strain, BCO{sub STO} [BCO grown on buffered SrTiO{sub 3} (001)] and in-plane compressive strain, BCO{sub NGO} [BCO grown on buffered NdGaO{sub 3} (110)] and (ii) varying BCO film thickness. A combination of techniques like X-ray diffraction, X-ray photoelectron spectroscopy (XPS) and high resolution transmission electron microscopy (TEM) was used to analyse the epitaxial growth quality and the microstructure of BCO. Our studies revealed that in the case of BCO{sub STO}, a coherent interface with homogeneous orthorhombic phase is obtained only for BCO film with thicknesses, d < 50 nm. All the BCO{sub STO} films with d ≥ 50 nm were found to be strain-relaxed with an orthorhombic phase showing 1/2 <100> and 1/4 <101> satellite reflections, the latter oriented at 45° from orthorhombic diffraction spots. High angle annular dark field scanning TEM of these films strongly suggested that the satellite reflections, 1/2 <100> and 1/4 <101>, originate from the atomic stacking sequence changes (or “modulated structure”) as reported for polytypes, without altering the chemical composition. The unaltered stoichiometry was confirmed by estimating both valency of Bi and Cr cations by surface and in-depth XPS analysis as well as the stoichiometric ratio (1 Bi:1 Cr) using scanning TEM–energy dispersive X-ray analysis. In contrast, compressively strained BCO{sub NGO} films exhibited monoclinic symmetry without any structural modulations or interfacial defects, up to d ∼ 200 nm. Our results indicate that both the substrate-induced in-plane epitaxial strain and the BCO film thickness are the crucial parameters to stabilise a homogeneous BCO phase in an epitaxially grown film. - Highlights: • Phase pure

  13. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hongling Wei

    2017-11-01

    Full Text Available Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It’s also demonstrated that the CuGa2O4 film has a bandgap of ∼ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  14. Controllable factors affecting the epitaxial quality of LaCoO3 films ...

    Indian Academy of Sciences (India)

    2018-03-23

    Mar 23, 2018 ... ... larger molecular weight contribute to the improvement of epitaxial quality of LaCoO3 epitaxial film. ..... Research Fund of Education Department of Sichuan Province. (grant nos. 16ZA0133 and 15ZB0108); and the Doctoral.

  15. Fluorescence and thermoluminescence in silicon oxide films rich in silicon

    International Nuclear Information System (INIS)

    Berman M, D.; Piters, T. M.; Aceves M, M.; Berriel V, L. R.; Luna L, J. A.

    2009-10-01

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 Ω-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N 2 at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  16. Porous silicon gettering

    Energy Technology Data Exchange (ETDEWEB)

    Tsuo, Y.S.; Menna, P.; Pitts, J.R. [National Renewable Energy Lab., Golden, CO (United States)] [and others

    1996-05-01

    The authors have studied a novel extrinsic gettering method that uses the large surface areas produced by a porous-silicon etch as gettering sites. The annealing step of the gettering used a high-flux solar furnace. They found that a high density of photons during annealing enhanced the impurity diffusion to the gettering sites. The authors used metallurgical-grade Si (MG-Si) prepared by directional solidification casing as the starting material. They propose to use porous-silicon-gettered MG-Si as a low-cost epitaxial substrate for polycrystalline silicon thin-film growth.

  17. Optical and electro-optic anisotropy of epitaxial PZT thin films

    Science.gov (United States)

    Zhu, Minmin; Du, Zehui; Jing, Lin; Yoong Tok, Alfred Iing; Tong Teo, Edwin Hang

    2015-07-01

    Strong optical and electro-optic (EO) anisotropy has been investigated in ferroelectric Pb(Zr0.48Ti0.52)O3 thin films epitaxially grown on Nb-SrTiO3 (001), (011), and (111) substrates using magnetron sputtering. The refractive index, electro-optic, and ferroelectric properties of the samples demonstrate the significant dependence on the growth orientation. The linear electro-optic coefficients of the (001), (011), and (111)-oriented PZT thin films were 270.8, 198.8, and 125.7 pm/V, respectively. Such remarkable anisotropic EO behaviors have been explained according to the structure correlation between the orientation dependent distribution, spontaneous polarization, epitaxial strain, and domain pattern.

  18. Use of epitaxial silicon diodes in photon dosimetry

    International Nuclear Information System (INIS)

    Pereira, Lilian Nunes

    2013-01-01

    In this work we report on results obtained with two rad-hard epitaxial (EPI) silicon diodes as on-line dosimeter for diagnostic radiology, mammography and computed tomography, in the 28 kV to 150 kV range. The epitaxial diodes used were processed at University of Hamburg on 50 μm thick epitaxial silicon layer. One sample was not irradiated before using as a dosimeter, while the other received a gamma pre-dose of 200kGy from 60 Co. For comparison, a standard float zone silicon diode was also studied. The samples irradiation was performed using X-ray beams from a Pantak/Seifert generator, model Isovolt 160 HS, previously calibrated with standardized ionization chambers, located at Laboratorio de Calibracao de Instrumentos of IPEN-CNEN/SP. The diode was connected to an electrometer Keithley 6517B in the photovoltaic mode. Irradiations were carried out with the diodes positioned at lm from the X-ray tube (focal spot). The main dosimetric parameters of the EPI samples were evaluated in according to IEC 61674 norm. The calibration coefficients of the diode, in terms of air kerma, were also determined. The repeatability was measured with photon beams of all qualities. The current signals induced showed the diodes are stable, characterized by coefficients of variation less than 0.3%. The current response of the unirradiated EPI diode has been shown to be very linear with dose-rate in the range of 0.8 up to 77.2 mGy/min. A linear relation between charge and dose in the whole energy range was observed for the three samples. It is important to notice that for EPI diodes non energy dependence was observed for mammography beams and until 70kV for radiodiagnostic qualities. The unirradiated diode presented sensitivity higher than the others, showing a decrease of 8% in this parameter after accumulated dose of 49.15 Gy. The dark currents were stable about 0.4 pA during the irradiations, value 10 4 higher than the lowest photocurrents measured. The directional response of both

  19. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    KAUST Repository

    Pergolesi, Daniele; Roddatis, Vladimir; Fabbri, Emiliana; Schneider, Christof W; Lippert, Thomas; Traversa, Enrico; Kilner, John A

    2015-01-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used

  20. Quasi-epitaxial barium hexaferrite thin films prepared by a topotactic reactive diffusion process

    Science.gov (United States)

    Meng, Siqin; Yue, Zhenxing; Zhang, Xiaozhi; Li, Longtu

    2014-01-01

    Quasi-epitaxial barium hexaferrite thin films (BaM) with crystallographic c-axis parallel to film normal were prepared through a topotactic reactive diffusion process using two-step solution deposition on c-plane sapphire. The two-step spin coating process involves preparing an epitaxial hematite film, coating the film with barium precursor solution and thermal annealing. The crystal orientation and magnetic anisotropy of BaM thin films were investigated by X-ray diffraction analysis, SEM observation and magnetic measurements. Hysteresis loops showed good magnetic anisotropy and high remanence ratio (RR) Mr/Ms = 0.97. The films fabricated by two-step spin coating process displayed wider rocking curve width but better magnetic anisotropy than one-step spin coating. The possible mechanism of this discrepancy is discussed in this paper.

  1. Quasi-epitaxial barium hexaferrite thin films prepared by a topotactic reactive diffusion process

    Energy Technology Data Exchange (ETDEWEB)

    Meng, Siqin; Yue, Zhenxing, E-mail: yuezhx@tsinghua.edu.cn; Zhang, Xiaozhi; Li, Longtu

    2014-01-30

    Quasi-epitaxial barium hexaferrite thin films (BaM) with crystallographic c-axis parallel to film normal were prepared through a topotactic reactive diffusion process using two-step solution deposition on c-plane sapphire. The two-step spin coating process involves preparing an epitaxial hematite film, coating the film with barium precursor solution and thermal annealing. The crystal orientation and magnetic anisotropy of BaM thin films were investigated by X-ray diffraction analysis, SEM observation and magnetic measurements. Hysteresis loops showed good magnetic anisotropy and high remanence ratio (RR) Mr/Ms = 0.97. The films fabricated by two-step spin coating process displayed wider rocking curve width but better magnetic anisotropy than one-step spin coating. The possible mechanism of this discrepancy is discussed in this paper.

  2. EBSD analysis of polysilicon films formed by aluminium induced crystallization of amorphous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Tuezuen, O. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France)], E-mail: Ozge.Tuzun@iness.c-strasbourg.fr; Auger, J.M. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France); SMS Centre, UMR CNRS 5146, Ecole des Mines de Saint Etienne, 158 Cours Fauriel, 42023 Saint Etienne Cedex 2 (France); Gordon, I. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Focsa, A.; Montgomery, P.C. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France); Maurice, C. [SMS Centre, UMR CNRS 5146, Ecole des Mines de Saint Etienne, 158 Cours Fauriel, 42023 Saint Etienne Cedex 2 (France); Slaoui, A. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France); Beaucarne, G.; Poortmans, J. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium)

    2008-08-30

    Among the methods for enlarging the grain size of polycrystalline silicon (poly-Si) thin films, aluminium induced crystallization (AIC) of amorphous silicon is considered to be a very promising approach. In the AIC process, a thin a-Si layer on top of an aluminium layer crystallizes at temperatures well below the eutectic temperature of the Al/Si system (T{sub eu} = 577 deg. C). By means of electron backscattering diffraction (EBSD), we have mainly studied the effect of the aluminium layer quality varying the deposition system on the grain size, the defects and the preferential crystallographic orientation. We have found a strong correlation between the mean grain size and the size distribution with the Al deposition system and the surface quality. Furthermore, we show for the first time that more than 50% of the surface of the AIC films grown on alumina substrates are (103) preferentially oriented, instead of the commonly observed (100) preferential orientation. This may have important consequences for epitaxial thickening of the AIC layer into polysilicon absorber layers for solar cells.

  3. Magnetic properties of novel epitaxial films

    International Nuclear Information System (INIS)

    Bader, S.D.; Moog, E.R.

    1986-09-01

    The surface magneto-optic Kerr effect (SMOKE) is used to explore the magnetism of ultra-thin Fe Films extending into the monolayer regime. Both bcc α-Fe and fcc γ-Fe single-crystalline, multilayer films are prepared on the bulk-terminated (1 x 1) structures of Au(100) and Cu(100), respectively. The characterizations of epitaxy and growth mode are performed using low energy electron diffraction and Auger electron spectroscopy. Monolayer-range Fe/Au(100) is ferromagnetic with a lower Curie temperature than bulk α-Fe. The controversial γ-Fe/Cu(100) system exhibits a striking, metastable, surface magnetic phase at temperatures above room temperature, but does not exhibit bulk ferromagnetism

  4. Zirconates heteroepitaxy on silicon

    Science.gov (United States)

    Fompeyrine, Jean; Seo, Jin Won; Seigwart, Heinz; Rossel, Christophe; Locquet, Jean-Pierre

    2002-03-01

    In the coming years, agressive scaling in CMOS technology will probably trigger the transition to more advanced materials, for example alternate gate dielectrics. Epitaxial thin films are attractive candidates, as long as the difficult chemical and structural issues can be solved, and superior properties can be obtained. Since very few binary oxides can match the electrical, physical and structural requirements which are needed, a combination of those binaries are used here to investigate other lattice matched oxides. We will report on the growth of crystalline zirconium oxide thin films stabilized with different cationic substitutions. All films have been grown in an oxide-MBE system by direct evaporation of the elements on silicon substrates and exposure to molecular or atomic oxygen. The conditions required to obtain epitaxial thin films will be discussed, and successful examples will be presented.

  5. Epitaxial Growth of Permalloy Thin Films on MgO Single-Crystal Substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Tanaka, Takahiro; Matsubara, Katsuki; Futamoto, Masaaki; Kirino, Fumiyoshi

    2011-01-01

    Permalloy (Py: Ni - 20 at. % Fe) thin films were prepared on MgO single-crystal substrates of (100), (110), and (111) orientations by molecular beam epitaxy. Py crystals consisting of fcc(100) and hcp(112-bar 0) orientations epitaxially nucleate on MgO(100) substrates. With increasing the substrate temperature, the volume ratio of fcc(100) to hcp(112-bar 0) crystal increases. The metastable hcp(112-bar 0) structure transforms into more stable fcc(110) structure with increasing the film thickness. Py(110) fcc single-crystal films are obtained on MgO(110) substrates, whereas Py films epitaxially grow on MgO(111) substrates with two types of fcc(111) variants whose orientations are rotated around the film normal by 180 deg. each other. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of these fcc-Py films agree within ±0.4% with the values of bulk fcc-Py crystal, suggesting that the strains in the films are very small. High-resolution transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the films around the Py/MgO(100) and the Py/MgO(110) interfaces to reduce the lattice mismatches. The magnetic properties are considered to be reflecting the magnetocrystalline anisotropies of bulk fcc-Py and/or metastable hcp-Py crystals and the shape anisotropy caused by the surface undulations.

  6. Epitaxial Growth of Permalloy Thin Films on MgO Single-Crystal Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru; Tanaka, Takahiro; Matsubara, Katsuki; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi, E-mail: ohtake@futamoto.elect.chuo-u.ac.jp [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan)

    2011-07-06

    Permalloy (Py: Ni - 20 at. % Fe) thin films were prepared on MgO single-crystal substrates of (100), (110), and (111) orientations by molecular beam epitaxy. Py crystals consisting of fcc(100) and hcp(112-bar 0) orientations epitaxially nucleate on MgO(100) substrates. With increasing the substrate temperature, the volume ratio of fcc(100) to hcp(112-bar 0) crystal increases. The metastable hcp(112-bar 0) structure transforms into more stable fcc(110) structure with increasing the film thickness. Py(110){sub fcc} single-crystal films are obtained on MgO(110) substrates, whereas Py films epitaxially grow on MgO(111) substrates with two types of fcc(111) variants whose orientations are rotated around the film normal by 180 deg. each other. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of these fcc-Py films agree within {+-}0.4% with the values of bulk fcc-Py crystal, suggesting that the strains in the films are very small. High-resolution transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the films around the Py/MgO(100) and the Py/MgO(110) interfaces to reduce the lattice mismatches. The magnetic properties are considered to be reflecting the magnetocrystalline anisotropies of bulk fcc-Py and/or metastable hcp-Py crystals and the shape anisotropy caused by the surface undulations.

  7. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  8. Optical properties of aluminum nitride thin films grown by direct-current magnetron sputtering close to epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)

    2013-05-01

    Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth process ► Epitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.

  9. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan

    2016-12-30

    We demonstrate a versatile top-down ion exchange process, done at ambient temperature, to form epitaxial chalcogenide films and devices, with nanometer scale thickness control. To demonstrate the versatility of our process we have synthesized (1) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115 times higher mobility than NiCo2S4 pellets (23 vs 0.2 cm(2) V-1 s(-1)) prepared by previous reports. By controlling the ion exchange process time, we made free-standing epitaxial films of NiCo2S4 and transferred them onto different substrates. We also demonstrate in situ formation of atomically sharp, lateral Schottky diodes based on NiCo2O4/NiCo2S4 heterojunction, using a single ion exchange step. Additionally, we show that our approach can be easily extended to other chalcogenide semiconductors. Specifically, we used our process to prepare Cu1.8S thin films with mobility that matches single crystal Cu1.8S (25 cm(2) V-1 s(-1)), which is ca. 28 times higher than the previously reported Cu1.8S thin film mobility (0.58 cm(2) V-1 s(-1)), thus demonstrating the universal nature of our process. This is the first report in which chalcogenide thin films retain the epitaxial nature of the precursor oxide films, an approach that will be useful in many applications.

  10. Evolution of superconducting properties with disorder in epitaxial NbN films

    International Nuclear Information System (INIS)

    Chockalingam, S P; Chand, Madhavi; Jesudasan, John; Raychaudhuri, Pratap; Tripathi, Vikram

    2009-01-01

    NbN is a conventional BCS superconductor in which disorder can be tuned from moderately clean limit to dirty limit without affecting its epitaxial nature. We studied the superconducting properties of epitaxial NbN films of different disorder characterized by k F l ranging from 8.77 to 2.56 through the transport measurements. Using reactive magnetron sputtering NbN films of different disorder are deposited by varying the sputtering power and amount of N 2 in Ar:N 2 mixture of sputtering gas. The disorder parameter k F l is obtained from the carrier density (n) and the resistivity (ρ) of the films. NbN films with higher disorder have lower transition temperature (T c ) and larger resistivity which decreases with decreasing disorder. The carrier density determined from Hall measurements show that highly disordered films have lower carrier density and vice versa. Our studies show that NbN is a good system to investigate the effects of disorder on superconductivity where the superconducting properties evolve with the disorder in thin films.

  11. Thickness-modulated anisotropic ferromagnetism in Fe-doped epitaxial HfO2 thin films

    Science.gov (United States)

    Liu, Wenlong; Liu, Ming; Zhang, Ruyi; Ma, Rong; Wang, Hong

    2017-10-01

    Epitaxial tetragonal Fe-doped Hf0.95Fe0.05O2 (FHO) thin films with various thicknesses were deposited on (001)-oriented NdCaAlO4 (NCAO) substrates by using a pulsed laser deposition (PLD) system. The crystal structure and epitaxial nature of the FHO thin films were confirmed by typical x-ray diffraction (XRD) θ-2θ scan and reciprocal space mapping (RSM). The results indicate that two sets of lattice sites exist with two different crystal orientations [(001) and (100)] in the thicker FHO thin films. Further, the intensity of the (100) direction increases with the increase in thicknesses, which should have a significant effect on the anisotropic magnetization of the FHO thin films. Meanwhile, all the FHO thin films possess a tetragonal phase structure. An anisotropy behavior in magnetization has been observed in the FHO thin films. The anisotropic magnetization of the FHO thin films is slowly weakened as the thickness increases. Meanwhile, the saturation magnetization (Ms) of both in-plane and out-of-plane decreases with the increase in the thickness. The change in the anisotropic magnetization and Ms is attributed to the crystal lattice and the variation in the valence of Fe ions. These results indicate that the thickness-modulated anisotropic ferromagnetism of the tetragonal FHO epitaxial thin films is of potential use for the integration of metal-oxide semiconductors with spintronics.

  12. Oxygen pressure-tuned epitaxy and magnetic properties of magnetite thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Junran [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Liu, Wenqing [York-Nanjing Joint Centre (YNJC) for Spintronics and Nanoengineering, Department of Electronics, The University of York, YO10 3DD (United Kingdom); Zhang, Minhao; Zhang, Xiaoqian; Niu, Wei; Gao, Ming [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Wang, Xuefeng, E-mail: xfwang@nju.edu.cn [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Du, Jun [School of Physics, Nanjing University, Nanjing 210093 (China); Zhang, Rong [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Xu, Yongbing, E-mail: ybxu@nju.edu.cn [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); York-Nanjing Joint Centre (YNJC) for Spintronics and Nanoengineering, Department of Electronics, The University of York, YO10 3DD (United Kingdom)

    2017-06-15

    Highlights: • Quasi-2D Fe{sub 3}O{sub 4} films were obtained by PLD. • RHEED under different oxygen pressure were observed. • Influence of oxygen pressure on Fe{sub 3}O{sub 4} films were investigated. • Epitaxy and magnetic properties were tuned by oxygen pressure. • The ratio of Fe{sup 2+}/Fe{sup 3+} fitted by XPS is the tuned factor of M{sub s}. - Abstract: Quasi-two-dimensional magnetite epitaxial thin films have been synthesized by pulsed laser deposition technique at various oxygen pressures. The saturation magnetizations of the magnetite films were found to decrease from 425 emu/cm{sup 3}, which is close to the bulk value, to 175 emu/cm{sup 3} as the growth atmospheres varying from high vacuum (∼1 × 10{sup −8} mbar) to oxygen pressure of 1 × 10{sup −3} mbar. The ratio of the Fe{sup 3+} to Fe{sup 2+} increases from 2 to 2.7 as oxygen pressure increasing shown by XPS fitting, which weakens the net magnetic moment generated by Fe{sup 2+} at octahedral sites as the spins of the Fe{sup 3+} ions at octahedral and tetrahedral sites are aligned in antiparallel. The results offer direct experimental evidence of the influence to the Fe{sup 3+}/Fe{sup 2+} ratio and the magnetic moment in magnetite epitaxy films by oxygen pressure, which is significant for spintronic applications.

  13. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    Science.gov (United States)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  14. NiFe epitaxial films with hcp and fcc structures prepared on bcc-Cr underlayers

    Energy Technology Data Exchange (ETDEWEB)

    Higuchi, Jumpei, E-mail: higuchi@futamoto.elect.chuo-u.ac.jp [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Ohtake, Mitsuru; Sato, Yoichi [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan); Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2011-09-30

    NiFe epitaxial films are prepared on Cr(211){sub bcc} and Cr(100){sub bcc} underlayers grown hetero-epitaxially on MgO single-crystal substrates by ultra-high vacuum rf magnetron sputtering. The film growth behavior and the crystallographic properties are studied by reflection high energy electron diffraction and pole figure X-ray diffraction. Metastable hcp-NiFe(11-bar 00) and hcp-NiFe(112-bar 0) crystals respectively nucleate on Cr(211){sub bcc} and Cr(100){sub bcc} underlayers, where the hcp-NiFe crystals are stabilized through hetero-epitaxial growth. The hcp-NiFe(11-bar 00) crystal is a single-crystal with the c-axis parallel to the substrate surface, whereas the hcp-NiFe(112-bar 0) crystal is a bi-crystal with the respective c-axes lying in plane and perpendicular each other. With increasing the film thickness, the hcp structure in the NiFe films starts to transform into more stable fcc structure by atomic displacement parallel to the hcp(0001) close packed plane. The resulting films consist of hcp and fcc crystals.

  15. NiFe epitaxial films with hcp and fcc structures prepared on bcc-Cr underlayers

    International Nuclear Information System (INIS)

    Higuchi, Jumpei; Ohtake, Mitsuru; Sato, Yoichi; Kirino, Fumiyoshi; Futamoto, Masaaki

    2011-01-01

    NiFe epitaxial films are prepared on Cr(211) bcc and Cr(100) bcc underlayers grown hetero-epitaxially on MgO single-crystal substrates by ultra-high vacuum rf magnetron sputtering. The film growth behavior and the crystallographic properties are studied by reflection high energy electron diffraction and pole figure X-ray diffraction. Metastable hcp-NiFe(11-bar 00) and hcp-NiFe(112-bar 0) crystals respectively nucleate on Cr(211) bcc and Cr(100) bcc underlayers, where the hcp-NiFe crystals are stabilized through hetero-epitaxial growth. The hcp-NiFe(11-bar 00) crystal is a single-crystal with the c-axis parallel to the substrate surface, whereas the hcp-NiFe(112-bar 0) crystal is a bi-crystal with the respective c-axes lying in plane and perpendicular each other. With increasing the film thickness, the hcp structure in the NiFe films starts to transform into more stable fcc structure by atomic displacement parallel to the hcp(0001) close packed plane. The resulting films consist of hcp and fcc crystals.

  16. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  17. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  18. Thin film evolution equations from (evaporating) dewetting liquid layers to epitaxial growth

    International Nuclear Information System (INIS)

    Thiele, U

    2010-01-01

    In the present contribution we review basic mathematical results for three physical systems involving self-organizing solid or liquid films at solid surfaces. The films may undergo a structuring process by dewetting, evaporation/condensation or epitaxial growth, respectively. We highlight similarities and differences of the three systems based on the observation that in certain limits all of them may be described using models of similar form, i.e. time evolution equations for the film thickness profile. Those equations represent gradient dynamics characterized by mobility functions and an underlying energy functional. Two basic steps of mathematical analysis are used to compare the different systems. First, we discuss the linear stability of homogeneous steady states, i.e. flat films, and second the systematics of non-trivial steady states, i.e. drop/hole states for dewetting films and quantum-dot states in epitaxial growth, respectively. Our aim is to illustrate that the underlying solution structure might be very complex as in the case of epitaxial growth but can be better understood when comparing the much simpler results for the dewetting liquid film. We furthermore show that the numerical continuation techniques employed can shed some light on this structure in a more convenient way than time-stepping methods. Finally we discuss that the usage of the employed general formulation does not only relate seemingly unrelated physical systems mathematically, but does allow as well for discussing model extensions in a more unified way.

  19. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  20. Microstructure and magnetic properties of FeCo epitaxial thin films grown on MgO single-crystal substrates

    International Nuclear Information System (INIS)

    Shikada, Kouhei; Ohtake, Mitsuru; Futamoto, Masaaki; Kirino, Fumiyoshi

    2009-01-01

    FeCo epitaxial films were prepared on MgO(100), MgO(110), and MgO(111) substrates by ultrahigh vacuum molecular beam epitaxy. FeCo thin films with (100), (211), and (110) planes parallel to the substrate surface grow on respective MgO substrates. FeCo/MgO interface structures are studied by high-resolution cross-sectional transmission electron microscopy and the epitaxial growth mechanism is discussed. Atomically sharp boundaries are recognized between the FeCo thin films and the MgO substrates where misfit dislocations are introduced in the FeCo thin films presumably to decrease the lattice misfits. Misfit dislocations are observed approximately every 9 and 1.4 nm in FeCo thin film at the FeCo/MgO(100) and the FeCo/MgO(110) interfaces, respectively. X-ray diffraction analysis indicates that the lattice spacing measured parallel to the single-crystal substrate surfaces are in agreement within 0.1% with those of the respective bulk values of Fe 50 Co 50 alloy crystal, showing that the FeCo film strain is very small. The magnetic anisotropies of these epitaxial films basically reflect the magnetocrystalline anisotropy of bulk FeCo alloy crystal

  1. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    International Nuclear Information System (INIS)

    Krockenberger, Y.

    2006-01-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  2. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    Energy Technology Data Exchange (ETDEWEB)

    Krockenberger, Y.

    2006-07-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  3. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    Science.gov (United States)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  4. Synchrotron X-ray studies of epitaxial ferroelectric thin films and nanostructures

    Science.gov (United States)

    Klug, Jeffrey A.

    The study of ferroelectric thin films is a field of considerable scientific and technological interest. In this dissertation synchrotron x-ray techniques were applied to examine the effects of lateral confinement and epitaxial strain in ferroelectric thin films and nanostructures. Three materials systems were investigated: laterally confined epitaxial BiFeO3 nanostructures on SrTiO3 (001), ultra-thin commensurate SrTiO 3 films on Si (001), and coherently strained films of BaTiO3 on DyScO3 (110). Epitaxial films of BiFeO3 were deposited by radio frequency magnetron sputtering on SrRuO3 coated SrTiO 3 (001) substrates. Laterally confined nanostructures were fabricated using focused ion-beam processing and subsequently characterized with focused beam x-ray nanodiffraction measurements with unprecedented spatial resolution. Results from a series of rectangular nanostructures with lateral dimensions between 500 nm and 1 mum and a comparably-sized region of the unpatterned BiFeO3 film revealed qualitatively similar distributions of local strain and lattice rotation with a 2-3 times larger magnitude of variation observed in those of the nanostructures compared to the unpatterned film. This indicates that lateral confinement leads to enhanced variation in the local strain and lattice rotation fields in epitaxial BiFeO3 nanostructures. A commensurate 2 nm thick film of SrTiO3 on Si was characterized by the x-ray standing wave (XSW) technique to determine the Sr and Ti cation positions in the strained unit cell in order to verify strain-induced ferroelectricity in SrTiO3/Si. A Si (004) XSW measurement at 10°C indicated that the average Ti displacement from the midpoint between Sr planes was consistent in magnitude to that predicted by a density functional theory (DFT) calculated ferroelectric structure. The Ti displacement determined from a 35°C measurement better matched a DFT-predicted nonpolar structure. The thin film extension of the XSW technique was employed to

  5. Magnetic Field Enhanced Superconductivity in Epitaxial Thin Film WTe2.

    Science.gov (United States)

    Asaba, Tomoya; Wang, Yongjie; Li, Gang; Xiang, Ziji; Tinsman, Colin; Chen, Lu; Zhou, Shangnan; Zhao, Songrui; Laleyan, David; Li, Yi; Mi, Zetian; Li, Lu

    2018-04-25

    In conventional superconductors an external magnetic field generally suppresses superconductivity. This results from a simple thermodynamic competition of the superconducting and magnetic free energies. In this study, we report the unconventional features in the superconducting epitaxial thin film tungsten telluride (WTe 2 ). Measuring the electrical transport properties of Molecular Beam Epitaxy (MBE) grown WTe 2 thin films with a high precision rotation stage, we map the upper critical field H c2 at different temperatures T. We observe the superconducting transition temperature T c is enhanced by in-plane magnetic fields. The upper critical field H c2 is observed to establish an unconventional non-monotonic dependence on temperature. We suggest that this unconventional feature is due to the lifting of inversion symmetry, which leads to the enhancement of H c2 in Ising superconductors.

  6. Possibility of the use of intermediate carbidsiliconoxide nanolayers on polydiamond substrates for gallium nitride layers epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Averichkin, P. A., E-mail: P-Yugov@mail.ru; Donskov, A. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Dukhnovsky, M. P. [R & D Enterprise Istok (Russian Federation); Knyazev, S. N. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Kozlova, Yu. P. [Russian Academy of Sciences, Institute for Nuclear Research (Russian Federation); Yugova, T. G.; Belogorokhov, I. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation)

    2016-04-15

    The results of using carbidsiliconoxide (a-C:SiO1{sub .5}) films with a thickness of 30–60 nm, produced by the pyrolysis annealing of oligomethylsilseskvioksana (CH{sub 3}–SiO{sub 1.5}){sub n} with cyclolinear (staircased) molecular structure, as intermediate films in the hydride vapor phase epitaxy of gallium nitride on polycrystalline CVD-diamond substrates are presented. In the pyrolysis annealing of (CH{sub 3}–SiO{sub 1.5}){sub n} films in an atmosphere of nitrogen at a temperature of 1060°C, methyl radicals are carbonized to yield carbon atoms chemically bound to silicon. In turn, these atoms form a SiC monolayer on the surface of a-C:SiO{sub 1.5} films via covalent bonding with silicon. It is shown that GaN islands grow on such an intermediate layer on CVD-polydiamond substrates in the process of hydride vapor phase epitaxy in a vertical reactor from the GaCl–NH{sub 3}–N{sub 2} gas mixture.

  7. Preparation and properties of thin films treatise on materials science and technology

    CERN Document Server

    Tu, K N

    1982-01-01

    Treatise on Materials Science and Technology, Volume 24: Preparation and Properties of Thin Films covers the progress made in the preparation of thin films and the corresponding study of their properties. The book discusses the preparation and property correlations in thin film; the variation of microstructure of thin films; and the molecular beam epitaxy of superlattices in thin film. The text also describes the epitaxial growth of silicon structures (thermal-, laser-, and electron-beam-induced); the characterization of grain boundaries in bicrystalline thin films; and the mechanical properti

  8. Chemically stabilized epitaxial wurtzite-BN thin film

    Science.gov (United States)

    Vishal, Badri; Singh, Rajendra; Chaturvedi, Abhishek; Sharma, Ankit; Sreedhara, M. B.; Sahu, Rajib; Bhat, Usha; Ramamurty, Upadrasta; Datta, Ranjan

    2018-03-01

    We report on the chemically stabilized epitaxial w-BN thin film grown on c-plane sapphire by pulsed laser deposition under slow kinetic condition. Traces of no other allotropes such as cubic (c) or hexagonal (h) BN phases are present. Sapphire substrate plays a significant role in stabilizing the metastable w-BN from h-BN target under unusual PLD growth condition involving low temperature and pressure and is explained based on density functional theory calculation. The hardness and the elastic modulus of the w-BN film are 37 & 339 GPa, respectively measured by indentation along direction. The results are extremely promising in advancing the microelectronic and mechanical tooling industry.

  9. Epitaxial growth of cubic Gd{sub 2}O{sub 3} thin films on Ge substrates

    Energy Technology Data Exchange (ETDEWEB)

    Molle, A; Wiemer, C; Bhuiyan, M D N K; Tallarida, G; Fanciulli, M [CNR-INFM, Laboratorio Nazionale MDM, via C. Olivetti 2, I-20041 Agrate Brianza (Italy)], E-mail: alessandro.molle@mdm.infm.it

    2008-03-15

    Gd{sub 2}O{sub 3} thin films were grown on Ge (001) substrates by molecular beam epitaxy. The epitaxial character of the film is demonstrated by electron diffraction during the growth. The structural characterization of the films shows that the Gd{sub 2}O{sub 3} forms a bixbyite polymorph with a (110) out-of-plane orientation. The formation of bixbyite structured Gd{sub 2}O{sub 3} is discussed in terms of the atomic arrangement of the oxide planes on the Ge(001) surface.

  10. Structural characterization of metastable hcp-Ni thin films epitaxially grown on Au(100) single-crystal underlayers

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Tanaka, Takahiro; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    Ni(1120) epitaxial thin films with hcp structure were prepared on Au(100) single-crystal underlayers at 100 deg. C by ultra high vacuum molecular beam epitaxy. The detailed film structure is studied by in situ reflection high energy electron diffraction, x-ray diffraction, and transmission electron microscopy. The hcp-Ni film consists of two types of variants whose c-axes are rotated around the film normal by 90 deg. each other. An atomically sharp boundary is recognized between the film and the underlayer, where misfit dislocations are introduced. Presence of such dislocations seems to relieve the strain caused by the lattice mismatch between the film and the underlayer.

  11. Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films

    KAUST Repository

    Chernikova, Valeriya

    2017-05-10

    Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.

  12. Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films

    KAUST Repository

    Chernikova, Valeriya; Shekhah, Osama; Spanopoulos, Ioannis; Trikalitis, Pantelis N.; Eddaoudi, Mohamed

    2017-01-01

    Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.

  13. Epitaxial Growth of Hard Ferrimagnetic Mn3Ge Film on Rhodium Buffer Layer

    Directory of Open Access Journals (Sweden)

    Atsushi Sugihara

    2015-06-01

    Full Text Available Mn\\(_3\\Ge has a tetragonal Heusler-like D0\\(_{22}\\ crystal structure, exhibiting a large uniaxial magnetic anisotropy and small saturation magnetization due to its ferrimagnetic spin structure; thus, it is a hard ferrimagnet. In this report, epitaxial growth of a Mn\\(_3\\Ge film on a Rh buffer layer was investigated for comparison with that of a film on a Cr buffer layer in terms of the lattice mismatch between Mn\\(_3\\Ge and the buffer layer. The film grown on Rh had much better crystalline quality than that grown on Cr, which can be attributed to the small lattice mismatch. Epitaxial films of Mn\\(_3\\Ge on Rh show somewhat small coercivity (\\(H_{\\rm c}\\ = 12.6 kOe and a large perpendicular magnetic anisotropy (\\(K_{\\rm u}\\ = 11.6 Merg/cm\\(^3\\, comparable to that of the film grown on Cr.

  14. Growth of Sr2CrReO6 epitaxial thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Orna, J.; Morellon, L.; Algarabel, P.A.; Pardo, J.A.; Magen, C.; Varela, M.; Pennycook, S.J.; De Teresa, J.M.; Ibarra, M.R.

    2010-01-01

    We report the growth, structural, magnetic, and electrical transport properties of epitaxial Sr 2 CrReO 6 thin films. We have succeeded in depositing films with a high crystallinity and a relatively large cationic order in a narrow window of growth parameters. The epitaxy relationship is Sr 2 CrReO 6 (SCRO) (0 0 1) [1 0 0]-parallel SrTiO 3 (STO) (0 0 1) [1 1 0] as determined by high-resolution X-ray diffraction and scanning transmission electron microscopy (STEM). Typical values of saturation magnetization of M S (300 K)=1 μ B /f.u. and ρ (300 K)=2.8 mΩ cm have been obtained in good agreement with previous published results in sputtered epitaxial thin films. We estimate that the antisite defects concentration in our thin films is of the order of 14%, and the measured Curie temperature is T C =481(2) K. We believe these materials be of interest as electrodes in spintronic devices.

  15. Investigation of the silicon ion density during molecular beam epitaxy growth

    Science.gov (United States)

    Eifler, G.; Kasper, E.; Ashurov, Kh.; Morozov, S.

    2002-05-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate between 0 to -1000 V. The dependencies of ion and electron densities were shown and discussed within the framework of a simple model. The charged carrier densities measured with the monitoring system enable to separate the ion part of the substrate current and show its correlation to the generation rate. Comparing the ion density on the whole substrate and in the center gives a hint to the ion beam focusing effect. The maximum ion and electron current densities obtained were 0.40 and 0.61 μA/cm2, respectively.

  16. Preparation and structural characterization of FeCo epitaxial thin films on insulating single-crystal substrates

    International Nuclear Information System (INIS)

    Nishiyama, Tsutomu; Ohtake, Mitsuru; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    FeCo epitaxial films were prepared on MgO(111), SrTiO 3 (111), and Al 2 O 3 (0001) single-crystal substrates by ultrahigh vacuum molecular beam epitaxy. The effects of insulating substrate material on the film growth process and the structures were investigated. FeCo(110) bcc films grow on MgO substrates with two type domains, Nishiyama-Wassermann (NW) and Kurdjumov-Sachs (KS) relationships. On the contrary, FeCo films grown on SrTiO 3 and Al 2 O 3 substrates include FeCo(111) bcc crystal in addition to the FeCo(110) bcc crystals with NW and KS relationships. The FeCo(111) bcc crystal consists of two type domains whose orientations are rotated around the film normal by 180 deg. each other. The out-of-plane and the in-plane lattice spacings of FeCo(110) bcc and FeCo(111) bcc crystals formed on the insulating substrates are in agreement with those of the bulk Fe 50 Co 50 (at. %) crystal with small errors ranging between +0.2% and +0.4%, showing that the strains in the epitaxial films are very small.

  17. Vibrational Spectroscopy of Chemical Species in Silicon and Silicon-Rich Nitride Thin Films

    Directory of Open Access Journals (Sweden)

    Kirill O. Bugaev

    2012-01-01

    Full Text Available Vibrational properties of hydrogenated silicon-rich nitride (SiN:H of various stoichiometry (0.6≤≤1.3 and hydrogenated amorphous silicon (a-Si:H films were studied using Raman spectroscopy and Fourier transform infrared spectroscopy. Furnace annealing during 5 hours in Ar ambient at 1130∘C and pulse laser annealing were applied to modify the structure of films. Surprisingly, after annealing with such high-thermal budget, according to the FTIR data, the nearly stoichiometric silicon nitride film contains hydrogen in the form of Si–H bonds. From analysis of the FTIR data of the Si–N bond vibrations, one can conclude that silicon nitride is partly crystallized. According to the Raman data a-Si:H films with hydrogen concentration 15% and lower contain mainly Si–H chemical species, and films with hydrogen concentration 30–35% contain mainly Si–H2 chemical species. Nanosecond pulse laser treatments lead to crystallization of the films and its dehydrogenization.

  18. Strain induced room temperature ferromagnetism in epitaxial magnesium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Zhenghe; Kim, Ki Wook [Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Nori, Sudhakar; Lee, Yi-Fang; Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Kumar, D. [Department of Mechanical Engineering, North Carolina A & T State University, Greensboro, North Carolina 27411 (United States); Wu, Fan [Princeton Institute for the Science and Technology of Materials (PRISM), Princeton University, Princeton, New Jersey 08540 (United States); Prater, J. T. [Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States)

    2015-10-28

    We report on the epitaxial growth and room-temperature ferromagnetic properties of MgO thin films deposited on hexagonal c-sapphire substrates by pulsed laser deposition. The epitaxial nature of the films has been confirmed by both θ-2θ and φ-scans of X-ray diffraction pattern. Even though bulk MgO is a nonmagnetic insulator, we have found that the MgO films exhibit ferromagnetism and hysteresis loops yielding a maximum saturation magnetization up to 17 emu/cc and large coercivity, H{sub c} = 1200 Oe. We have also found that the saturation magnetization gets enhanced and that the crystallization degraded with decreased growth temperature, suggesting that the origin of our magnetic coupling could be point defects manifested by the strain in the films. X-ray (θ-2θ) diffraction peak shift and strain analysis clearly support the presence of strain in films resulting from the presence of point defects. Based on careful investigations using secondary ion mass spectrometer and X-ray photoelectron spectroscopy studies, we have ruled out the possibility of the presence of any external magnetic impurities. We discuss the critical role of microstructural characteristics and associated strain on the physical properties of the MgO films and establish a correlation between defects and magnetic properties.

  19. Characteristics of surface mount low barrier silicon Schottky diodes with boron contamination in the substrate–epitaxial layer interface

    International Nuclear Information System (INIS)

    Pal, Debdas; Hoag, David; Barter, Margaret

    2012-01-01

    Unusual negative resistance characteristics were observed in low barrier HMIC (Heterolithic Microwave Integrated Circuit) silicon Schottky diodes with HF (hydrofluoric acid)/IPA (isopropyl alcohol) vapor clean prior to epitaxial growth of silicon. SIMS (secondary ion mass spectroscopy) analysis and the results of the buried layer structure confirmed boron contamination in the substrate/epitaxial layer interface. Consequently the structure turned into a thyristor like p-n-p-n device. A dramatic reduction of boron contamination was found in the wafers with H 2 0/HCl/HF dry only clean prior to growth, which provided positive resistance characteristics. Consequently the mean differential resistance at 10 mA was reduced to about 8.1 Ω. The lower series resistance (5.6–5.9 Ω) and near 1 ideality factor (1.03–1.06) of the Schottky devices indicated the good quality of the epitaxial layer. (paper)

  20. Electrical leakage phenomenon in heteroepitaxial cubic silicon carbide on silicon

    Science.gov (United States)

    Pradeepkumar, Aiswarya; Zielinski, Marcin; Bosi, Matteo; Verzellesi, Giovanni; Gaskill, D. Kurt; Iacopi, Francesca

    2018-06-01

    Heteroepitaxial 3C-SiC films on silicon substrates are of technological interest as enablers to integrate the excellent electrical, electronic, mechanical, thermal, and epitaxial properties of bulk silicon carbide into well-established silicon technologies. One critical bottleneck of this integration is the establishment of a stable and reliable electronic junction at the heteroepitaxial interface of the n-type SiC with the silicon substrate. We have thus investigated in detail the electrical and transport properties of heteroepitaxial cubic silicon carbide films grown via different methods on low-doped and high-resistivity silicon substrates by using van der Pauw Hall and transfer length measurements as test vehicles. We have found that Si and C intermixing upon or after growth, particularly by the diffusion of carbon into the silicon matrix, creates extensive interstitial carbon traps and hampers the formation of a stable rectifying or insulating junction at the SiC/Si interface. Although a reliable p-n junction may not be realistic in the SiC/Si system, we can achieve, from a point of view of the electrical isolation of in-plane SiC structures, leakage suppression through the substrate by using a high-resistivity silicon substrate coupled with deep recess etching in between the SiC structures.

  1. Epitaxial GaN films by hyperthermal ion-beam nitridation of Ga droplets

    Energy Technology Data Exchange (ETDEWEB)

    Gerlach, J. W.; Ivanov, T.; Neumann, L.; Hoeche, Th.; Hirsch, D.; Rauschenbach, B. [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), D-04318 Leipzig (Germany)

    2012-06-01

    Epitaxial GaN film formation on bare 6H-SiC(0001) substrates via the process of transformation of Ga droplets into a thin GaN film by applying hyperthermal nitrogen ions is investigated. Pre-deposited Ga atoms in well defined amounts form large droplets on the substrate surface which are subsequently nitridated at a substrate temperature of 630 Degree-Sign C by a low-energy nitrogen ion beam from a constricted glow-discharge ion source. The Ga deposition and ion-beam nitridation process steps are monitored in situ by reflection high-energy electron diffraction. Ex situ characterization by x-ray diffraction and reflectivity techniques, Rutherford backscattering spectrometry, and electron microscopy shows that the thickness of the resulting GaN films depends on the various amounts of pre-deposited gallium. The films are epitaxial to the substrate, exhibit a mosaic like, smooth surface topography and consist of coalesced large domains of low defect density. Possible transport mechanisms of reactive nitrogen species during hyperthermal nitridation are discussed and the formation of GaN films by an ion-beam assisted process is explained.

  2. Thin epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Stab, L.

    1989-01-01

    Manufacturing procedures of thin epitaxial surface barriers will be given. Some improvements have been obtained: larger areas, lower leakage currents and better resolutions. New planar epitaxial dE/dX detectors, made in a collaboration work with ENERTEC-INTERTECHNIQUE, and a new application of these thin planar diodes to EXAFS measurements, made in a collaboration work with LURE (CNRS,CEA,MEN) will also be reported

  3. Influence of metallic surface states on electron affinity of epitaxial AlN films

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna, Shibin; Aggarwal, Neha [Advanced Materials and Devices Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Advanced Materials and Devices Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2017-06-15

    The present article investigates surface metallic states induced alteration in the electron affinity of epitaxial AlN films. AlN films grown by plasma-assisted molecular beam epitaxy system with (30% and 16%) and without metallic aluminium on the surface were probed via photoemission spectroscopic measurements. An in-depth analysis exploring the influence of metallic aluminium and native oxide on the electronic structure of the films is performed. It was observed that the metallic states pinned the Fermi Level (FL) near valence band edge and lead to the reduction of electron affinity (EA). These metallic states initiated charge transfer and induced changes in surface and interface dipoles strength. Therefore, the EA of the films varied between 0.6–1.0 eV due to the variation in contribution of metallic states and native oxide. However, the surface barrier height (SBH) increased (4.2–3.5 eV) adversely due to the availability of donor-like surface states in metallic aluminium rich films.

  4. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Ikeshoji, Tamio; Orimo, Shin-ichi; Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro; Kuwano, Hiroki

    2014-01-01

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al 2 O 3 substrates indicated polycrystalline films with a LiAlO 2 secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides

  5. Solid phase epitaxy of amorphous silicon carbide: Ion fluence dependence

    International Nuclear Information System (INIS)

    Bae, I.-T.; Ishimaru, Manabu; Hirotsu, Yoshihiko; Sickafus, Kurt E.

    2004-01-01

    We have investigated the effect of radiation damage and impurity concentration on solid phase epitaxial growth of amorphous silicon carbide (SiC) as well as microstructures of recrystallized layer using transmission electron microscopy. Single crystals of 6H-SiC with (0001) orientation were irradiated with 150 keV Xe ions to fluences of 10 15 and 10 16 /cm 2 , followed by annealing at 890 deg. C. Full epitaxial recrystallization took place in a specimen implanted with 10 15 Xe ions, while retardation of recrystallization was observed in a specimen implanted with 10 16 /cm 2 Xe ions. Atomic pair-distribution function analyses and energy dispersive x-ray spectroscopy results suggested that the retardation of recrystallization of the 10 16 Xe/cm 2 implanted sample is attributed to the difference in amorphous structures between the 10 15 and 10 16 Xe/cm 2 implanted samples, i.e., more chemically disordered atomistic structure and higher Xe impurity concentration in the 10 16 Xe/cm 2 implanted sample

  6. Intrinsic stability of ferroelectric and piezoelectric properties of epitaxial PbZr0.45Ti0.55O3 thin films on silicon in relation to grain tilt

    Directory of Open Access Journals (Sweden)

    Evert P Houwman, Minh D Nguyen, Matthijn Dekkers and Guus Rijnders

    2013-01-01

    Full Text Available Piezoelectric thin films of PbZr0.45Ti0.55O3 were grown on Si substrates in four different ways, resulting in different crystalline structures, as determined by x-ray analysis. The crystalline structures were different in the spread in tilt angle and the in-plane alignment of the crystal planes between different grains. It is found that the deviations of the ferroelectric polarization loop from that of the ideal rectangular loop (reduction of the remanent polarization with respect to the saturation polarization, dielectric constant of the film, slanting of the loop, coercive field value all scale with the average tilt angle. A model is derived based on the assumption that the tilted grain boundaries between grains affect the film properties locally. This model describes the observed trends. The effective piezoelectric coefficient d33,eff shows also a weak dependence on the average tilt angle for films grown in a single layer, whereas it is strongly reduced for the films deposited in multiple layers. The least affected properties are obtained for the most epitaxial films, i.e. grown on a SrTiO3 epitaxial seed layer, by pulsed laser deposition. These films are intrinsically stable and do not require poling to acquire these stable properties.

  7. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    Science.gov (United States)

    Sandstrom, R. L.; Giess, E. A.; Gallagher, W. J.; Segmuller, A.; Cooper, E. I.

    1988-11-01

    It is demonstrated that lanthanum gallate (LaGaO3) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa2Cu3O(7-x), can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant and low dielectric losses. Epitaxial YBa2Cu3O(7-x) films grown on LaGaO3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K.

  8. Magnetotransport investigations of single- and heterostructure epitaxial films of IV/VI-semiconductors

    International Nuclear Information System (INIS)

    Ambrosch, K.-E.

    1985-01-01

    Lead salts are small gap semiconductors that are used for infrared detectors and lasers. PbMnTe and PbEuTe are semimagnetic semiconductors. Magnetotransport properties of epitaxial films and epitaxial heterostructures (PbTe / PbSnTe) are investigated. Epitaxial films of PbSnTe, PbMnTe and PbEuTe have been used for Shubnikov de Haas - experiments in tilted magnetic fields. This method allows the quantitative determination of the electric carrier distribution with respect to the crystal directions. The nonequal distribution is caused by strain effects that are more important for PbMnTe than for PbSnTe and PbEuTe. Magnetoresistance experiments show a deviation from cubic symmetry that leads to the same results for the carrier distribution as the Shubnikov de Haas effect. Magnetoresistance experiments performed with PbTe / PbSnTe heterostructures show no megnetoresistance if the magnetic field is in plane with the layers. The difference of the magnetoresistance for single films and heterostructures is explained by 'quasitwodimensional' carriers. Shubnikov de Haas experiments performed on heterostructures as a function of the tilt angle of the magnetic field show different behaviour compared to that of single films. Using additional information about effective masses and strain it was possible to distinguish between 'two-' and 'threedimensional' electronic systems. The distribution of carriers in single films and heterostructures has been determined by means of magnetotransport experiments. The results are explained by strain effects of the crystal lattice. In addition heterostructures show a 'quasitwodimensional' behaviour caused by interaction of their layers. (Author)

  9. Development of Epitaxial GaN Films for RF Communications, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — The primary objective of this SBIR is to develop epitaxial GaN films with threading dislocation density less than 10^6 cm^-2. We propose an innovative approach...

  10. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  11. Materials issues in silicon integrated circuit processing

    International Nuclear Information System (INIS)

    Wittmer, M.; Stimmell, J.; Strathman, M.

    1986-01-01

    The symposium on ''Materials Issues in Integrated Circuit Processing'' sought to bring together all of the materials issued pertinent to modern integrated circuit processing. The inherent properties of the materials are becoming an important concern in integrated circuit manufacturing and accordingly research in materials science is vital for the successful implementation of modern integrated circuit technology. The session on Silicon Materials Science revealed the advanced stage of knowledge which topics such as point defects, intrinsic and extrinsic gettering and diffusion kinetics have achieved. Adaption of this knowledge to specific integrated circuit processing technologies is beginning to be addressed. The session on Epitaxy included invited papers on epitaxial insulators and IR detectors. Heteroepitaxy on silicon is receiving great attention and the results presented in this session suggest that 3-d integrated structures are an increasingly realistic possibility. Progress in low temperature silicon epitaxy and epitaxy of thin films with abrupt interfaces was also reported. Diffusion and Ion Implantation were well presented. Regrowth of implant-damaged layers and the nature of the defects which remain after regrowth were discussed in no less than seven papers. Substantial progress was also reported in the understanding of amorphising boron implants and the use of gallium implants for the formation of shallow p/sup +/ -layers

  12. Preparation of YBa2Cu3O7-δ epitaxial thin films by pulsed ion-beam evaporation

    International Nuclear Information System (INIS)

    Sorasit, S.; Yoshida, G.; Suzuki, T.; Suematsu, H.; Jiang, W.; Yatsui, K.

    2001-01-01

    Thin films of YBa 2 Cu 3 O 7-δ (Y-123) grown epitaxially have been successfully deposited by ion-beam evaporation (IBE). The c-axis oriented YBa 2 Cu 3 O 7-δ thin films were successfully deposited on MgO and SrTiO 3 substrates. The Y-123 thin films which were prepared on the SrTiO 3 substrates were confirmed to be epitaxially grown, by X-ray diffraction analysis. The instantaneous deposition rate of the Y-123 thin films was estimated as high as 4 mm/s. (author)

  13. Growth of misfit dislocation-free p/p+ thick epitaxial silicon wafers on Ge-B-codoped substrates

    International Nuclear Information System (INIS)

    Jiang Huihua; Yang Deren; Ma Xiangyang; Tian Daxi; Li Liben; Que Duanlin

    2006-01-01

    The growth of p/p + silicon epitaxial silicon wafers (epi-wafers) without misfit dislocations has been successfully achieved by using heavily boron-doped Czochralski (CZ) silicon wafers codoped with desirable level of germanium as the substrates. The lattice compensation by codoping of germanium and boron into the silicon matrix to reduce the lattice mismatch between the substrate (heavily boron-doped) and epi-layer (lightly boron-doped) is the basic idea underlying in the present achievement. In principle, the codoping of germanium and boron in the CZ silicon can be tailored to achieve misfit dislocation-free epi-layer with required thickness. It is reasonably expected that the presented solution to elimination of misfit dislocations in the p/p + silicon wafers can be applied in the volume production

  14. Self-regulated growth of LaVO3 thin films by hybrid molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhang, Hai-Tian; Engel-Herbert, Roman; Dedon, Liv R.; Martin, Lane W.

    2015-01-01

    LaVO 3 thin films were grown on SrTiO 3 (001) by hybrid molecular beam epitaxy. A volatile metalorganic precursor, vanadium oxytriisopropoxide (VTIP), and elemental La were co-supplied in the presence of a molecular oxygen flux. By keeping the La flux fixed and varying the VTIP flux, stoichiometric LaVO 3 films were obtained for a range of cation flux ratios, indicating the presence of a self-regulated growth window. Films grown under stoichiometric conditions were found to have the largest lattice parameter, which decreased monotonically with increasing amounts of excess La or V. Energy dispersive X-ray spectroscopy and Rutherford backscattering measurements were carried out to confirm film compositions. Stoichiometric growth of complex vanadate thin films independent of cation flux ratios expands upon the previously reported self-regulated growth of perovskite titanates using hybrid molecular beam epitaxy, thus demonstrating the general applicability of this growth approach to other complex oxide materials, where a precise control over film stoichiometry is demanded by the application

  15. Epitaxy, thin films and superlattices

    International Nuclear Information System (INIS)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au)

  16. Epitaxy, thin films and superlattices

    Energy Technology Data Exchange (ETDEWEB)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au) 14 tabs.; 58 ills., 96 refs.

  17. The preparation of Zn-ferrite epitaxial thin film from epitaxial Fe3O4:ZnO multilayers by ion beam sputtering deposition

    International Nuclear Information System (INIS)

    Su, Hui-Chia; Dai, Jeng-Yi; Liao, Yen-Fa; Wu, Yu-Han; Huang, J.C.A.; Lee, Chih-Hao

    2010-01-01

    A new method to grow a well-ordered epitaxial ZnFe 2 O 4 thin film on Al 2 O 3 (0001) substrate is described in this work. The samples were made by annealing the ZnO/Fe 3 O 4 multilayer which was grown with low energy ion beam sputtering deposition. Both the Fe 3 O 4 and ZnO layers were found grown epitaxially at low temperature and an epitaxial ZnFe 2 O 4 thin film was formed after annealing at 1000 o C. X-ray diffraction shows the ZnFe 2 O 4 film is grown with an orientation of ZnFe 2 O 4 (111)//Al 2 O 3 (0001) and ZnFe 2 O 4 (1-10)//Al 2 O 3 (11-20). X-ray absorption spectroscopy studies show that Zn 2+ atoms replace the tetrahedral Fe 2+ atoms in Fe 3 O 4 during the annealing. The magnetic properties measured by vibrating sample magnetometer show that the saturation magnetization of ZnFe 2 O 4 grown from ZnO/Fe 3 O 4 multilayer reaches the bulk value after the annealing process.

  18. Defects in heavily phosphorus-doped Si epitaxial films probed by monoenergetic positron beams

    International Nuclear Information System (INIS)

    Uedono, Akira; Tanigawa, Shoichiro; Suzuki, Ryoichi; Ohgaki, Hideaki; Mikado, Tomohisa.

    1994-01-01

    Vacancy-type defects in heavily phosphorus-doped Si epitaxial films were probed by monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured for the epitaxial films grown on the Si substrates by plasma chemical vapor deposition. For the as-deposited film, divacancy-phosphorus complexes were found with high concentration. After 600degC annealing, vacancy clusters were formed near the Si/Si interface, while no drastic change in the depth distribution of the divacancy-phosphorus complexes was observed. By 900degC annealing, the vacancy clusters were annealed out; however, the average number of phosphorus atoms coupled with divacancies increased. The relationship between the vacancy-type defects probed by the positron annihilation technique and the carrier concentration was confirmed. (author)

  19. Defects in heavily phosphorus-doped Si epitaxial films probed by monoenergetic positron beams

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Suzuki, Ryoichi; Ohgaki, Hideaki; Mikado, Tomohisa

    1994-11-01

    Vacancy-type defects in heavily phosphorus-doped Si epitaxial films were probed by monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured for the epitaxial films grown on the Si substrates by plasma chemical vapor deposition. For the as-deposited film, divacancy-phosphorus complexes were found with high concentration. After 600degC annealing, vacancy clusters were formed near the Si/Si interface, while no drastic change in the depth distribution of the divacancy-phosphorus complexes was observed. By 900degC annealing, the vacancy clusters were annealed out; however, the average number of phosphorus atoms coupled with divacancies increased. The relationship between the vacancy-type defects probed by the positron annihilation technique and the carrier concentration was confirmed. (author).

  20. Tunable band gap in epitaxial ferroelectric Ho(Mn,Ga)O{sub 3} films

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Daesu; Noh, Tae Won, E-mail: twnoh@snu.ac.kr [Center for Correlated Electron Systems, Institute for Basic Science, Seoul 151-742 (Korea, Republic of); Department of Physics and Astronomy, Seoul National University, Seoul 151-742 (Korea, Republic of); Choi, Woo Seok [Department of Physics, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2016-05-09

    Ferroelectrics have recently attracted attention as a new class of materials for use in optical and photovoltaic devices. We studied the electronic properties in epitaxially stabilized ferroelectric hexagonal Ho(Mn{sub 1−x}Ga{sub x})O{sub 3} (x = 0, 0.33, 0.67, and 1) thin films. Our films exhibited systematic changes in electronic structures, such as bandgap and optical transitions, according to the Ga concentration. In particular, the bandgap increased systematically from 1.4 to 3.2 eV, including the visible light region, with increasing Ga concentration from x = 0 to 1. These systematic changes, attributed to lattice parameter variations in epitaxial Ho(Mn{sub 1−x}Ga{sub x})O{sub 3} films, should prove useful for the design of optoelectronic devices based on ferroelectrics.

  1. A comparative study of transport properties in polycrystalline and epitaxial chromium nitride films

    KAUST Repository

    Duan, X. F.; Mi, Wenbo; Guo, Zaibing; Bai, Haili

    2013-01-01

    Polycrystalline CrNx films on Si(100) and glass substrates and epitaxial CrNx films on MgO(100) substrates were fabricated by reactive sputtering with different nitrogen gas flow rates (fN2). With the increase of fN2, a lattice phase transformation

  2. Characterization of silicon oxynitride films prepared by the simultaneous implantation of oxygen and nitrogen ions into silicon

    International Nuclear Information System (INIS)

    Hezel, R.; Streb, W.

    1985-01-01

    Silicon oxynitride films about 5 nm in thickness were prepared by simultaneously implanting 5 keV oxygen and nitrogen ions into silicon at room temperature up to saturation. These films with concentrations ranging from pure silicon oxide to silicon nitride were characterized using Auger electron spectroscopy, electron energy loss spectroscopy and depth-concentration profiling. The different behaviour of the silicon oxynitride films compared with those of silicon oxide and silicon nitride with regard to thermal stability and hardness against electron and argon ion irradiation is pointed out. (Auth.)

  3. Properties of Hg1-xCdxTe epitaxial films grown on (211)CdTe and (211)CdZnTe

    International Nuclear Information System (INIS)

    Di Stefano, M.C.; Gilabert, U.; Heredia, E.; Trigubo, A.B.

    2004-01-01

    Hg 1-x Cd x Te (MCT) epitaxial films have been grown employing single crystalline substrates of CdTe and Cd 0.96 Zn 0.04 Te with (211)Cd and (211)Te crystalline orientations. The Isothermal Vapor Phase Epitaxy (ISOVPE) technique without Hg overpressure has been used for the epitaxial growth. Substrates and films were characterized by optical microscopy, chemical etching and X ray diffraction (Laue technique). The electrical properties were determined by Hall effect measurements. The characterization results allowed to evaluate the crystalline quality of MCT films. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    International Nuclear Information System (INIS)

    Sandstrom, R.L.; Giess, E.A.; Gallagher, W.J.; Segmueller, A.; Cooper, E.I.; Chisholm, M.F.; Gupta, A.; Shinde, S.; Laibowitz, R.B.

    1988-01-01

    We demonstrate that lanthanum gallate (LaGaO 3 ) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa 2 Cu 3 O/sub 7-//sub x/, can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant (ε≅25) and low dielectric losses. Epitaxial YBa 2 Cu 3 O/sub 7-//sub x/ films grown on LaGaO 3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K

  5. Resistance switching in epitaxial SrCoOx thin films

    Science.gov (United States)

    Tambunan, Octolia T.; Parwanta, Kadek J.; Acharya, Susant K.; Lee, Bo Wha; Jung, Chang Uk; Kim, Yeon Soo; Park, Bae Ho; Jeong, Huiseong; Park, Ji-Yong; Cho, Myung Rae; Park, Yun Daniel; Choi, Woo Seok; Kim, Dong-Wook; Jin, Hyunwoo; Lee, Suyoun; Song, Seul Ji; Kang, Sung-Jin; Kim, Miyoung; Hwang, Cheol Seong

    2014-08-01

    We observed bipolar switching behavior from an epitaxial strontium cobaltite film grown on a SrTiO3 (001) substrate. The crystal structure of strontium cobaltite has been known to undergo topotactic phase transformation between two distinct phases: insulating brownmillerite (SrCoO2.5) and conducting perovskite (SrCoO3-δ) depending on the oxygen content. The current-voltage characteristics of the strontium cobaltite film showed that it could have a reversible insulator-to-metal transition triggered by electrical bias voltage. We propose that the resistance switching in the SrCoOx thin film could be related to the topotactic phase transformation and the peculiar structure of SrCoO2.5.

  6. Resistance switching in epitaxial SrCoOx thin films

    International Nuclear Information System (INIS)

    Tambunan, Octolia T.; Parwanta, Kadek J.; Acharya, Susant K.; Lee, Bo Wha; Jung, Chang Uk; Kim, Yeon Soo; Park, Bae Ho; Jeong, Huiseong; Park, Ji-Yong; Cho, Myung Rae; Park, Yun Daniel; Choi, Woo Seok; Kim, Dong-Wook; Jin, Hyunwoo; Lee, Suyoun; Song, Seul Ji; Kang, Sung-Jin; Kim, Miyoung; Hwang, Cheol Seong

    2014-01-01

    We observed bipolar switching behavior from an epitaxial strontium cobaltite film grown on a SrTiO 3 (001) substrate. The crystal structure of strontium cobaltite has been known to undergo topotactic phase transformation between two distinct phases: insulating brownmillerite (SrCoO 2.5 ) and conducting perovskite (SrCoO 3−δ ) depending on the oxygen content. The current–voltage characteristics of the strontium cobaltite film showed that it could have a reversible insulator-to-metal transition triggered by electrical bias voltage. We propose that the resistance switching in the SrCoO x thin film could be related to the topotactic phase transformation and the peculiar structure of SrCoO 2.5

  7. Catalytic Activity Enhancement for Oxygen Reduction on Epitaxial Perovskite Thin Films for Solid-Oxide Fuel Cells

    KAUST Repository

    la O', Gerardo Jose; Ahn, Sung-Jin; Crumlin, Ethan; Orikasa, Yuki; Biegalski, Michael D.; Christen, Hans M.; Shao-Horn, Yang

    2010-01-01

    Figure Presented The active ingredient: La0.8Sr 0.2CoO3-δ (LSC) epitaxial thin films are prepared on (001 )-oriented yttria-stabilized zirconia (YSZ) single crystals with a gadolinium-doped ceria (GDC) buffer layer (see picture). The LSC epitaxial films exhibit better oxygen reduction kinetics than bulk LSC. The enhanced activity is attributed in part to higher oxygen nonstoichiometry. © 2010 Wiley-VCH Verlag GmbH & Co. KCaA, Weinheim.

  8. Catalytic Activity Enhancement for Oxygen Reduction on Epitaxial Perovskite Thin Films for Solid-Oxide Fuel Cells

    KAUST Repository

    la O', Gerardo Jose

    2010-06-22

    Figure Presented The active ingredient: La0.8Sr 0.2CoO3-δ (LSC) epitaxial thin films are prepared on (001 )-oriented yttria-stabilized zirconia (YSZ) single crystals with a gadolinium-doped ceria (GDC) buffer layer (see picture). The LSC epitaxial films exhibit better oxygen reduction kinetics than bulk LSC. The enhanced activity is attributed in part to higher oxygen nonstoichiometry. © 2010 Wiley-VCH Verlag GmbH & Co. KCaA, Weinheim.

  9. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Ikeshoji, Tamio; Orimo, Shin-ichi [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan)

    2014-11-24

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al{sub 2}O{sub 3} substrates indicated polycrystalline films with a LiAlO{sub 2} secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides.

  10. Flexoelectricity induced increase of critical thickness in epitaxial ferroelectric thin films

    International Nuclear Information System (INIS)

    Zhou Hao; Hong Jiawang; Zhang Yihui; Li Faxin; Pei Yongmao; Fang Daining

    2012-01-01

    Flexoelectricity describes the coupling between polarization and strain/stress gradients in insulating crystals. In this paper, using the Landau-Ginsburg-Devonshire phenomenological approach, we found that flexoelectricity could increase the theoretical critical thickness in epitaxial BaTiO 3 thin films, below which the switchable spontaneous polarization vanishes. This increase is remarkable in tensile films while trivial in compressive films due to the electrostriction caused decrease of potential barrier, which can be easily destroyed by the flexoelectricity, between the ferroelectric state and the paraelectric state in tensile films. In addition, the films are still in a uni-polar state even below the critical thickness due to the flexoelectric effect.

  11. Flexoelectricity induced increase of critical thickness in epitaxial ferroelectric thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zhou Hao [State Key Laboratory for Turbulence and Complex Systems, College of Engineering, Peking University, Beijing 100871 (China); Hong Jiawang; Zhang Yihui [Department of Engineering Mechanics, Tsinghua University, Beijing 100084 (China); Li Faxin [State Key Laboratory for Turbulence and Complex Systems, College of Engineering, Peking University, Beijing 100871 (China); Pei Yongmao, E-mail: peiym@pku.edu.cn [State Key Laboratory for Turbulence and Complex Systems, College of Engineering, Peking University, Beijing 100871 (China); Fang Daining, E-mail: fangdn@pku.edu.cn [State Key Laboratory for Turbulence and Complex Systems, College of Engineering, Peking University, Beijing 100871 (China); Department of Engineering Mechanics, Tsinghua University, Beijing 100084 (China)

    2012-09-01

    Flexoelectricity describes the coupling between polarization and strain/stress gradients in insulating crystals. In this paper, using the Landau-Ginsburg-Devonshire phenomenological approach, we found that flexoelectricity could increase the theoretical critical thickness in epitaxial BaTiO{sub 3} thin films, below which the switchable spontaneous polarization vanishes. This increase is remarkable in tensile films while trivial in compressive films due to the electrostriction caused decrease of potential barrier, which can be easily destroyed by the flexoelectricity, between the ferroelectric state and the paraelectric state in tensile films. In addition, the films are still in a uni-polar state even below the critical thickness due to the flexoelectric effect.

  12. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  13. Extended defects in epitaxial Sc2O3 films grown on (111) Si

    International Nuclear Information System (INIS)

    Klenov, Dmitri O.; Edge, Lisa F.; Schlom, Darrell G.; Stemmer, Susanne

    2005-01-01

    Epitaxial Sc 2 O 3 films with the cubic bixbyite structure were grown on (111) Si by reactive molecular beam epitaxy. High-resolution transmission electron microscopy (HRTEM) revealed an abrupt, reaction-layer free interface between Sc 2 O 3 and Si. The ∼10% lattice mismatch between Si and Sc 2 O 3 was relieved by the formation of a hexagonal misfit dislocation network with Burgers vectors of 1/2 Si and line directions parallel to Si . A high density of planar defects and threading dislocations was observed. Analysis of lattice shifts across the planar defects in HRTEM showed that these faults were likely antiphase boundaries (APBs). ABPs form when film islands coalesce during growth because films nucleate with no unique arrangement of the ordered oxygen vacancies in the bixbyite structure relative to the Si lattice

  14. Effects of substrate temperature and Cu underlayer thickness on the formation of SmCo5(0001) epitaxial thin films

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nukaga, Yuri; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    SmCo 5 (0001) epitaxial thin films were prepared on Cu(111) underlayers heteroepitaxially grown on Al 2 O 3 (0001) single-crystal substrates by molecular beam epitaxy. The effects of substrate temperature and Cu underlayer thickness on the crystallographic properties of SmCo 5 (0001) epitaxial films were investigated. The Cu atoms of underlayer diffuse into the SmCo 5 film and substitute the Co sites in SmCo 5 structure forming an alloy compound of Sm(Co,Cu) 5 . The ordered phase formation is enhanced with increasing the substrate temperature and with increasing the Cu underlayer thickness. The Cu atom diffusion into the SmCo 5 film is assisting the formation of Sm(Co,Cu) 5 ordered phase.

  15. The role of defects in fluorescent silicon carbide layers grown by sublimation epitaxy

    DEFF Research Database (Denmark)

    Schimmel, Saskia; Kaiser, Michl; Jokubavicius, Valdas

    Donor-acceptor co-doped silicon carbide layers are promising light converters for novel monolithic all-semiconductor LEDs due to their broad-band donor-acceptor pair luminescence and potentially high internal quantum efficiency. Besides appropriate doping concentrations yielding low radiative...... lifetimes, high nonradiative lifetimes are crucial for efficient light conversion. Despite the excellent crystalline quality that can generally be obtained by sublimation epitaxy according to XRD measurements, the role of defects in f-SiC is not yet well understood. Recent results from room temperature...... photoluminescence, charge carrier lifetime measurements by microwave detected photoconductivity and internal quantum efficiency measurements suggest that the internal quantum efficiency of f-SiC layers is significantly affected by the incorporation of defects during epitaxy. Defect formation seems to be related...

  16. Investigation of microstructure and morphology for the Ge on porous silicon/Si substrate hetero-structure obtained by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gouder, S.; Mahamdi, R.; Aouassa, M.; Escoubas, S.; Favre, L.; Ronda, A.; Berbezier, I.

    2014-01-01

    Thick porous silicon (PS) buffer layers are used as sacrificial layers to epitaxially grow planar and fully relaxed Ge membranes. The single crystal Ge layers have been deposited by molecular beam epitaxy (MBE) on PS substrate. During deposition, the pore network of PS layers has been filled with Ge. We investigate the structure and morphology of PS as fabricated and after annealing at various temperatures. We show that the PS crystalline lattice is distorted and expanded in the direction perpendicular to the substrate plane due to the presence of chemisorbed –OH. An annealing at high temperature (> 500 °C), greatly changes the PS morphology and structure. This change is marked by an increase of the pore diameter while the lattice parameter becomes tensily strained in the plane (compressed in the direction perpendicular). The morphology and structure of Ge layers are investigated by transmission electron microscopy, high resolution X-ray diffraction and atomic force microscopy as a function of the deposition temperature and deposited thickness. The results show that the surface roughness, level of relaxation and Si-Ge intermixing (Ge content) depend on the growth temperature and deposited thickness. Two sub-layers are distinguished: the layer incorporated inside the PS pores (high level of intermixing) and the layer on top of the PS surface (low level of intermixing). When deposited at temperature > 500 °C, the Ge layers are fully relaxed with a top Si 1−x Ge x layer x = 0.74 and a very flat surface. Such layer can serve as fully relaxed ultra-thin SiGe pseudo-substrate with high Ge content. The epitaxy of Ge on sacrificial soft PS pseudo-substrate in the experimental conditions described here provides an easy way to fabricate fully relaxed SiGe pseudo-substrates. Moreover, Ge thin films epitaxially deposited by MBE on PS could be used as relaxed pseudo-substrate in conventional microelectronic technology. - Highlights: • We have developed a rapid and low

  17. Growth of CrTe thin films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Sreenivasan, M.G.; Hou, X.J.; Teo, K.L.; Jalil, M.B.A.; Liew, T.; Chong, T.C.

    2006-01-01

    We report the growth of Cr 1-δ Te films on (100) GaAs substrates using ZnTe buffer layers by solid-source molecular-beam epitaxial technique. RHEED patterns indicate a clear structural change during the initial stages of deposition. Temperature-dependent magnetization results reveal that different NiAs-related phases of Cr 1-δ Te can be obtained at different substrate temperatures. By varying the film thickness, a metastable zinc blende structure of CrTe could be obtained at lower substrate temperature

  18. "Silicon millefeuille": From a silicon wafer to multiple thin crystalline films in a single step

    Science.gov (United States)

    Hernández, David; Trifonov, Trifon; Garín, Moisés; Alcubilla, Ramon

    2013-04-01

    During the last years, many techniques have been developed to obtain thin crystalline films from commercial silicon ingots. Large market applications are foreseen in the photovoltaic field, where important cost reductions are predicted, and also in advanced microelectronics technologies as three-dimensional integration, system on foil, or silicon interposers [Dross et al., Prog. Photovoltaics 20, 770-784 (2012); R. Brendel, Thin Film Crystalline Silicon Solar Cells (Wiley-VCH, Weinheim, Germany 2003); J. N. Burghartz, Ultra-Thin Chip Technology and Applications (Springer Science + Business Media, NY, USA, 2010)]. Existing methods produce "one at a time" silicon layers, once one thin film is obtained, the complete process is repeated to obtain the next layer. Here, we describe a technology that, from a single crystalline silicon wafer, produces a large number of crystalline films with controlled thickness in a single technological step.

  19. Superconductivity of Rock-Salt Structure LaO Epitaxial Thin Film.

    Science.gov (United States)

    Kaminaga, Kenichi; Oka, Daichi; Hasegawa, Tetsuya; Fukumura, Tomoteru

    2018-06-06

    We report a superconducting transition in a LaO epitaxial thin film with the superconducting transition onset temperature ( T c ) at around 5 K. This T c is higher than those of other lanthanum monochalcogenides and opposite to their chemical trend: T c = 0.84, 1.02, and 1.48 K for LaX (X = S, Se, Te), respectively. The carrier control resulted in a dome-shaped T c as a function of electron carrier density. In addition, the T c was significantly sensitive to epitaxial strain in spite of the highly symmetric crystal structure. This rock-salt superconducting LaO could be a building block to design novel superlattice superconductors.

  20. Microstructure evolution in pulsed laser deposited epitaxial Ge-Sb-Te chalcogenide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ross, Ulrich; Lotnyk, Andriy, E-mail: andriy.lotnyk@iom-leipzig.de; Thelander, Erik; Rauschenbach, Bernd

    2016-08-15

    The thin film deposition and structure of highly oriented telluride compounds is of particular interest for phase-change applications in next-generation non-volatile memory such as heterostructure designs, as well as for the investigation of novel optical, thermoelectric and ferroelectric properties in layered telluride compounds. In this work, epitaxial Ge-Sb-Te thin films were successfully produced by pulsed laser deposition on silicon with and without amorphous SiO{sub x} interlayer at elevated process temperatures from a Ge{sub 2}Sb{sub 2}Te{sub 5} target. Aberration-corrected high-resolution scanning transmission electron microscopy (STEM) imaging reveals a distinct interface configuration of the trigonal phase connected by a quasi van der Waals gap (vacancy) to the Sb/Te-passivated single crystalline Si substrate, yet also an intermediate textured growth regime in which the substrate symmetry is only weakly coupled to the thin film orientation, as well as strong deviation of composition at high deposition temperatures. Textured growth of Ge-Sb-Te thin film was also observed on SiO{sub x}/Si substrate with no evidence of an intermediate Sb/Te surface layer on top of an SiO{sub x} layer. In addition, particular defect structures formed by local reorganization of the stacking sequence across the vacancy gap are observed and appear to be intrinsic to these van der Waals-layered compounds. Theoretical image simulations of preferred stacking sequences can be matched to individual building blocks in the Ge-Sb-Te grain. - Highlights: • Atomic-resolution Cs-corrected STEM imaging of PLD deposited Ge-Sb-Te thin films. • Changing of overall composition with increasing deposition temperature. • Direct imaging of surface passivation Sb/Te layer at the Ge-Sb-Te/Si(111) interface. • The Sb/Te passivation layer is not a prerequisite for highly oriented growth of Ge-Sb-Te thin films.

  1. Van der Waals epitaxy of functional MoO{sub 2} film on mica for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Chun-Hao [Department of Electrical Engineering, National Tsing Hua University, 30013 Hsinchu, Taiwan (China); Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Lin, Jheng-Cyuan [Institute of Physics, Academia Sinica, Taipei 11529, Taiwan (China); Liu, Heng-Jui; Do, Thi Hien [Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Zhu, Yuan-Min; Zhan, Qian [School of Materials Science and Engineering, University of Science and Technology Beijing, Beijing 100083 (China); Ha, Thai Duy; Juang, Jenh-Yih [Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China); He, Qing [Department of Physics, Durham University, Durham DH1 3LE (United Kingdom); Arenholz, Elke [Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Chiu, Po-Wen, E-mail: pwchiu@ee.nthu.edu.tw [Department of Electrical Engineering, National Tsing Hua University, 30013 Hsinchu, Taiwan (China); Institute of Atomic and Molecular Sciences, Academia Sinica, Taipei 10617, Taiwan (China); Chu, Ying-Hao, E-mail: yhc@nctu.edu.tw [Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Institute of Physics, Academia Sinica, Taipei 11529, Taiwan (China); Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China)

    2016-06-20

    Flexible electronics have a great potential to impact consumer electronics and with that our daily life. Currently, no direct growth of epitaxial functional oxides on commercially available flexible substrates is possible. In this study, in order to address this challenge, muscovite, a common layered oxide, is used as a flexible substrate that is chemically similar to typical functional oxides. We fabricated epitaxial MoO{sub 2} films on muscovite via pulsed laser deposition technique. A combination of X-ray diffraction and transmission electron microscopy confirms van der Waals epitaxy of the heterostructures. The electrical transport properties of MoO{sub 2} films are similar to those of the bulk. Flexible or free-standing MoO{sub 2} thin film can be obtained and serve as a template to integrate additional functional oxide layers. Our study demonstrates a remarkable concept to create flexible electronics based on functional oxides.

  2. Structure and functional properties of epitaxial PBZRxTI1-xO3 films

    NARCIS (Netherlands)

    Vergeer, Kurt

    2017-01-01

    The work described in this thesis is focused on the characterization and understanding of epitaxial, clamped, dense PbZrxTi1-xO3 (PZT) films. A thermodynamic model is developed, which is used to simulate properties of clamped PZT films throughout this work. The free energy equations for single- and

  3. Fluorination of an epitaxial YBaCuO thin film with controlled oxygen vacancies

    Energy Technology Data Exchange (ETDEWEB)

    Perrin, C. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Pena, O. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Mokhtari, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Thivet, C. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Guilloux-Viry, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Perrin, A. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Sergent, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France))

    1993-05-10

    An intentionally oxygen-deficient thin film, epitaxially grown in-situ on a (100) MgO substrate by laser ablation at 750 C under a low pressure oxygen atmosphere, has been treated under NF[sub 3] diluted in N[sub 2] at temperatures not exceeding 280 C. During the fluorination process the epitaxy of the thin film is maintained; its Tc onset progressively increases from 54 K up to 85.6 K and the width of the inductive transition is narrow at the end of treatment (1.2 K). These results are discussed and compared to those obtained during the fluorination of oxygen-deficient YBa[sub 2]Cu[sub 3]O[sub x] ceramics. (orig.)

  4. Epitaxial growth of CZT(S,Se) on silicon

    Science.gov (United States)

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  5. Preparation and characterization of epitaxially grown unsupported yttria-stabilized zirconia (YSZ) thin films

    Energy Technology Data Exchange (ETDEWEB)

    Götsch, Thomas; Mayr, Lukas [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Stöger-Pollach, Michael [University Service Center for Transmission Electron Microscopy (USTEM), Vienna University of Technology, A-1040 Vienna (Austria); Klötzer, Bernhard [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Penner, Simon, E-mail: simon.penner@uibk.ac.at [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria)

    2015-03-15

    Highlights: • Preparation of unsupported yttrium-stabilized zirconia films. • Control of ordering and epitaxy by temperature of deposition template. • Adjustment of film defectivity by deposition and post-oxidation temperature. • Reproducibility of target stoichiometry in the deposited films. • Lateral and vertical chemical homogeneity. - Abstract: Epitaxially grown, chemically homogeneous yttria-stabilized zirconia thin films (“YSZ”, 8 mol% Y{sub 2}O{sub 3}) are prepared by direct-current sputtering onto a single-crystalline NaCl(0 0 1) template at substrate temperatures ≥493 K, resulting in unsupported YSZ films after floating off NaCl in water. A combined methodological approach by dedicated (surface science) analytical characterization tools (transmission electron microscopy and diffraction, atomic force microscopy, angle-resolved X-ray photoelectron spectroscopy) reveals that the film grows mainly in a [0 0 1] zone axis and no Y-enrichment in surface or bulk regions takes place. In fact, the Y-content of the sputter target is preserved in the thin films. Analysis of the plasmon region in EEL spectra indicates a defective nature of the as-deposited films, which can be suppressed by post-deposition oxidation at 1073 K. This, however, induces considerable sintering, as deduced from surface morphology measurements by AFM. In due course, the so-prepared unsupported YSZ films might act as well-defined model systems also for technological applications.

  6. In-plane microwave dielectric properties of paraelectric barium strontium titanate thin films with anisotropic epitaxy

    Science.gov (United States)

    Simon, W. K.; Akdogan, E. K.; Safari, A.; Bellotti, J. A.

    2005-08-01

    In-plane dielectric properties of ⟨110⟩ oriented epitaxial (Ba0.60Sr0.40)TiO3 thin films in the thickness range from 25-1200nm have been investigated under the influence of anisotropic epitaxial strains from ⟨100⟩ NdGaO3 substrates. The measured dielectric properties show strong residual strain and in-plane directional dependence. Below 150nm film thickness, there appears to be a phase transition due to the anisotropic nature of the misfit strain relaxation. In-plane relative permittivity is found to vary from as much as 500-150 along [11¯0] and [001] respectively, in 600nm thick films, and from 75 to 500 overall. Tunability was found to vary from as much as 54% to 20% in all films and directions, and in a given film the best tunability is observed along the compressed axis in a mixed strain state, 54% along [11¯0] in the 600nm film for example.

  7. Strain quantification in epitaxial thin films

    International Nuclear Information System (INIS)

    Cushley, M

    2008-01-01

    Strain arising in epitaxial thin films can be beneficial in some cases but devastating in others. By altering the lattice parameters, strain may give a thin film properties hitherto unseen in the bulk material. On the other hand, heavily strained systems are prone to develop lattice defects in order to relieve the strain, which can cause device failure or, at least, a decrease in functionality. Using convergent beam electron diffraction (CBED) and high-resolution transmission electron microscopy (HRTEM), it is possible to determine local strains within a material. By comparing the results from CBED and HRTEM experiments, it is possible to gain a complete view of a material, including the strain and any lattice defects present. As well as looking at how the two experimental techniques differ from each other, I will also look at how results from different image analysis algorithms compare. Strain in Si/SiGe samples and BST/SRO/MgO capacitor structures will be discussed.

  8. Preparation of YBCO on YSZ layers deposited on silicon and sapphire by MOCVD: influence of the intermediate layer on the quality of the superconducting film

    International Nuclear Information System (INIS)

    Garcia, G.; Casado, J.; Llibre, J.; Doudkowski, M.; Santiso, J.; Figueras, A.; Schamm, S.; Dorignac, D.; Grigis, C.; Aguilo, M.

    1995-01-01

    YSZ buffer layers were deposited on silicon and sapphire by MOCVD. The layers deposited on silicon were highly oriented along [100] direction without in-plane orientation, probably because the existence of the SiO 2 amorphous interlayer. In contrast, epitaxial YSZ was obtained on (1-102) sapphire showing an in-plane texture defined by the following relationships: (100) YSZ // (1-102) sapphire and (110) YSZ // (01-12) sapphire. Subsequently, YBCO films were deposited on YSZ by MOCVD. Structural, morphological and electrical characterization of the superconducting layers were correlated with the in-plane texture of the buffer layers. (orig.)

  9. Bi-epitaxial YBa2Cu3Ox Thin Films on Tilted-axes NdGaO3 Substrates with CeO2 Seeding Layer

    International Nuclear Information System (INIS)

    Mozhaev, P B; Mozhaeva, J E; Jacobsen, C S; Hansen, J Bindslev; Bdikin, I K; Luzanov, V A; Kotelyanskii, I M; Zybtsev, S G

    2006-01-01

    Bi-epitaxial YBa 2 Cu 3 O x (YBCO) thin films with out-of-plane tilt angle in the range 18 - 27 0 were manufactured using pulsed laser deposition on NdGaO 3 tilted-axes substrates with CeO 2 seeding layers. The YBCO thin film orientation over the seeding layer depended on deposition conditions. Removal of the seeding layer from part of the substrate surface by ionbeam etching resulted in formation of a bi-epitaxial thin film with different c-axis orientation of two parts of the film. The bi-epitaxial film orientation and structure were studied using X-ray diffraction techniques, and surface morphology was observed with atomic force microscope (AFM). Photolithography and ion-beam etching techniques were used for patterning bi-epitaxial thin films. Electrical characterization of the obtained structures was performed

  10. Coercive force features in stressed epitaxial ferrite-garnet films

    International Nuclear Information System (INIS)

    Dubinko, S.V.; Nedviga, A.S.; Vishnevskij, V.G.; Shaposhnikov, A.N.; Yagupov, V.S.; Nesteruk, A.G.; Prokopov, A.R.

    2005-01-01

    One has investigated into effect of a relative mismatching of periods of lattices of a film and of a substrate within 0.5-0.85% range on behavior of the coercive force of (Bi, Sm, Lu, Ca) 3 (Fe, Sc, Ga, Al) 5 O 12 composition ferrite garnet epitaxial films (FGEF) synthesized at (111) orientation gadolinium-gallium garnet substrates. One has revealed that the FGEF coercive force at increase of the relative mismatching of periods of lattices of a film and of a substrate increases at first, while when reaching the maximum value it begins to decrease. The coercive force maximum value is shown to result from the periodical localized stresses. The period of the localized stresses is determined by the value of mismatching of periods of lattices of a film and of a substrate [ru

  11. Squid measurement of the Verwey transition on epitaxial (1 0 0) magnetite thin films

    International Nuclear Information System (INIS)

    Dediu, V.; Arisi, E.; Bergenti, I.; Riminucci, A.; Solzi, M.; Pernechele, C.; Natali, M.

    2007-01-01

    We report results on epitaxial magnetite (Fe 3 O 4 ) thin films grown by electron beam ablation on (1 0 0) MgAl 2 O 4 substrates. At 120 K magnetite undergoes a structural and electronic transition, the so-called Verwey transition, at which magnetic and conducting properties of the material change. We observed the Verwey transition on epitaxial films with a thickness of 50 nm by comparing zero-field cooling (ZFC) and field cooling (FC) curves measured with a superconducting quantum interference device (SQUID) magnetometer. Observation of the Verwey transition by SQUID measurements in the films is sign of their high crystalline quality. Room temperature ferromagnetism has also been found by magneto-optical Kerr rotation (MOKE) and confirmed by SQUID measurements, with a hysteresis loop showing a coercive field of hundreds of Oe

  12. Strain Induced Magnetism in SrRuO3 Epitaxial Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Grutter, A.; Wong, F.; Arenholz, E.; Liberati, M.; Suzuki, Y.

    2010-01-10

    Epitaxial SrRuO{sub 3} thin films were grown on SrTiO{sub 3}, (LaAlO{sub 3}){sub 0.3}(SrAlO{sub 3}){sub 0.7} and LaAlO{sub 3} substrates inducing different biaxial compressive strains. Coherently strained SrRuO{sub 3} films exhibit enhanced magnetization compared to previously reported bulk and thin film values of 1.1-1.6 {micro}{sub B} per formula unit. A comparison of (001) and (110) SrRuO{sub 3} films on each substrate indicates that films on (110) oriented have consistently higher saturated moments than corresponding (001) films. These observations indicate the importance of lattice distortions in controlling the magnetic ground state in this transitional metal oxide.

  13. Diamagnetism to ferromagnetism in Sr-substituted epitaxial BaTiO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu; Prater, John T. [Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Punugupati, Sandhyarani; Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2016-04-04

    We report on the ferromagnetic-like behavior in otherwise diamagnetic BaTiO{sub 3} (BTO) thin films upon doping with non-magnetic element Sr having the composition Ba{sub 0.4}Sr{sub 0.6}TiO{sub 3} (BST). The epitaxial integration of BST (∼800 nm) thick films on Si (100) substrate was achieved using MgO (40 nm) and TiN (20 nm) as buffer layers to prepare BST/MgO/TiN/Si (100) heterostructure by pulsed laser deposition. The c-axis oriented and cube-on-cube epitaxial BST is formed on Si (100) as evidenced by the in-plane and out-of-plane X-ray diffraction. All the deposited films are relaxed through domain matching epitaxy paradigm as observed from X-ray diffraction pattern and A{sub 1}TO{sub 3} mode (at 521.27 cm{sup −1}) of Raman spectra. As-deposited BST thin films reveal ferromagnetic-like properties, which persist up to 400 K. The magnetization decreases two-fold upon oxygen annealing. In contrast, as-deposited un-doped BTO films show diamagnetism. Electron spin resonance measurements reveal no evidence of external magnetic impurities. XRD and X-ray photoelectron spectroscopy spectra show significant changes influenced by Sr doping in BTO. The ferromagnetic-like behavior in BST could be due to the trapped electron donors from oxygen vacancies resulting from Sr-doping.

  14. Advanced fabrication method for the preparation of MOF thin films: Liquid-phase epitaxy approach meets spin coating method.

    KAUST Repository

    Chernikova, Valeriya

    2016-07-14

    Here we report a new and advanced method for the fabrication of highly oriented/polycrystalline metal-organic framework (MOF) thin films. Building on the attractive features of the liquid-phase epitaxy (LPE) approach, a facile spin coating method was implemented to generate MOF thin films in a high-throughput fashion. Advantageously, this approach offers a great prospective to cost-effectively construct thin-films with a significantly shortened preparation time and a lessened chemicals and solvents consumption, as compared to the conventional LPE-process. Certainly, this new spin-coating approach has been implemented successfully to construct various MOF thin films, ranging in thickness from a few micrometers down to the nanometer scale, spanning 2-D and 3-D benchmark MOF materials including Cu2(bdc)2•xH2O, Zn2(bdc)2•xH2O, HKUST-1 and ZIF-8. This method was appraised and proved effective on a variety of substrates comprising functionalized gold, silicon, glass, porous stainless steel and aluminum oxide. The facile, high-throughput and cost-effective nature of this approach, coupled with the successful thin film growth and substrate versatility, represents the next generation of methods for MOF thin film fabrication. Thereby paving the way for these unique MOF materials to address a wide range of challenges in the areas of sensing devices and membrane technology.

  15. Defects reduction of Ge epitaxial film in a germanium-on-insulator wafer by annealing in oxygen ambient

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2015-01-01

    Full Text Available A method to remove the misfit dislocations and reduce the threading dislocations density (TDD in the germanium (Ge epilayer growth on a silicon (Si substrate is presented. The Ge epitaxial film is grown directly on the Si (001 donor wafer using a “three-step growth” approach in a reduced pressure chemical vapour deposition. The Ge epilayer is then bonded and transferred to another Si (001 handle wafer to form a germanium-on-insulator (GOI substrate. The misfit dislocations, which are initially hidden along the Ge/Si interface, are now accessible from the top surface. These misfit dislocations are then removed by annealing the GOI substrate. After the annealing, the TDD of the Ge epilayer can be reduced by at least two orders of magnitude to <5 × 106 cm−2.

  16. Oxide film assisted dopant diffusion in silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Tin, Chin-Che, E-mail: cctin@physics.auburn.ed [Department of Physics, Auburn University, Alabama 36849 (United States); Mendis, Suwan [Department of Physics, Auburn University, Alabama 36849 (United States); Chew, Kerlit [Department of Electrical and Electronic Engineering, Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Kuala Lumpur (Malaysia); Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin [Physical Technical Institute, Uzbek Academy of Sciences, 700084 Tashkent (Uzbekistan); Atabaev, Bakhtiyar [Institute of Electronics, Uzbek Academy of Sciences, 700125 Tashkent (Uzbekistan); Adedeji, Victor [Department of Chemistry, Geology and Physics, Elizabeth City State University, North Carolina 27909 (United States); Rusli [School of Electrical and Electronic Engineering, Nanyang Technological University (Singapore)

    2010-10-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  17. Oxide film assisted dopant diffusion in silicon carbide

    International Nuclear Information System (INIS)

    Tin, Chin-Che; Mendis, Suwan; Chew, Kerlit; Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin; Atabaev, Bakhtiyar; Adedeji, Victor; Rusli

    2010-01-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  18. Epitaxial YBa2Cu3O7 films on rolled-textured metals for high temperature superconducting applications

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Prouteau, C.

    1998-04-01

    The epitaxial growth of high temperature superconducting (HTS) films on rolled-textured metal represents a viable approach for long-length superconducting tapes. Epitaxial, 0.5 microm thick YBa 2 Cu 3 O 7 (YBCO) films with critical current densities, J c , greater than 1 MA/cm 2 have been realized on rolled-textured (001) Ni tapes with yttria-stabilized zirconia (YSZ)/CeO 2 oxide buffer layers. This paper describes the synthesis using pulsed-laser deposition (PLD) of epitaxial oxide buffer layers on biaxially-textured metal that comprise the so-called rolling-assisted biaxially-textured substrates (RABiTs trademark). The properties of the buffer and YBa 2 Cu 3 O 7 films on rolled-textured Ni are discussed, with emphasis given to the crystallographic and microstructural properties that determine the superconducting properties of these multilayer structures

  19. Achievement report for fiscal 1997. Technological development for practical application of a solar energy power generation system/development of technology to manufacture thin film solar cells/development of technology to manufacture low-cost large-area modules/development of technology to manufacture next generation thin film solar cells (development of technology to manufacture applied type thin film solar cells with new construction); 1997 nendo tiayoko hatsuden system jitsuyoka gijutsu kaihatsu. Usumaku taiyo denchi no seizo gijutsu kaihatsu, tei cost daimenseki module esizo gijutsu kaihatsu (jisedai usumaku taiyo denchi no seizo gijutsu kaihatsu, oyogata shinkozo usumaku taiyo denchi no seizo gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    A thin film single crystal silicon solar cell module is developed, in which a porous silicon layer is formed on the surface of a long-sized single crystal silicon substrate, a single crystal silicon film is integrated on the layer by epitaxially growing the film thereon to form a solar cell, and the solar cell is peeled off from the silicon substrate and transferred to a plastic film substrate. The achievements during this fiscal year may be summarized as follows: simultaneous formation of a porous silicon layer on a silicon substrate, reduction of anode formation current density from 200 mA/cm{sup 2} to 10 mA/cm{sup 2}, development of a silicon epitaxial device using a carbon heater, and attainment of aperture conversion efficiency of 11.8% in a thin film single crystal silicon solar cell. Three kinds of methods were developed to peel off the solar cell. A method was developed to grind silicon substrate surface from which the solar cell has been peeled off. A technology was developed to obtain a long-sized silicon substrate of about 30 cm times 10 cm times 0.1 cm from a 4-inch silicon ingot by using a wire saw. (NEDO)

  20. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  1. Effect of epitaxial strain and lattice mismatch on magnetic and transport behaviors in metamagnetic FeRh thin films

    Science.gov (United States)

    Xie, Yali; Zhan, Qingfeng; Shang, Tian; Yang, Huali; Wang, Baomin; Tang, Jin; Li, Run-Wei

    2017-05-01

    We grew 80 nm FeRh films on different single crystals with various lattice constants. FeRh films on SrTiO3 (STO) and MgO substrates exhibit an epitaxial growth of 45° in-plane structure rotation. In contrast, FeRh on LaAlO3 (LAO) displays a mixed epitaxial growth of both 45° in-plane structure rotation and cube-on-cube relationships. Due to the different epitaxial growth strains and lattice mismatch values, the critical temperature for the magnetic phase transition of FeRh can be changed between 405 and 360 K. In addition, the external magnetic field can shift this critical temperature to low temperature in different rates for FeRh films grown on different substrates. The magnetoresistance appears a maximum value at different temperatures between 320 and 380 K for FeRh films grown on different substrates.

  2. Effect of epitaxial strain and lattice mismatch on magnetic and transport behaviors in metamagnetic FeRh thin films

    Directory of Open Access Journals (Sweden)

    Yali Xie

    2017-05-01

    Full Text Available We grew 80 nm FeRh films on different single crystals with various lattice constants. FeRh films on SrTiO3 (STO and MgO substrates exhibit an epitaxial growth of 45° in-plane structure rotation. In contrast, FeRh on LaAlO3 (LAO displays a mixed epitaxial growth of both 45° in-plane structure rotation and cube-on-cube relationships. Due to the different epitaxial growth strains and lattice mismatch values, the critical temperature for the magnetic phase transition of FeRh can be changed between 405 and 360 K. In addition, the external magnetic field can shift this critical temperature to low temperature in different rates for FeRh films grown on different substrates. The magnetoresistance appears a maximum value at different temperatures between 320 and 380 K for FeRh films grown on different substrates.

  3. Preparation of hcp-Ni(112-bar 0) epitaxial thin films on Au(100) single-crystal underlayers

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Tanaka, Takahiro; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    Ni epitaxial films with an hcp structure are successfully obtained on Au(100) single-crystal underlayers formed on MgO(100) substrates at temperatures lower than 300 0 C by molecular beam epitaxy. With increasing the substrate temperature, the volume ratio of more stable fcc phase inc r eases in the film. The Ni film prepared at 100 0 C consists primarily of hcp crystal with the (112-bar 0) plane parallel to the substrate surface coexisting with a small amount of fcc-Ni(100) crystal. The lattice constant of hcp-Ni crystal is determined as a = 0.249 nm, c = 0.398 nm, and c/a = 1.60.

  4. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  5. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kaspar, Tiffany C.; Hong, Seungbum; Bowden, Mark E.; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R.; Comes, Ryan B.; Ramuhalli, Pradeep; Henager, Charles H.

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200°C due to the low Curie temperature of the piezoelectric material. High temperature piezoelectric materials such as La2Ti2O7 (LTO) would facilitate the development of high-temperature sensors if the piezoelectric coupling coefficient could be maximized. We have deposited epitaxial LTO films on SrTiO3(001), SrTiO3(110), and rutile TiO2(110) substrates by pulsed laser deposition, and show that the crystalline orientation of the LTO film, and thus its piezoelectric coupling direction, can be controlled by epitaxial matching to the substrate. The structure and phase purity of the films were investigated by x-ray diffraction and scanning transmission electron microscopy. To characterize the piezoelectric properties, piezoresponse force microscopy was used to measure the in-plane and out-of-plane piezoelectric coupling in the films. We find that the strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric crystalline direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO2(110) results in epitaxial La2/3TiO3, an orthorhombic perovskite of interest as a microwave dielectric material. La2/3TiO3 can be difficult to stabilize in bulk form, and epitaxial deposition has not been previously reported. These results confirm that control of the crystalline orientation of LTO-based materials can increase the out-of-plane strength of its piezoelectric coupling, which can be exploited in piezoelectric devices.

  6. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  7. Controllable factors affecting the epitaxial quality of LaCoO 3 films ...

    Indian Academy of Sciences (India)

    treatment temperature and a relatively rapid rate of heating can effectively preventnucleus from growing in other orientations, thereby improving the epitaxial quality of film. Besides, the choice of spin speed will directly affect the thickness and ...

  8. Epitaxial Fe16N2 thin film on nonmagnetic seed layer

    Science.gov (United States)

    Hang, Xudong; Zhang, Xiaowei; Ma, Bin; Lauter, Valeria; Wang, Jian-Ping

    2018-05-01

    Metastable α″ -Fe16N2 has attracted much interest as a candidate for rare-earth-free hard magnetic materials. We demonstrate that Fe16N2 thin films were grown epitaxially on Cr seed layers with MgO (001) substrates by facing-target sputtering. Good crystallinity with the epitaxial relation MgO (001 )[110 ] ∥ Cr (001 )[100 ] ∥ Fe16N2 (001 )[100 ] was obtained. The chemical order parameter, which quantifies the degree of N ordering in the Fe16N2 (the N-disordered phase is α' -Fe8N martensite), reaches 0.75 for Cr-seeded samples. Cr has a perfect lattice constant match with Fe16N2, and no noticeable strain can be assigned to Fe16N2. The intrinsic saturation magnetization of this non-strained Fe16N2 thin film at room temperature is determined to be 2.31 T by polarized neutron reflectometry and confirmed with vibrating sample magnetometry. Our work provides a platform to directly study the magnetic properties of high purity Fe16N2 films with a high order parameter.

  9. Wet chemical deposition of single crystalline epitaxial manganite thin films with atomically flat surface

    International Nuclear Information System (INIS)

    Mishra, Amita; Dutta, Anirban; Samaddar, Sayanti; Gupta, Anjan K.

    2013-01-01

    We report the wet chemical deposition of single crystalline epitaxial thin films of the colossal magneto-resistive manganite La 0.67 Sr 0.33 MnO 3 on the lattice-matched (001)-face of a La 0.3 Sr 0.7 Al 0.65 Ta 0.35 O 3 substrate. Topographic images of these films taken with a scanning tunneling microscope show atomically flat terraces separated by steps of monatomic height. The resistivity of these films shows an insulator-metal transition at 310 K, nearly coincident with the Curie temperature of 340 K, found from magnetization measurements. The films show a magnetoresistance of 7% at 300 K and 1.2 T. Their saturation magnetization value at low temperatures is consistent with that of the bulk. - Highlights: ► Wet chemical deposition of La 0.67 Sr 0.33 MnO 3 (LSMO) on a lattice-matched substrate. ► Single crystalline epitaxial LSMO films obtained. ► Flat terraces separated by monatomic steps observed by scanning tunneling microscope

  10. Epitaxial growth of Sb-doped nonpolar a-plane ZnO thin films on r-plane sapphire substrates by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Hou-Guang, E-mail: houguang@isu.edu.tw [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China); Hung, Sung-Po [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China)

    2014-02-15

    Highlights: ► Sb-doped nonpolar a-plane ZnO layers were epitaxially grown on sapphire substrates. ► Crystallinity and electrical properties were studied upon growth condition and doping concentration. ► The out-of-plane lattice spacing of ZnO films reduces monotonically with increasing Sb doping level. ► The p-type conductivity of ZnO:Sb film is closely correlated with annealing condition and Sb doping level. -- Abstract: In this study, the epitaxial growth of Sb-doped nonpolar a-plane (112{sup ¯}0) ZnO thin films on r-plane (11{sup ¯}02) sapphire substrates was performed by radio-frequency magnetron sputtering. The influence of the sputter deposition conditions and Sb doping concentration on the microstructural and electrical properties of Sb-doped ZnO epitaxial films was investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM) and the Hall-effect measurement. The measurement of the XRD phi-scan indicated that the epitaxial relationship between the ZnO:Sb layer and sapphire substrate was (112{sup ¯}0){sub ZnO}//(11{sup ¯}02){sub Al{sub 2O{sub 3}}} and [11{sup ¯}00]{sub ZnO}//[112{sup ¯}0]{sub Al{sub 2O{sub 3}}}. The out-of-plane a-axis lattice parameter of ZnO films was reduced monotonically with the increasing Sb doping level. The cross-sectional transmission electron microscopy (XTEM) observation confirmed the absence of any significant antimony oxide phase segregation across the thickness of the Sb-doped ZnO epitaxial film. However, the epitaxial quality of the films deteriorated as the level of Sb dopant increased. The electrical properties of ZnO:Sb film are closely correlated with post-annealing conditions and Sb doping concentrations.

  11. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    Science.gov (United States)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  12. Magnetic x-ray dichroism in ultrathin epitaxial films

    Energy Technology Data Exchange (ETDEWEB)

    Tobin, J.G.; Goodman, K.W. [Lawrence Berkeley National Lab., CA (United States); Cummins, T.R. [Univ. of Missouri, Rolla, MO (United States)] [and others

    1997-04-01

    The authors have used Magnetic X-ray Linear Dichroism (MXLD) and Magnetic X-ray Circular Dichroism (MXCD) to study the magnetic properties of epitaxial overlayers in an elementally specific fashion. Both MXLD and MXCD Photoelectron Spectroscopy were performed in a high resolution mode at the Spectromicroscopy Facility of the ALS. Circular Polarization was obtained via the utilization of a novel phase retarder (soft x-ray quarter wave plate) based upon transmission through a multilayer film. The samples were low temperature Fe overlayers, magnetic alloy films of NiFe and CoNi, and Gd grown on Y. The authors results include a direct comparison of high resolution angle resolved Photoelectron Spectroscopy performed in MXLD and MXCD modes as well as structural studies with photoelectron diffraction.

  13. Magnetic x-ray dichroism in ultrathin epitaxial films

    International Nuclear Information System (INIS)

    Tobin, J.G.; Goodman, K.W.; Cummins, T.R.

    1997-01-01

    The authors have used Magnetic X-ray Linear Dichroism (MXLD) and Magnetic X-ray Circular Dichroism (MXCD) to study the magnetic properties of epitaxial overlayers in an elementally specific fashion. Both MXLD and MXCD Photoelectron Spectroscopy were performed in a high resolution mode at the Spectromicroscopy Facility of the ALS. Circular Polarization was obtained via the utilization of a novel phase retarder (soft x-ray quarter wave plate) based upon transmission through a multilayer film. The samples were low temperature Fe overlayers, magnetic alloy films of NiFe and CoNi, and Gd grown on Y. The authors results include a direct comparison of high resolution angle resolved Photoelectron Spectroscopy performed in MXLD and MXCD modes as well as structural studies with photoelectron diffraction

  14. Directed dewetting of amorphous silicon film by a donut-shaped laser pulse

    International Nuclear Information System (INIS)

    Yoo, Jae-Hyuck; Zheng, Cheng; Grigoropoulos, Costas P; In, Jung Bin; Sakellari, Ioanna; Raman, Rajesh N; Matthews, Manyalibo J; Elhadj, Selim

    2015-01-01

    Irradiation of a thin film with a beam-shaped laser is proposed to achieve site-selectively controlled dewetting of the film into nanoscale structures. As a proof of concept, the laser-directed dewetting of an amorphous silicon thin film on a glass substrate is demonstrated using a donut-shaped laser beam. Upon irradiation of a single laser pulse, the silicon film melts and dewets on the substrate surface. The irradiation with the donut beam induces an unconventional lateral temperature profile in the film, leading to thermocapillary-induced transport of the molten silicon to the center of the beam spot. Upon solidification, the ultrathin amorphous silicon film is transformed to a crystalline silicon nanodome of increased height. This morphological change enables further dimensional reduction of the nanodome as well as removal of the surrounding film material by isotropic silicon etching. These results suggest that laser-based dewetting of thin films can be an effective way for scalable manufacturing of patterned nanostructures. (paper)

  15. Directed dewetting of amorphous silicon film by a donut-shaped laser pulse.

    Science.gov (United States)

    Yoo, Jae-Hyuck; In, Jung Bin; Zheng, Cheng; Sakellari, Ioanna; Raman, Rajesh N; Matthews, Manyalibo J; Elhadj, Selim; Grigoropoulos, Costas P

    2015-04-24

    Irradiation of a thin film with a beam-shaped laser is proposed to achieve site-selectively controlled dewetting of the film into nanoscale structures. As a proof of concept, the laser-directed dewetting of an amorphous silicon thin film on a glass substrate is demonstrated using a donut-shaped laser beam. Upon irradiation of a single laser pulse, the silicon film melts and dewets on the substrate surface. The irradiation with the donut beam induces an unconventional lateral temperature profile in the film, leading to thermocapillary-induced transport of the molten silicon to the center of the beam spot. Upon solidification, the ultrathin amorphous silicon film is transformed to a crystalline silicon nanodome of increased height. This morphological change enables further dimensional reduction of the nanodome as well as removal of the surrounding film material by isotropic silicon etching. These results suggest that laser-based dewetting of thin films can be an effective way for scalable manufacturing of patterned nanostructures.

  16. Carrier mobilities in microcrystalline silicon films

    International Nuclear Information System (INIS)

    Bronger, T.; Carius, R.

    2007-01-01

    For a better understanding of electronic transport mechanisms in thin-film silicon solar cell quality films, we have investigated the Hall mobility for electrons in microcrystalline/amorphous silicon over a range of crystallinities and doping concentrations. We find that Hall mobility increases with increasing doping concentration in accordance with earlier measurements. With increasing amorphous fraction, the measured mobility decreases suggesting a negative influence of the additional disorder. The results suggest a differential mobility model in which mobility depends on the energy level of the carriers that contribute to the electrical current

  17. Preparation of hcp-Ni(112-bar 0) epitaxial thin films on Au(100) single-crystal underlayers

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru; Tanaka, Takahiro; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi, E-mail: ohtake@futamoto.elect.chuo-u.ac.j [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan)

    2010-01-01

    Ni epitaxial films with an hcp structure are successfully obtained on Au(100) single-crystal underlayers formed on MgO(100) substrates at temperatures lower than 300 {sup 0}C by molecular beam epitaxy. With increasing the substrate temperature, the volume ratio of more stable fcc phase inc{sub r}eases in the film. The Ni film prepared at 100 {sup 0}C consists primarily of hcp crystal with the (112-bar 0) plane parallel to the substrate surface coexisting with a small amount of fcc-Ni(100) crystal. The lattice constant of hcp-Ni crystal is determined as a = 0.249 nm, c = 0.398 nm, and c/a = 1.60.

  18. Thickness periodicity in the auger line shape from epitaxial (111)Cu films

    Energy Technology Data Exchange (ETDEWEB)

    Namba, Y; Vook, R W; Chao, S S

    1981-01-01

    The 61 eV MMM Cu Auger line doublet was recorded in the derivative mode as a function of thickness for epitaxial (111)Cu films approximately 1500 angstrom thick. The overlap of the doublet lines makes it possible to define a measure of the doublet profile called the ''R-factor'' as a ratio of the peak-to-peak heights of the small overlap oscillation to that of the major oscillation. To within the experimental error, it was found that the R-factor varies with a periodicity of approximately one monoatomic layer as the film thickens. Since these films grow by a layer growth mechaniism, the surface topography varies periodically with the number of monolayers deposited, going from a smooth to a rough to a smooth, etc. surface. It is believed that the occurrence of such a periodicity implies that there is a difference in the electronic structure at the surface of the flat areas of the film from that at the edges of monolayer high, flat islands. The amplitude of the oscillation in R is interpreted to be a measure of the relative amounts of edge area compared to flat area. These results show that it is possible to use Auger electron spectroscopy to monitor surface topography and the electronic structure changes that accompany the topographical changes occurring when epitaxial films grow by a layer growth mechanism.

  19. Critical thickness and strain relaxation in molecular beam epitaxy-grown SrTiO3 films

    International Nuclear Information System (INIS)

    Wang, Tianqi; Ganguly, Koustav; Marshall, Patrick; Xu, Peng; Jalan, Bharat

    2013-01-01

    We report on the study of the critical thickness and the strain relaxation in epitaxial SrTiO 3 film grown on (La 0.3 Sr 0.7 )(Al 0.65 Ta 0.35 )O 3 (001) (LSAT) substrate using the hybrid molecular beam epitaxy approach. No change in the film's lattice parameter (both the in-plane and the out-of-plane) was observed up to a film thickness of 180 nm, which is in sharp contrast to the theoretical critical thickness of ∼12 nm calculated using the equilibrium theory of strain relaxation. For film thicknesses greater than 180 nm, the out-of-plane lattice parameter was found to decrease hyperbolically in an excellent agreement with the relaxation via forming misfit dislocations. Possible mechanisms are discussed by which the elastic strain energy can be accommodated prior to forming misfit dislocations leading to such anomalously large critical thickness

  20. Kerfless epitaxial silicon wafers with 7 ms carrier lifetimes and a wide lift-off process window

    Science.gov (United States)

    Gemmel, Catherin; Hensen, Jan; David, Lasse; Kajari-Schröder, Sarah; Brendel, Rolf

    2018-04-01

    Silicon wafers contribute significantly to the photovoltaic module cost. Kerfless silicon wafers that grow epitaxially on porous silicon (PSI) and are subsequently detached from the growth substrate are a promising lower cost drop-in replacement for standard Czochralski (Cz) wafers. However, a wide technological processing window appears to be a challenge for this process. This holds in particularly for the etching current density of the separation layer that leads to lift-off failures if it is too large or too low. Here we present kerfless PSI wafers of high electronic quality that we fabricate on weakly reorganized porous Si with etch current densities varying in a wide process window from 110 to 150 mA/cm2. We are able to detach all 17 out of 17 epitaxial wafers. All wafers exhibit charge carrier lifetimes in the range of 1.9 to 4.3 ms at an injection level of 1015 cm-3 without additional high-temperature treatment. We find even higher lifetimes in the range of 4.6 to 7.0 ms after applying phosphorous gettering. These results indicate that a weak reorganization of the porous layer can be beneficial for a large lift-off process window while still allowing for high carrier lifetimes.

  1. Misfit strain-film thickness phase diagrams and related electromechanical properties of epitaxial ultra-thin lead zirconate titanate films

    Energy Technology Data Exchange (ETDEWEB)

    Qiu, Q.Y.; Mahjoub, R. [School of Materials Science and Engineering, University of New South Wales, Sydney, NSW 2052 (Australia); Alpay, S.P. [Materials Science and Engineering Program and Institute of Materials Science, University of Connecticut, Storrs, CT 06269 (United States); Nagarajan, V., E-mail: nagarajan@unsw.edu.au [School of Materials Science and Engineering, University of New South Wales, Sydney, NSW 2052 (Australia)

    2010-02-15

    The phase stability of ultra-thin (0 0 1) oriented ferroelectric PbZr{sub 1-x}Ti{sub x}O{sub 3} (PZT) epitaxial thin films as a function of the film composition, film thickness, and the misfit strain is analyzed using a non-linear Landau-Ginzburg-Devonshire thermodynamic model taking into account the electrical and mechanical boundary conditions. The theoretical formalism incorporates the role of the depolarization field as well as the possibility of the relaxation of in-plane strains via the formation of microstructural features such as misfit dislocations at the growth temperature and ferroelastic polydomain patterns below the paraelectric-ferroelectric phase transformation temperature. Film thickness-misfit strain phase diagrams are developed for PZT films with four different compositions (x = 1, 0.9, 0.8 and 0.7) as a function of the film thickness. The results show that the so-called rotational r-phase appears in a very narrow range of misfit strain and thickness of the film. Furthermore, the in-plane and out-of-plane dielectric permittivities {epsilon}{sub 11} and {epsilon}{sub 33}, as well as the out-of-plane piezoelectric coefficients d{sub 33} for the PZT thin films, are computed as a function of misfit strain, taking into account substrate-induced clamping. The model reveals that previously predicted ultrahigh piezoelectric coefficients due to misfit-strain-induced phase transitions are practically achievable only in an extremely narrow range of film thickness, composition and misfit strain parameter space. We also show that the dielectric and piezoelectric properties of epitaxial ferroelectric films can be tailored through strain engineering and microstructural optimization.

  2. Epitaxial growth of topological insulator Bi{sub 2}Se{sub 3} film on Si(111) with atomically sharp interface

    Energy Technology Data Exchange (ETDEWEB)

    Bansal, Namrata [Department of Electrical and Computer Engineering, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Kim, Yong Seung [Graphene Research Institute, Sejong University, Seoul 143-747 (Korea, Republic of); Edrey, Eliav; Brahlek, Matthew; Horibe, Yoichi [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Iida, Keiko; Tanimura, Makoto [Research Department, Nissan Arc, Ltd. Yokosuka, Kanagawa 237-0061 (Japan); Li Guohong; Feng Tian; Lee, Hang-Dong; Gustafsson, Torgny; Andrei, Eva [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Oh, Seongshik, E-mail: ohsean@physics.rutgers.edu [Department of Physics and Astronomy, Rutgers, the State University of New Jersey, Piscataway, NJ 08854 (United States)

    2011-10-31

    Atomically sharp epitaxial growth of Bi{sub 2}Se{sub 3} films is achieved on Si(111) substrate with molecular beam epitaxy. Two-step growth process is found to be a key to achieve interfacial-layer-free epitaxial Bi{sub 2}Se{sub 3} films on Si substrates. With a single-step high temperature growth, second phase clusters are formed at an early stage. On the other hand, with low temperature growth, the film tends to be disordered even in the absence of a second phase. With a low temperature initial growth followed by a high temperature growth, second-phase-free atomically sharp interface is obtained between Bi{sub 2}Se{sub 3} and Si substrate, as verified by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM) and X-ray diffraction. The lattice constant of Bi{sub 2}Se{sub 3} is observed to relax to its bulk value during the first quintuple layer according to RHEED analysis, implying the absence of strain from the substrate. TEM shows a fully epitaxial structure of Bi{sub 2}Se{sub 3} film down to the first quintuple layer without any second phase or an amorphous layer.

  3. Epitaxial growth of "infinite layer” thin films and multilayers by rf magnetron sputtering

    OpenAIRE

    Fàbrega, L.; Koller, E.; Triscone, J. M.; Fischer, Ø.

    2017-01-01

    We report on the preparation and characterization of epitaxial ACuO2 (A = Sr, Ca, Ba) thin films and multilayers with the so- called infinite layer (IL) structure, by rf magnetron sputtering. Films and multilayers without Ba have a remarkable crystal quality, whereas those containing this large ion are often multiphased and unstable. In spite of the excellent crystalline quality of these samples, obtaining thin films having both IL structure and displaying superconducting properties has not s...

  4. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  5. Epitaxially Grown Films of Standing and Lying Pentacene Molecules on Cu(110) Surfaces

    Science.gov (United States)

    2011-01-01

    Here, it is shown that pentacene thin films (30 nm) with distinctively different crystallographic structures and molecular orientations can be grown under essentially identical growth conditions in UHV on clean Cu(110) surfaces. By X-ray diffraction, we show that the epitaxially oriented pentacene films crystallize either in the “thin film” phase with standing molecules or in the “single crystal” structure with molecules lying with their long axes parallel to the substrate. The morphology of the samples observed by atomic force microscopy shows an epitaxial alignment of pentacene crystallites, which corroborates the molecular orientation observed by X-ray diffraction pole figures. Low energy electron diffraction measurements reveal that these dissimilar growth behaviors are induced by subtle differences in the monolayer structures formed by slightly different preparation procedures. PMID:21479111

  6. Properties of a radiation-induced charge multiplication region in epitaxial silicon diodes

    CERN Document Server

    Lange, Jörn; Fretwurst, Eckhart; Klanner, Robert; Lindström, Gunnar

    2010-01-01

    Charge multiplication (CM) in p$^+$n epitaxial silicon pad diodes of 75, 100 and 150 $\\upmu$m thickness at high voltages after proton irradiation with 1 MeV neutron equivalent fluences in the order of $10^{16}$ cm$^{-2}$ was studied as an option to overcome the strong trapping of charge carriers in the innermost tracking region of future Super-LHC detectors. Charge collection efficiency (CCE) measurements using the Transient Current Technique (TCT) with radiation of different penetration (670, 830, 1060 nm laser light and $\\alpha$-particles with optional absorbers) were used to locate the CM region close to the p$^+$-implantation. The dependence of CM on material, thickness of the epitaxial layer, annealing and temperature was studied. The collected charge in the CM regime was found to be proportional to the deposited charge, uniform over the diode area and stable over a period of several days. Randomly occurring micro discharges at high voltages turned out to be the largest challenge for operation of the dio...

  7. Epitaxially influenced boundary layer model for size effect in thin metallic films

    International Nuclear Information System (INIS)

    Bazant, Zdenek P.; Guo Zaoyang; Espinosa, Horacio D.; Zhu Yong; Peng Bei

    2005-01-01

    It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films

  8. Epitaxially influenced boundary layer model for size effect in thin metallic films

    Science.gov (United States)

    Bažant, Zdeněk P.; Guo, Zaoyang; Espinosa, Horacio D.; Zhu, Yong; Peng, Bei

    2005-04-01

    It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films.

  9. Study of epitaxial YBa2Cu3Ox films

    International Nuclear Information System (INIS)

    Lee, S.G.; Chi, C.C.; Koren, G.; Gupta, A.; Segmuller, A.

    1990-01-01

    In this paper, the authors present a systematic study of epitaxial YBa 2 Cu 3 O x films laser ablated on Y-cut LiNbO 3 substrates. X-ray diffraction pattern indicates that the c-axis is perpendicular to the substrate plane and the (110) direction of the film is parallel to the (110) of the substrate with two domains with the (110) as a mirror plane. Resistivity of the film shows a typical metallic behavior in the normal state with a sharp transition at 92K. The effects of oxygen deficiency on the resistivity are also studied. Oxygen content is controlled by annealing the sample either in low oxygen pressure or in vacuum and estimated from the c-axis lattice parameter determined by X-ray diffraction. As oxygen is depleted gradually, the film resistivity shows metallic, semiconducting, and eventually insulating behaviors. Superconducting percolation phenomenon is observed for the semiconducting sample at low temperatures

  10. Pulsed laser deposition of epitaxial yttrium iron garnet films with low Gilbert damping and bulk-like magnetization

    Directory of Open Access Journals (Sweden)

    M. C. Onbasli

    2014-10-01

    Full Text Available Yttrium iron garnet (YIG, Y 3Fe5O12 films have been epitaxially grown on Gadolinium Gallium Garnet (GGG, Gd3Ga5O12 substrates with (100 orientation using pulsed laser deposition. The films were single-phase, epitaxial with the GGG substrate, and the root-mean-square surface roughness varied between 0.14 nm and 0.2 nm. Films with thicknesses ranging from 17 to 200 nm exhibited low coercivity (<2 Oe, near-bulk room temperature saturation moments (∼135 emu cm−3, in-plane easy axis, and damping parameters as low as 2.2 × 10−4. These high quality YIG thin films are useful in the investigation of the origins of novel magnetic phenomena and magnetization dynamics.

  11. Thin film silicon photovoltaics: Architectural perspectives and technological issues

    Energy Technology Data Exchange (ETDEWEB)

    Mercaldo, Lucia Vittoria; Addonizio, Maria Luisa; Noce, Marco Della; Veneri, Paola Delli; Scognamiglio, Alessandra; Privato, Carlo [ENEA, Portici Research Center, Piazzale E. Fermi, 80055 Portici (Napoli) (Italy)

    2009-10-15

    Thin film photovoltaics is a particularly attractive technology for building integration. In this paper, we present our analysis on architectural issues and technological developments of thin film silicon photovoltaics. In particular, we focus on our activities related to transparent and conductive oxide (TCO) and thin film amorphous and microcrystalline silicon solar cells. The research on TCO films is mainly dedicated to large-area deposition of zinc oxide (ZnO) by low pressure-metallorganic chemical vapor deposition. ZnO material, with a low sheet resistance (<8 {omega}/sq) and with an excellent transmittance (>82%) in the whole wavelength range of photovoltaic interest, has been obtained. ''Micromorph'' tandem devices, consisting of an amorphous silicon top cell and a microcrystalline silicon bottom cell, are fabricated by using the very high frequency plasma enhanced chemical vapor deposition technique. An initial efficiency of 11.1% (>10% stabilized) has been obtained. (author)

  12. Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer

    International Nuclear Information System (INIS)

    Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2010-01-01

    Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.

  13. Thin film structures and phase stability

    International Nuclear Information System (INIS)

    Clemens, B.M.; Johnson, W.L.

    1990-01-01

    This was a two day symposium, with invited and contributed papers as well as an evening poster session. The first day concentrated on solid state reactions with invited talks by Lindsay Greer from the University of Cambridge, King Tu from IBM Yorktown Heights, and Carl Thompson from MIT. Professor Greer observed that the diffusion of Zr is 10 6 times slower than that of Ni in amorphous NiZr, confirming that Ni is the mobile species in solid state amorphization. King Tu explained the formation of metastable phases in this film diffusion couples by the concept of maximum rate of free energy change. Carl Thompson discussed the formation of amorphous phases in metal silicon systems, and discussed a two stage nucleation and growth process. The contributed papers also generated discussion on topics such as phase segregation, amorphous silicide formation, room temperature oxidation of silicon, and nucleation during ion beam irradiation. There was a lively poster session on Monday evening with papers on a wide variety of topics covering the general area of thin film science. The second day had sessions Epitaxy and Multilayer Structure I and II, with the morning focussing on epitaxial and heteroepitaxial growth of thin films. Robin Farrow of IBM Almaden led off with an invited talk where he reported on some remarkable success he and his co-workers have had in growing single crystal epitaxial thin films and superlattices of silver, iron, cobalt and platinum on GaAs. This was followed by several talks on epitaxial growth and characterization. The afternoon focused on interfaces and structure of multilayered materials. A session on possible stress origins of the supermodulus effect was highlighted by lively interaction from the audience. Most of the papers presented at the symposium are presented in this book

  14. The fabrication of quantum wires in silicon utilising the characteristics of solid phase epitaxial regrowth of crystalline silicon

    International Nuclear Information System (INIS)

    Liu, A.C.Y.; McCallum, J.C.

    1998-01-01

    The process of solid phase epitaxy (SPE) in semiconductor materials is one which has been intensively researched due to possible applications in the semiconductor industry. SPE is a solid phase transformation, in which an amorphous layer can be recrystallized either through heating or a combination of heating and ion bombardment. The transformation is believed to occur exclusively at the interface between the amorphous and crystalline layers, with individual atoms from the amorphous phase being incorporated into the crystalline phase by some point defect mechanism. The process has been observed to follow an Arrhenius temperature dependence. A wafer silicon was subjected to a multi-energy silicon implant through a fine nickel grid to amorphise region to a depth of 5μm creating an array of amorphous wells. Metal impurity atoms were then implanted in this region at energy of 500 keV. Samples were examined using an optical microscope and the Alphastep profiler at RMIT. It was confirmed that burgeoning wells were about 2 μm wide and rose about 0.01 μm above the silicon substrate

  15. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  16. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films.

    Science.gov (United States)

    Kaspar, Tiffany C; Hong, Seungbum; Bowden, Mark E; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R; Comes, Ryan B; Ramuhalli, Pradeep; Henager, Charles H

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200 °C due to the low Curie temperature of the piezoelectric material. Strengthening the piezoelectric coupling of high-temperature piezoelectric materials, such as La 2 Ti 2 O 7 (LTO), would allow sensors to operate across a broad temperature range. The crystalline orientation and piezoelectric coupling direction of LTO thin films can be controlled by epitaxial matching to SrTiO 3 (001), SrTiO 3 (110), and rutile TiO 2 (110) substrates via pulsed laser deposition. The structure and phase purity of the films are investigated by x-ray diffraction and scanning transmission electron microscopy. Piezoresponse force microscopy is used to measure the in-plane and out-of-plane piezoelectric coupling in the films. The strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO 2 (110) results in epitaxial La 2/3 TiO 3 , an orthorhombic perovskite of interest as a microwave dielectric material and an ion conductor. La 2/3 TiO 3 can be difficult to stabilize in bulk form, and epitaxial stabilization on TiO 2 (110) is a promising route to realize La 2/3 TiO 3 for both fundamental studies and device applications. Overall, these results confirm that control of the crystalline orientation of epitaxial LTO-based materials can govern the resulting functional properties.

  17. Resistivity Effects of Cation Ordering in Highly-Doped La2-xSrxCu4 Epitaxial Thin Films

    Science.gov (United States)

    Burquest, Franklin; Marmol, Rodrigo; Cox, Nicholas; Nelson-Cheeseman, Brittany

    Highly-doped La2-xSrxCuO4 (LSCO) films (0.5 causes internal polar electrostatic forces, which have been shown to cause stretching of the apical oxygen bond in analogous epitaxial nickelate films. Thin film samples are grown concurrently to minimize extraneous effects on film structure and properties. Atomic force microscopy and x-ray reflectivity demonstrate that the films are single crystalline, epitaxial, and smooth. X-ray diffraction is used to measure the c-axis of the films as a function of doping and dopant cation ordering. Electrical transport data of the ordered samples is compared with transport data of conventional disordered cation samples. Preliminary data indicates significant differences in resistivity at both 300K and 10K between the cation-ordered and cation-disordered samples. This work indicates that dopant cation ordering within the layered cuprates could significantly modify the conduction mechanisms at play in these materials.

  18. Epitaxial graphene electronic structure and transport

    International Nuclear Information System (INIS)

    De Heer, Walt A; Berger, Claire; Wu Xiaosong; Sprinkle, Mike; Hu Yike; Ruan Ming; First, Phillip N; Stroscio, Joseph A; Haddon, Robert; Piot, Benjamin; Faugeras, Clement; Potemski, Marek; Moon, Jeong-Sun

    2010-01-01

    Since its inception in 2001, the science and technology of epitaxial graphene on hexagonal silicon carbide has matured into a major international effort and is poised to become the first carbon electronics platform. A historical perspective is presented and the unique electronic properties of single and multilayered epitaxial graphenes on electronics grade silicon carbide are reviewed. Early results on transport and the field effect in Si-face grown graphene monolayers provided proof-of-principle demonstrations. Besides monolayer epitaxial graphene, attention is given to C-face grown multilayer graphene, which consists of electronically decoupled graphene sheets. Production, structure and electronic structure are reviewed. The electronic properties, interrogated using a wide variety of surface, electrical and optical probes, are discussed. An overview is given of recent developments of several device prototypes including resistance standards based on epitaxial graphene quantum Hall devices and new ultrahigh frequency analogue epitaxial graphene amplifiers.

  19. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    Energy Technology Data Exchange (ETDEWEB)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B

    2003-04-15

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T{sub S}=450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal {beta}-MoSi{sub 2} could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet.

  20. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    International Nuclear Information System (INIS)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B.

    2003-01-01

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T S =450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal β-MoSi 2 could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet

  1. Transformation behaviour of freestanding epitaxial Ni–Mn–Ga films

    International Nuclear Information System (INIS)

    Yeduru, S.R.; Backen, A.; Fähler, S.; Schultz, L.; Kohl, M.

    2013-01-01

    Highlights: ► The complex martensite microstructure of free-standing epitaxial Ni–Mn–Ga films. ► A two-stage transformation in the temperature range between 40 °C and 160 °C. ► Temperature dependent mechanical properties of free-standing Ni–Mn–Ga films. ► With increasing temperature, the twinning stress decreases due to thermal activation of twin boundaries. ► Large superplastic strain increases from about 10% at 110 °C to 14% at RT. -- Abstract: We analyze the transformation behaviour of a 2 μm thick epitaxial Ni–Mn–Ga film by combining temperature dependent measurements of magnetization, electrical resistance, X-ray diffraction (XRD) and tensile stress–strain characteristics. While the magnetization measurements hint for a simple austenite–martensite transformation below the Curie temperature at about 90 °C, resistivity measurements reveal a two-stage transformation in the temperature regimes (I) of 40–80 °C and (II) of 140–160 °C. XRD and pseudoplastic behaviour prove the presence of martensite well above the Curie point. The combination of four independent methods suggests that the transformation at (II) may originate from a weakly first order transformation followed by an intermartensitic transformation at (I). This interpretation is in line with the large superplastic strain observed for the tensile direction parallel to the [1 0 0] direction of the Ni–Mn–Ga unit cell. The strain increases from about 10% at 110 °C to 14% at room temperature suggesting an increase in tetragonal distortion

  2. Dynamic nonlinearity in epitaxial BaTiO.sub.3./sub. films

    Czech Academy of Sciences Publication Activity Database

    Tyunina, Marina; Savinov, Maxim

    2016-01-01

    Roč. 94, č. 5 (2016), 1-6, č. článku 054109. ISSN 2469-9950 R&D Projects: GA ČR GA15-15123S Institutional support: RVO:68378271 Keywords : dynamic nonlinearity * epitaxial * BaTiO 3 films Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.836, year: 2016

  3. Epitaxial growth of rhenium with sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)

    2006-02-21

    We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.

  4. Development of thin pixel detectors on epitaxial silicon for HEP experiments

    International Nuclear Information System (INIS)

    Boscardin, Maurizio; Calvo, Daniela; Giacomini, Gabriele; Wheadon, Richard; Ronchin, Sabina; Zorzi, Nicola

    2013-01-01

    The foreseen luminosity of the new experiments in High Energy Physics will require that the innermost layer of vertex detectors will be able to sustain fluencies up to 10 16 n eq /cm 2 . Moreover, in many experiments there is a demand for the minimization of the material budget of the detectors. Therefore, thin pixel devices fabricated on n-type silicon are a natural choice to fulfill these requirements due to their rad-hard performances and low active volume. We present an R and D activity aimed at developing a new thin hybrid pixel device in the framework of PANDA experiments. The detector of this new device is a p-on-n pixel sensor realized starting from epitaxial silicon wafers and back thinned up to 50–100 μm after process completion. We present the main technological steps and some electrical characterization on the fabricated devices before and after back thinning and after bump bonding to the front-end electronics

  5. Development of thin pixel detectors on epitaxial silicon for HEP experiments

    Energy Technology Data Exchange (ETDEWEB)

    Boscardin, Maurizio, E-mail: boscardi@fbk.eu [FBK, CMM, Via Sommarive 18, I-38123 Povo, Trento (Italy); Calvo, Daniela [INFN and Dipartimento di Fisica, Università di Torino, Via Pietro Giuria, I-10125 Torino (Italy); Giacomini, Gabriele [FBK, CMM, Via Sommarive 18, I-38123 Povo, Trento (Italy); Wheadon, Richard [INFN and Dipartimento di Fisica, Università di Torino, Via Pietro Giuria, I-10125 Torino (Italy); Ronchin, Sabina; Zorzi, Nicola [FBK, CMM, Via Sommarive 18, I-38123 Povo, Trento (Italy)

    2013-08-01

    The foreseen luminosity of the new experiments in High Energy Physics will require that the innermost layer of vertex detectors will be able to sustain fluencies up to 10{sup 16} n{sub eq}/cm{sup 2}. Moreover, in many experiments there is a demand for the minimization of the material budget of the detectors. Therefore, thin pixel devices fabricated on n-type silicon are a natural choice to fulfill these requirements due to their rad-hard performances and low active volume. We present an R and D activity aimed at developing a new thin hybrid pixel device in the framework of PANDA experiments. The detector of this new device is a p-on-n pixel sensor realized starting from epitaxial silicon wafers and back thinned up to 50–100 μm after process completion. We present the main technological steps and some electrical characterization on the fabricated devices before and after back thinning and after bump bonding to the front-end electronics.

  6. Metallic transport and large anomalous Hall effect at room temperature in ferrimagnetic Mn4N epitaxial thin film

    International Nuclear Information System (INIS)

    Shen, Xi; Shigematsu, Kei; Chikamatsu, Akira; Fukumura, Tomoteru; Hirose, Yasushi; Hasegawa, Tetsuya

    2014-01-01

    We report the electrical transport properties of ferrimagnetic Mn 4 N (001) epitaxial thin films grown by pulsed laser deposition on MgO (001) substrates. The Mn 4 N thin films were tetragonally distorted with a ratio of out-of-plane to in-plane lattice constants of 0.987 and showed perpendicular magnetic anisotropy with an effective magnetic anisotropy constant of 0.16 MJ/m 3 , which is comparable with that of a recently reported molecular-beam-epitaxy-grown film. The thin films exhibited metallic transport with a room temperature resistivity of 125 μΩ cm in addition to a large anomalous Hall effect with a Hall angle tangent of 0.023.

  7. Improved vertical MOSFET performance using an epitaxial channel and a stacked silicon-insulator structure

    International Nuclear Information System (INIS)

    Uchino, T; Gili, E; Ashburn, P; Tan, L; Buiu, O; Hall, S

    2012-01-01

    A vertical MOSFET (VMOST) incorporating an epitaxial channel and a drain junction in a stacked silicon-insulator structure is presented. In this device structure, an oxide layer near the drain junction edge (referred to as a junction stop) acts as a dopant diffusion barrier and consequently a shallow drain junction is formed to suppress short channel effects. To investigate the scalability of this device, a simulation study in the sub-100 nm regime calibrated to measured results on the fabricated devices is carried out. The use of an epitaxial channel delivers 50% higher drive current due to the higher mobility of the retrograde channel and the junction stop structure delivers improvements of threshold voltage roll-off and drain-induced barrier lowering compared with a conventional VMOST. (fast track communication)

  8. THE IMPACT OF THE METHOD OF UNDERLAY SURFACE PROCESSING ON THE DEVELOPMENT OF DEFECTS IN EPITAXIAL COMPOSITIONS IN THE COURSE OF SILICON PHOTO-TRANSDUCERS PRODUCTION

    Directory of Open Access Journals (Sweden)

    Zoya Nikonova

    2017-06-01

    Full Text Available For the production of silicon photo-transducers (PhT the acquisition of epitaxial compositions (EC with high resistivity of working layer. One of the main parameters characterizing the quality of EC is the density of dislocation and other structural defects. Great impact on the development of defects during epitaxial growth is produced by the quality of underlay preparation before that. Multiple research of relatively thin (less than 20-30 microns epitaxial layers demonstrated, that contamination or damages of underlay surface cause the development of defects of wrapping, counterparts, macroscopic protuberances in the growing layer. During inverted epitaxy there are no high requirements as for structural perfection of epitaxial layer as far as in PhT, produced on the basis of EC for which inverted silicon structures (ISS serve with the working layer of mono-crystal substrate. Therefore in inverted epitaxy it is the problem of the development in the course of defects growth not in epitaxial layer, but in underlay, that becomes the major one. The processes of the development of defects in underlay in the course of growing thick (approximately 300 microns epitaxial layer are scarcely researched by now. Scientists sustained the idea that when using dislocation-free underlays for growing in the working layer of ISS there are dislocations with the density of 103 sm-2 and more. Thus, investigation of the factors that determine the development of dislocations in underlay in the process of epitaxy, has now gained great practical value.

  9. Quantum Hall effect in epitaxial graphene with permanent magnets.

    Science.gov (United States)

    Parmentier, F D; Cazimajou, T; Sekine, Y; Hibino, H; Irie, H; Glattli, D C; Kumada, N; Roulleau, P

    2016-12-06

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  10. Quantum Hall effect in epitaxial graphene with permanent magnets

    Science.gov (United States)

    Parmentier, F. D.; Cazimajou, T.; Sekine, Y.; Hibino, H.; Irie, H.; Glattli, D. C.; Kumada, N.; Roulleau, P.

    2016-12-01

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  11. Crystallography and Growth of Epitaxial Oxide Films for Fundamental Studies of Cathode Materials Used in Advanced Li-Ion Batteries

    Directory of Open Access Journals (Sweden)

    Leonid A. Bendersky

    2017-05-01

    Full Text Available Li-ion battery systems, synthesized as epitaxial thin films, can provide powerful insights into their electrochemical processes. Crystallographic analysis shows that many important cathode oxides have an underlying similarity: their structures can be considered as different ordering schemes of Li and transition metal ions within a pseudo-cubic sublattice of oxygen anions arranged in a face-center cubic (FCC fashion. This oxygen sublattice is compatible with SrTiO3 and similar perovskite oxides, thus perovskites can be used as supporting substrates for growing epitaxial cathode films. The predicted epitaxial growth and crystallographic relations were experimentally verified for different oxide films deposited by pulsed laser deposition (PLD on SrTiO3 or SrRuO3/SrTiO3 of different orientations. The results based on cross-sectional high-resolution TEM of the following films are presented in the paper: (a trigonal LiCoO2; (b orthorhombic LiMnO2; (c monoclinic Li2MnO3; (d compositionally-complex monoclinic Li1.2Mn0.55Ni0.15Co0.1O2. All results demonstrated the feasibility of epitaxial growth for these materials, with the growth following the predicted cube-on-cube orientation relationship between the cubic and pseudo-cubic oxygen sublattices of a substrate and a film, respectively.

  12. Epitaxy physical principles and technical implementation

    CERN Document Server

    Herman, Marian A; Sitter, Helmut

    2004-01-01

    Epitaxy provides readers with a comprehensive treatment of the modern models and modifications of epitaxy, together with the relevant experimental and technological framework. This advanced textbook describes all important aspects of the epitaxial growth processes of solid films on crystalline substrates, including a section on heteroepitaxy. It covers and discusses in details the most important epitaxial growth techniques, which are currently widely used in basic research as well as in manufacturing processes of devices, namely solid-phase epitaxy, liquid-phase epitaxy, vapor-phase epitaxy, including metal-organic vapor-phase epitaxy and molecular-beam epitaxy. Epitaxy’s coverage of science and texhnology thin-film is intended to fill the need for a comprehensive reference and text examining the variety of problems related to the physical foundations and technical implementation of epitaxial crystallization. It is intended for undergraduate students, PhD students, research scientists, lecturers and practic...

  13. Microstructure of Co/X (X=Cu,Ag,Au) epitaxial thin films grown on Al2O3(0001) substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Akita, Yuta; Futamoto, Masaaki; Kirino, Fumiyoshi

    2007-01-01

    Epitaxial thin films of Co/X (X=Cu,Ag,Au) were prepared on Al 2 O 3 (0001) substrates at substrate temperatures of 100 and 300 degree sign C by UHV molecular beam epitaxy. A complicated microstructure was realized for the epitaxial thin films. In-situ reflection high-energy electron diffraction observation has shown that X atoms of the buffer layer segregated to the surface during Co layer deposition, and it yielded a unique epitaxial granular structure. The structure consists of small Co grains buried in the X buffer layer, where both the magnetic small Co grains and the nonmagnetic X layer are epitaxially grown on the single crystal substrate. The structure varied depending on the X element and the substrate temperature. The crystal structure of Co grains is influenced by the buffer layer material and determined to be hcp and fcc structures for the buffer layer materials of Au and Cu, respectively

  14. Quantized dissipation and random telegraph voltage noise in epitaxial BiSrCaCuO thin films

    International Nuclear Information System (INIS)

    Jung, G.; Savo, B.; Vecchione, A.

    1993-01-01

    In this paper we report on the observation of correlated multiple-voltage RTN switching in high quality epitaxial BiSrCaCuO thin film. We ascribe the correlated noise to the quantization of flux flow dissipation in the film. (orig.)

  15. Hetero-epitaxial growth of TiC films on MgO(001) at 100 °C by DC reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Braic, M.; Zoita, N.C.; Danila, M.; Grigorescu, C.E.A.; Logofatu, C.

    2015-01-01

    Hetero-epitaxial TiC thin films were deposited at 100 °C on MgO(001) by DC reactive magnetron sputtering in a mixture of Ar and CH 4 . The 62 nm thick films were analyzed for elemental composition and chemical bonding by Auger electron spectroscopy, X-ray photoelectron spectroscopy and micro-Raman spectroscopy. The crystallographic structure investigation by high resolution X-ray diffraction revealed that the films consist of two layers: an interface partially strained epilayer with high crystalline quality, and a relaxed layer, formed by columnar grains, maintaining the epitaxial relationship with the substrate. The films presented smooth surfaces (RMS roughness ~ 0.55 nm), with circular equi-sized grains/crystallites, as observed by atomic force microscopy. The Hall measurements in Van der Pauw geometry revealed relatively high resistivity value ~ 620 μΩ cm, ascribed to electron scattering on interfaces, on grain boundaries and on different defects/dislocations. - Highlights: • Hetero-epitaxial TiC 0.84 thin films were grown on MgO(001) at 100 °C by magnetron sputtering. • 62 nm thick films were synthesized by magnetron sputtering, using Ti, Ar and CH 4 . • The film comprises a partially strained interface epilayer and a relaxed top layer. • Both layers preserve the epitaxial relationship with the substrate. • Low RMS surface roughness ~ 0.55 nm and grains with mean lateral size of ~ 38.5 nm were observed

  16. Hetero-epitaxial growth of TiC films on MgO(001) at 100 °C by DC reactive magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Braic, M. [National Institute for Optoelectronics, 409 Atomistilor St., 077125 Magurele (Romania); Zoita, N.C., E-mail: cnzoita@inoe.ro [National Institute for Optoelectronics, 409 Atomistilor St., 077125 Magurele (Romania); Danila, M. [National Institute for Research and Development in Microtechnology, 126A Erou Iancu Nicolae Blvd., 077190 Bucharest (Romania); Grigorescu, C.E.A. [National Institute for Optoelectronics, 409 Atomistilor St., 077125 Magurele (Romania); Logofatu, C. [National Institute of Materials Physics, 105 bis Atomistilor St., 077125 Magurele (Romania)

    2015-08-31

    Hetero-epitaxial TiC thin films were deposited at 100 °C on MgO(001) by DC reactive magnetron sputtering in a mixture of Ar and CH{sub 4}. The 62 nm thick films were analyzed for elemental composition and chemical bonding by Auger electron spectroscopy, X-ray photoelectron spectroscopy and micro-Raman spectroscopy. The crystallographic structure investigation by high resolution X-ray diffraction revealed that the films consist of two layers: an interface partially strained epilayer with high crystalline quality, and a relaxed layer, formed by columnar grains, maintaining the epitaxial relationship with the substrate. The films presented smooth surfaces (RMS roughness ~ 0.55 nm), with circular equi-sized grains/crystallites, as observed by atomic force microscopy. The Hall measurements in Van der Pauw geometry revealed relatively high resistivity value ~ 620 μΩ cm, ascribed to electron scattering on interfaces, on grain boundaries and on different defects/dislocations. - Highlights: • Hetero-epitaxial TiC{sub 0.84} thin films were grown on MgO(001) at 100 °C by magnetron sputtering. • 62 nm thick films were synthesized by magnetron sputtering, using Ti, Ar and CH{sub 4}. • The film comprises a partially strained interface epilayer and a relaxed top layer. • Both layers preserve the epitaxial relationship with the substrate. • Low RMS surface roughness ~ 0.55 nm and grains with mean lateral size of ~ 38.5 nm were observed.

  17. Growth, structural, and electrical properties of germanium-on-silicon heterostructure by molecular beam epitaxy

    Science.gov (United States)

    Ghosh, Aheli; Clavel, Michael B.; Nguyen, Peter D.; Meeker, Michael A.; Khodaparast, Giti A.; Bodnar, Robert J.; Hudait, Mantu K.

    2017-09-01

    The growth, morphological, and electrical properties of thin-film Ge grown by molecular beam epitaxy on Si using a two-step growth process were investigated. High-resolution x-ray diffraction analysis demonstrated ˜0.10% tensile-strained Ge epilayer, owing to the thermal expansion coefficient mismatch between Ge and Si, and negligible epilayer lattice tilt. Micro-Raman spectroscopic analysis corroborated the strain-state of the Ge thin-film. Cross-sectional transmission electron microscopy revealed the formation of 90° Lomer dislocation network at Ge/Si heterointerface, suggesting the rapid and complete relaxation of Ge epilayer during growth. Atomic force micrographs exhibited smooth surface morphology with surface roughness published Dit data for Ge MOS devices, as a function of threading dislocation density within the Ge layer. The results obtained were comparable with Ge MOS devices integrated on Si via alternative buffer schemes. This comprehensive study of directly-grown epitaxial Ge-on-Si provides a pathway for the development of Ge-based electronic devices on Si.

  18. Influence of layer thickness on the structure and the magnetic properties of Co/Pd epitaxial multilayer films

    Energy Technology Data Exchange (ETDEWEB)

    Tobari, Kousuke, E-mail: tobari@futamoto.elect.chuo-u.ac.jp [Faculty of Science and Engineering, Chuo University, Bunkyo-ku, Tokyo 112-8551 (Japan); Ohtake, Mitsuru; Nagano, Katsumasa; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2012-03-15

    Co/Pd epitaxial multilayer films were prepared on Pd(111){sub fcc} underlayers hetero-epitaxially grown on MgO(111){sub B1} single-crystal substrates at room temperature by ultra-high vacuum RF magnetron sputtering. In-situ reflection high energy electron diffraction shows that the in-plane lattice spacing of Co on Pd layer gradually decreases with increasing the Co layer thickness, whereas that of Pd on Co layer remains unchanged during the Pd layer formation. The CoPd alloy phase formation is observed around the Co/Pd interface. The atomic mixing is enhanced for thinner Co and Pd layers in multilayer structure. With decreasing the Co and the Pd layer thicknesses and increasing the repetition number of Co/Pd multilayer film, stronger perpendicular magnetic anisotropy is observed. The relationships between the film structure and the magnetic properties are discussed. - Highlights: Black-Right-Pointing-Pointer Epitaxial Co/Pd multilayer films are prepared on Pd(111){sub fcc} underlayers. Black-Right-Pointing-Pointer Lattice strain in Co layer and CoPd-alloy formation are noted around the interface. Black-Right-Pointing-Pointer Magnetic property dependence on layer thickness is reported.

  19. Structure characterization of Pd/Co/Pd tri-layer films epitaxially grown on MgO single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tobari, Kousuke, E-mail: tobari@futamoto.elect.chuo-u.ac.jp; Ohtake, Mitsuru; Nagano, Katsumasa; Futamoto, Masaaki

    2011-09-30

    Pd/Co/Pd tri-layer films were prepared on MgO substrates of (001), (111), and (011) orientations at room temperature by ultra high vacuum rf magnetron sputtering. The detailed film structures around the Co/Pd and the Pd/Co interfaces are investigated by reflection high energy electron diffraction. Pd layers of (001){sub fcc}, (111){sub fcc}, and (011){sub fcc} orientations epitaxially grow on the respective MgO substrates. Strained fcc-Co(001) single-crystal layers are formed on the Pd(001){sub fcc} layers by accommodating the fairly large lattice mismatch between the Co and the Pd layers. On the Co layers,, Pd polycrystalline layers are formed. When Co films are formed on the Pd(111){sub fcc} and the Pd(011){sub fcc} layers, atomic mixing is observed around the Co/Pd interfaces and fcc-CoPd alloy phases are coexisting with Co crystals. The Co crystals formed on the Pd(111){sub fcc} layers consist of hcp(0001) + fcc(111) and Pd(111){sub fcc} epitaxial layers are formed on the Co layers. Co crystals epitaxially grow on the Pd(011){sub fcc} layers with two variants, hcp(11-bar 00) and fcc(111). On the Co layers, Pd(011){sub fcc} epitaxial layers are formed.

  20. The epitaxial growth and interfacial strain study of VO{sub 2}/MgF{sub 2} (001) films by synchrotron based grazing incidence X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Fan, L.L. [Key Laboratory for Advanced Technology in Environmental Protection of Jiangsu Province, Yancheng Institute of Technology, Yancheng 224051 (China); National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Chen, S. [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Liu, Q.H. [Science and Technology on Electro-optical Information Security Control Laboratory, Tianjin 300300 (China); Liao, G.M.; Chen, Y.L.; Ren, H. [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Zou, C.W., E-mail: czou@ustc.edu.cn [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China)

    2016-09-05

    High quality VO{sub 2} films with different thickness were epitaxially grown on MgF{sub 2} (001) substrates by oxide molecular beam epitaxy method. The evolution of interfacial strain was investigated by synchrotron based grazing incidence X-ray diffraction. By adjusting the incidence angles, the penetration depth of X-ray in VO{sub 2} film could be controlled and the thickness-depend lattice distortion in the epitaxial VO{sub 2} film was investigated. Due to the lattice mismatching, the pronounced tensile strain was observed in ultra-thin VO{sub 2} film. As the film thickness increasing, the interfacial strain relaxed gradually and became fully relaxed for thick VO{sub 2} films. Combined with the electric transport measurement, it was revealed that the phase transition temperature of ultra-thin VO{sub 2} film decreased greatly. The effect of interfacial strain induced phase transition modulation and the intrinsic mechanism was systematically discussed. - Highlights: • We prepared high quality VO{sub 2} epitaxial films on MgF{sub 2} (001) substrates by oxide molecular beam epitaxy method. • Synchrotron radiation grazing incidence X-ray diffraction was employed to detect evolution of strain along depth profile. • Based on a classic band structure model, the mechanism of strain controlled phase transition of VO{sub 2} was discussed.

  1. Film thickness determining method of the silicon isotope superlattices by SIMS

    International Nuclear Information System (INIS)

    Takano, Akio; Shimizu, Yasuo; Itoh, Kohei M.

    2008-01-01

    It is becoming important to evaluate silicon self-diffusion with progress of a silicon semiconductor industry. In order to evaluate the self-diffusion of silicon, silicon isotope superlattices (SLs) is the only marker. For this reason, it is important to correctly evaluate a film thickness and a depth distribution of isotope SLs by secondary ion mass spectrometry (SIMS). As for film thickness, it is difficult to estimate the thicknesses correctly if the cycles of SLs are short. In this work, first, we report the determination of the film thickness for short-period SLs using mixing roughness-information (MRI) analysis to SIMS profile. Next, the uncertainty of the conventional method to determine the film thicknesses of SLs is determined. It was found that the conventional methods cannot correctly determine film thickness of short-period-isotope SLs where film thickness differs for every layer

  2. Reactively sputtered epitaxial γ′-Fe4N films: Surface morphology, microstructure, magnetic and electrical transport properties

    KAUST Repository

    Mi, Wenbo; Guo, Zaibing; Feng, X. P.; Bai, Haili

    2013-01-01

    Epitaxial γ′-Fe4N films with (1 0 0) and (1 1 0) orientations have been fabricated by reactive sputtering; these films were characterized by X-ray θ-2θ and φ scans, pole figures and high-resolution transmission electron microscopy. The film surface

  3. Preparation and structure characterization of SmCo5(0001) epitaxial thin films grown on Cu(111) underlayers

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nukaga, Yuri; Futamoto, Masaaki; Kirino, Fumiyoshi

    2009-01-01

    SmCo 5 (0001) epitaxial films were prepared on Cu(111) single-crystal underlayers formed on Al 2 O 3 (0001) substrates at 500 deg. C. The nucleation and growth mechanism of (0001)-oriented SmCo 5 crystal on Cu(111) underlayer is investigated and a method to control the nucleation is proposed. The SmCo 5 epitaxial thin film formed directly on Cu underlayer consists of two types of domains whose orientations are rotated around the film normal by 30 deg. each other. By introducing a thin Co seed layer on the Cu underlayer, a SmCo 5 (0001) single-crystal thin film is successfully obtained. Nucleation of SmCo 5 crystal on Cu underlayer seems controllable by varying the interaction between the Cu underlayer and the SmCo 5 layer

  4. UV detectors based on epitaxial diamond films grown on single-crystal diamond substrates by vapor-phase synthesis

    International Nuclear Information System (INIS)

    Sharonov, G.V.; Petrov, S.A.; Bol'shakov, A.P.; Ral'chenko, V.G.; Kazyuchits, N.M.

    2010-01-01

    The prospects for use of CVD-technology for epitaxial growth of single-crystal diamond films of instrumental quality in UHF plasma for the production of optoelectronic devices are discussed. A technology for processing diamond single crystals that provides a perfect surface crystal structure with roughness less than 0,5 nm was developed. It was demonstrated that selective UV detectors based on synthetic single-crystal diamond substrates coated with single-crystal films can be produced. A criterion for selecting clean and structurally perfect single crystals of synthetic diamond was developed for the epitaxial growth technology. (authors)

  5. Silicon-integrated thin-film structure for electro-optic applications

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick Joseph

    2000-01-01

    A crystalline thin-film structure suited for use in any of an number of electro-optic applications, such as a phase modulator or a component of an interferometer, includes a semiconductor substrate of silicon and a ferroelectric, optically-clear thin film of the perovskite BaTiO.sub.3 overlying the surface of the silicon substrate. The BaTiO.sub.3 thin film is characterized in that substantially all of the dipole moments associated with the ferroelectric film are arranged substantially parallel to the surface of the substrate to enhance the electro-optic qualities of the film.

  6. Epitaxial growth of bcc-FexCo100-x thin films on MgO(1 1 0) single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nishiyama, Tsutomu; Shikada, Kouhei; Kirino, Fumiyoshi; Futamoto, Masaaki

    2010-01-01

    Fe x Co 100-x (x=100, 65, 50 at%) epitaxial thin films were prepared on MgO(1 1 0) single-crystal substrates heated at 300 deg. C by ultra-high vacuum molecular beam epitaxy. The film structure and the growth mechanism are discussed. FeCo(2 1 1) films with bcc structure grow epitaxially on MgO(1 1 0) substrates with two types of variants whose orientations are rotated around the film normal by 180 deg. each other for all compositions. Fe x Co 100-x film growth follows the Volmer Weber mode. X-ray diffraction analysis indicates the out-of-plane and the in-plane lattice spacings are in agreement with the values of respective bulk Fe x Co 100-x crystals with very small errors less than ±0.4%, suggesting the strains in the films are very small. High-resolution cross-sectional transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the film at the Fe 50 Co 50 /MgO interface along the MgO[1 1-bar 0] direction. The presence of such periodical dislocations decreases the large lattice mismatch of about -17% existing at the FeCo/MgO interface along the MgO[1 1-bar 0] direction.

  7. As-free pnictide LaNi{sub 1-x}Sb{sub 2} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2012-07-01

    We use reactive molecular beam epitaxy (RMBE) as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaNi{sub 1-x}Sb{sub 2} were grown on (100)MgO substrates from elemental sources by simultaneous evaporation of high purity La, Ni and Sb metals by e-gun. The LaNi{sub 1-x}Sb{sub 2} thin films grow epitaxially and are (00l) oriented with high crystalline quality, as evident from RHEED and X-Ray diffraction studies. The Ni deficient LaNi{sub 1-x}Sb{sub 2} thin films show metallic behavior with a room temperature resistivity of 110 {mu}{Omega} cm, while the stoichiometric compound is a semiconductor/insulator. The isostructural compound with Bi as pnictide shows a superconducting transition with a T{sub C}(0) of 3.1 K.

  8. Characterization of bicrystalline epitaxial LaNiO{sub 3} films fabricated on MgO (1 0 0) substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zheng Liang [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Zhu Jun [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China)]. E-mail: junzhu@uestc.edu.cn; Zhang Ying [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Jiang Shuwen [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Li Yanrong [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Huawei Xian [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Li Jinlong [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China)

    2006-03-15

    A series of metallic LaNiO{sub 3} (LNO) thin films were deposited on MgO (1 0 0) substrates by pulsed laser deposition (PLD) under the oxygen pressure of 20 Pa at different substrate temperatures from 450 to 750 deg. C. X-ray diffraction (XRD) was used to characterize the crystal structure of LNO films. {theta}-2{theta} scans of XRD indicate that LNO film deposited at a substrate temperature of 700 deg. C has a high orientation of (l l 0). At other substrate temperatures, the LNO films have mixed phases of (l l 0) and (l 0 0). Furthermore, pole figure measurements show that LNO thin films, with the bicrystalline structure, were epitaxially deposited on MgO (1 0 0) substrates in the mode of LNO (1 1 0)//MgO (1 0 0) at 700 deg. C. Reflection high-energy electric diffraction (RHEED) and atomic force microscopy (AFM) were also performed to investigate the microstructure of LNO films with the high (l l 0) orientation. RHEED patterns clearly confirm this epitaxial relationship. An atomically smooth surface of LNO films at 700 deg. C was obtained. In addition, bicrystalline epitaxial LNO films, fabricated at 700 deg. C, present a excellent conductivity with a lower electrical resistivity of 300 {mu} {omega} cm. Thus, the obtained results indicate that bicystalline epitaxial LNO films could serve as a promising candidate of electrode materials for the fabrication of ferroelectric or dielectric films.

  9. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  10. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy

    OpenAIRE

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-01-01

    Abstract As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic?inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH3NH3I) and inorganic halide (B-site: PbI2) powder targets to deposit repeated A/B bilayer films where the thic...

  11. Photoconduction in silicon rich oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Luna-Lopez, J A; Carrillo-Lopez, J; Flores-Gracia, F J; Garcia-Salgado, G [CIDS-ICUAP, Benemerita Universidad Autonoma de Puebla. Ed. 103 D and C, col. San Manuel, Puebla, Pue. Mexico 72570 (Mexico); Aceves-Mijares, M; Morales-Sanchez, A, E-mail: jluna@buap.siu.m, E-mail: jluna@inaoep.m [INAOE, Luis Enrique Erro No. 1, Apdo. 51, Tonantzintla, Puebla, Mexico 72000 (Mexico)

    2009-05-01

    Photoconduction of silicon rich oxide (SRO) thin films were studied by current-voltage (I-V) measurements, where ultraviolet (UV) and white (Vis) light illumination were applied. SRO thin films were deposited by low pressure chemical vapour deposition (LPCVD) technique, using SiH{sub 4} (silane) and N{sub 2}O (nitrous oxide) as reactive gases at 700 {sup 0}. The gas flow ratio, Ro = [N{sub 2}O]/[SiH{sub 4}] was used to control the silicon excess. The thickness and refractive index of the SRO films were 72.0 nm, 75.5 nm, 59.1 nm, 73.4 nm and 1.7, 1.5, 1.46, 1.45, corresponding to R{sub o} = 10, 20, 30 and 50, respectively. These results were obtained by null ellipsometry. Si nanoparticles (Si-nps) and defects within SRO films permit to obtain interesting photoelectric properties as a high photocurrent and photoconduction. These effects strongly depend on the silicon excess, thickness and structure type. Two different structures (Al/SRO/Si and Al/SRO/SRO/Si metal-oxide-semiconductor (MOS)-like structures) were fabricated and used as devices. The photocurrent in these structures is dominated by the generation of carriers due to the incident photon energies ({approx}3.0-1.6 eV and 5 eV). These structures showed large photoconductive response at room temperature. Therefore, these structures have potential applications in optoelectronics devices.

  12. Epitaxial growth of fcc Ti films on Al(001) surfaces

    International Nuclear Information System (INIS)

    Saleh, A.A.; Shutthanandan, V.; Shivaparan, N.R.; Smith, R.J.; Tran, T.T.; Chambers, S.A.

    1997-01-01

    High-energy ion scattering (HEIS), x-ray photoelectron spectroscopy, and x-ray photoelectron diffraction (XPD) were used to study the growth of thin Ti films on Al(001) surfaces. The Al surface peak area in the backscattered ion spectrum of MeV He + ions, incident along the [00 bar 1] direction, was used to monitor the atomic structure of the Ti films during growth. An initial decrease in the area was observed indicating epitaxial film growth. This decrease continued up to a critical film thickness of about 5.5 ML, after which point the structure of the film changed. Titanium films 3, 5, and 9 ML thick were characterized using XPD in the same chamber. Both the HEIS and XPD results show that the Ti films grow with an fcc structure on Al(001). A tetragonal distortion of 2.4% in the fcc Ti film was measured using ions incident along the [10 bar 1] direction. Although there is a general similarity of fcc Ti growth on both Al(001) and Al(110), the submonolayer growth regime does show differences for the two surfaces. copyright 1997 The American Physical Society

  13. Surface impedance of epitaxial films Y-Ba-Cu-O in short wave region of range millimetric

    International Nuclear Information System (INIS)

    Vojnovskij, I.V.; Pustyl'nik, O.D.; Boguslavskij, Yu.M.; Shapovalov, A.P.

    1992-01-01

    Epitaxial Y-Ba-Cu-O films on MgO substrate with perfect crystal structure are obtained due to nonaxial magnetron HF-spraying. Temperature dependence of the surface impedance of the films within 66 and 134 GHz frequency is studied. The obtained value of residual surface resistance within 134 GHz frequency (60 mohm) confirms high quality of the films

  14. Epitaxial growth and properties of YBaCuO thin films

    International Nuclear Information System (INIS)

    Geerk, J.; Linker, G.; Meyer, O.

    1989-08-01

    The growth quality of YBaCuO thin films deposited by sputtering on different substrates (Al 2 O 3 , MgO, SrTiO 3 , Zr(Y)O 2 ) has been studied by X-ray diffraction and channeling experiments as a function of the deposition temperature. Besides the substrate orientation, the substrate temperature is the parameter determining whether films grow in c-, a-, (110) or mixed directions. Epitaxial growth correlates with high critical current values in the films of up to 5.5x10 6 A/cm 2 at 77 K. Ultrathin films with thicknesses down to 2 nm were grown revealing three-dimensional superconducting behaviour. Films on (100) SrTiO 3 of 9 nm thickness and below are partially strained indicating commensurate growth. From the analysis of the surface disorder 1 displaced Ba atom per Ba 2 Y row was obtained indicating that the disordered layer thickness is about 0.6 nm. Tunnel junctions fabricated on these films reveal gap-like structures near ±16 mV and ±30 mV. (orig.) [de

  15. Microstructure of Co(112-bar 0) epitaxial thin films, grown on MgO(100) single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nukaga, Yuri; Ohtake, Mitsuru; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi, E-mail: nukaga@futamoto.elect.chuo-u.ac.j [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan)

    2010-01-01

    Co(112-bar 0) epitaxial thin films with hcp structure were prepared on MgO(100) single-crystal substrates heated at 300 {sup 0}C by ultra high vacuum molecular beam epitaxy. The microstructure is investigated by employing X-ray diffraction and high-resolution transmission electron microscopy. The film consists of two types of domains whose c-axes are rotated around the film normal by 90{sup 0} each other. Stacking faults are observed for the film along the Co[0001] direction. An atomically sharp boundary is recognized between the film and the substrate, where some misfit dislocations are introduced in the film at the Co/MgO interface. Dislocations are also observed in the film up to 15 nm thickness from the interface. Presence of such stacking faults and misfit dislocations seem to relieve the strain caused by the lattice mismatch between the film and the substrate. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of the film are in agreement within 0.5% and 0.1%, respectively, with those of the bulk hcp-Co crystal, suggesting the strain in the film is very small.

  16. Microstructure of Co(112-bar 0) epitaxial thin films, grown on MgO(100) single-crystal substrates

    International Nuclear Information System (INIS)

    Nukaga, Yuri; Ohtake, Mitsuru; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    Co(112-bar 0) epitaxial thin films with hcp structure were prepared on MgO(100) single-crystal substrates heated at 300 0 C by ultra high vacuum molecular beam epitaxy. The microstructure is investigated by employing X-ray diffraction and high-resolution transmission electron microscopy. The film consists of two types of domains whose c-axes are rotated around the film normal by 90 0 each other. Stacking faults are observed for the film along the Co[0001] direction. An atomically sharp boundary is recognized between the film and the substrate, where some misfit dislocations are introduced in the film at the Co/MgO interface. Dislocations are also observed in the film up to 15 nm thickness from the interface. Presence of such stacking faults and misfit dislocations seem to relieve the strain caused by the lattice mismatch between the film and the substrate. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of the film are in agreement within 0.5% and 0.1%, respectively, with those of the bulk hcp-Co crystal, suggesting the strain in the film is very small.

  17. Single orientation graphene synthesized on iridium thin films grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Dangwal Pandey, A., E-mail: arti.pandey@desy.de; Grånäs, E.; Shayduk, R.; Noei, H.; Vonk, V. [Deutsches Elektronen-Synchrotron (DESY), D-22607 Hamburg (Germany); Krausert, K.; Franz, D.; Müller, P.; Keller, T. F.; Stierle, A., E-mail: andreas.stierle@desy.de [Deutsches Elektronen-Synchrotron (DESY), D-22607 Hamburg (Germany); Fachbereich Physik, Universität Hamburg, D-22607 Hamburg (Germany)

    2016-08-21

    Heteroepitaxial iridium thin films were deposited on (0001) sapphire substrates by means of molecular beam epitaxy, and subsequently, one monolayer of graphene was synthesized by chemical vapor deposition. The influence of the growth parameters on the quality of the Ir films, as well as of graphene, was investigated systematically by means of low energy electron diffraction, x-ray reflectivity, x-ray diffraction, Auger electron spectroscopy, scanning electron microscopy, and atomic force microscopy. Our study reveals (111) oriented iridium films with high crystalline quality and extremely low surface roughness, on which the formation of large-area epitaxial graphene is achieved. The presence of defects, like dislocations, twins, and 30° rotated domains in the iridium films is also discussed. The coverage of graphene was found to be influenced by the presence of 30° rotated domains in the Ir films. Low iridium deposition rates suppress these rotated domains and an almost complete coverage of graphene was obtained. This synthesis route yields inexpensive, air-stable, and large-area graphene with a well-defined orientation, making it accessible to a wider community of researchers for numerous experiments or applications, including those which use destructive analysis techniques or irreversible processes. Moreover, this approach can be used to tune the structural quality of graphene, allowing a systematic study of the influence of defects in various processes like intercalation below graphene.

  18. New phenomena in epitaxial growth: solid films on quasicrystalline substrates

    International Nuclear Information System (INIS)

    Fournee, V; Thiel, P A

    2005-01-01

    An overview is given of the research conducted in the field of solid film growth on quasiperiodic surfaces. An atomistic description of quasicrystalline surfaces is presented and discussed in relation to bulk structural models. The various systems for which thin film growth has been attempted so far are reviewed. Emphasis is placed on the nucleation mechanisms of the solid films, on their growth modes in relation to the nature of the deposited metals, on the possibility of intermixing or alloying at the interface and on the epitaxial relationships at the crystal-quasicrystal interfaces. We also describe situations where the deposited elements adopt a quasiperiodic structure, which opens up the possibility of extending our understanding of the relation between quasiperiodicity and the physical properties of such structurally and chemically complex solids. (topical review)

  19. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Development of Production PVD-AIN Buffer Layer System and Processes to Reduce Epitaxy Costs and Increase LED Efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Cerio, Frank

    2013-09-14

    The DOE has set aggressive goals for solid state lighting (SSL) adoption, which require manufacturing and quality improvements for virtually all process steps leading to an LED luminaire product. The goals pertinent to this proposed project are to reduce the cost and improve the quality of the epitaxial growth processes used to build LED structures. The objectives outlined in this proposal focus on achieving cost reduction and performance improvements over state-of-the-art, using technologies that are low in cost and amenable to high efficiency manufacturing. The objectives of the outlined proposal focus on cost reductions in epitaxial growth by reducing epitaxy layer thickness and hetero-epitaxial strain, and by enabling the use of larger, less expensive silicon substrates and would be accomplished through the introduction of a high productivity reactive sputtering system and an effective sputtered aluminum-nitride (AlN) buffer/nucleation layer process. Success of the proposed project could enable efficient adoption of GaN on-silicon (GaN/Si) epitaxial technology on 150mm silicon substrates. The reduction in epitaxy cost per cm{sup 2} using 150mm GaN-on-Si technology derives from (1) a reduction in cost of ownership and increase in throughput for the buffer deposition process via the elimination of MOCVD buffer layers and other throughput and CoO enhancements, (2) improvement in brightness through reductions in defect density, (3) reduction in substrate cost through the replacement of sapphire with silicon, and (4) reduction in non-ESD yield loss through reductions in wafer bow and temperature variation. The adoption of 150mm GaN/Si processing will also facilitate significant cost reductions in subsequent wafer fabrication manufacturing costs. There were three phases to this project. These three phases overlap in order to aggressively facilitate a commercially available production GaN/Si capability. In Phase I of the project, the repeatability of the performance

  1. Epitaxial strain-engineered self-assembly of magnetic nanostructures in FeRh thin films

    International Nuclear Information System (INIS)

    Witte, Ralf; Kruk, Robert; Molinari, Alan; Wang, Di; Brand, Richard A; Hahn, Horst; Schlabach, Sabine; Provenzano, Virgil

    2017-01-01

    In this paper we introduce an innovative bottom–up approach for engineering self-assembled magnetic nanostructures using epitaxial strain-induced twinning and phase separation. X-ray diffraction, 57 Fe Mössbauer spectroscopy, scanning tunneling microscopy, and transmission electron microscopy show that epitaxial films of a near-equiatomic FeRh alloy respond to the applied epitaxial strain by laterally splitting into two structural phases on the nanometer length scale. Most importantly, these two structural phases differ with respect to their magnetic properties, one being paramagnetic and the other ferromagnetic, thus leading to the formation of a patterned magnetic nanostructure. It is argued that the phase separation directly results from the different strain-dependence of the total energy of the two competing phases. This straightforward relation directly enables further tailoring and optimization of the nanostructures’ properties. (paper)

  2. Orientationally ordered ridge structures of aluminum films on hydrogen terminated silicon

    DEFF Research Database (Denmark)

    Quaade, Ulrich; Pantleon, Karen

    2006-01-01

    Films of aluminum deposited onto Si(100) substrates show a surface structure of parallel ridges. On films deposited on oxidized silicon substrates the direction of the ridges is arbitrary, but on films deposited on hydrogen-terminated Si(100) the ridges are oriented parallel to the < 110 > direct......Films of aluminum deposited onto Si(100) substrates show a surface structure of parallel ridges. On films deposited on oxidized silicon substrates the direction of the ridges is arbitrary, but on films deposited on hydrogen-terminated Si(100) the ridges are oriented parallel to the ... > directions on the silicon substrate. The ridge structure appears when the film thickness is above 500 nm, and increasing the film thickness makes the structure more distinct. Anodic oxidation enhances the structure even further. X-ray diffraction indicates that grains in the film have mostly (110) facets...

  3. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  4. Buffer-layer enhanced crystal growth of BaB6 (1 0 0) thin films on MgO (1 0 0) substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kato, Yushi; Yamauchi, Ryosuke; Arai, Hideki; Tan, Geng; Tsuchimine, Nobuo; Kobayashi, Susumu; Saeki, Kazuhiko; Takezawa, Nobutaka; Mitsuhashi, Masahiko; Kaneko, Satoru; Yoshimoto, Mamoru

    2012-01-01

    Crystalline BaB 6 (1 0 0) thin films can be fabricated on MgO (1 0 0) substrates by inserting a 2-3 nm-thick epitaxial SrB 6 (1 0 0) buffer layer by pulsed laser deposition (PLD) in ultra-high vacuum (i.e., laser molecular beam epitaxy). Reflection high-energy electron diffraction and X-ray diffraction measurements indicated the heteroepitaxial structure of BaB 6 (1 0 0)/SrB 6 (1 0 0)/MgO (1 0 0) with the single domain of the epitaxial relationship. Conversely, BaB 6 thin films without the buffer layer were not epitaxial instead they developed as polycrystalline films with a random in-plane configuration and some impurity phases. As a result, the buffer layer is considered to greatly affect the initial growth of epitaxial BaB 6 thin films; therefore, in this study, buffering effects have been discussed. From the conventional four-probe measurement, it was observed that BaB 6 epitaxial thin films exhibit n-type semiconducting behavior with a resistivity of 2.90 × 10 -1 Ω cm at room temperature.

  5. Epitaxial growth of atomically flat gadolinia-doped ceria thin films by pulsed laser deposition

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Pryds, Nini; Schou, Jørgen

    2011-01-01

    Epitaxial growth of Ce0.8Gd0.2O2(CGO) films on (001) TiO2-terminated SrTiO3 substrates by pulsed laser deposition was investigated using in situ reflective high energy electron diffraction. The initial film growth shows a Stransky–Krastanov growth mode. However, this three-dimensional island...... formation is replaced by a two-dimensional island nucleation during further deposition, which results in atomically smooth CGO films. The obtained high-quality CGO films may be attractive for the electrolyte of solid-oxide fuel cells operating at low temperature....

  6. Metallic transport and large anomalous Hall effect at room temperature in ferrimagnetic Mn{sub 4}N epitaxial thin film

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Xi; Shigematsu, Kei [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan); Chikamatsu, Akira, E-mail: chikamatsu@chem.s.u-tokyo.ac.jp; Fukumura, Tomoteru [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan); CREST, Japan Science and Technology Agency (JST), Tokyo 113-0033 (Japan); Hirose, Yasushi; Hasegawa, Tetsuya [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan); CREST, Japan Science and Technology Agency (JST), Tokyo 113-0033 (Japan); Kanagawa Academy of Science and Technology (KAST), Kawasaki 213-0012 (Japan)

    2014-08-18

    We report the electrical transport properties of ferrimagnetic Mn{sub 4}N (001) epitaxial thin films grown by pulsed laser deposition on MgO (001) substrates. The Mn{sub 4}N thin films were tetragonally distorted with a ratio of out-of-plane to in-plane lattice constants of 0.987 and showed perpendicular magnetic anisotropy with an effective magnetic anisotropy constant of 0.16 MJ/m{sup 3}, which is comparable with that of a recently reported molecular-beam-epitaxy-grown film. The thin films exhibited metallic transport with a room temperature resistivity of 125 μΩ cm in addition to a large anomalous Hall effect with a Hall angle tangent of 0.023.

  7. Contribution of numerical simulation to silicon carbide bulk growth and epitaxy

    International Nuclear Information System (INIS)

    Meziere, Jerome; Pons, Michel; Cioccio, Lea Di; Blanquet, Elisabeth; Ferret, Pierre; Dedulle, Jean-Marc; Baillet, Francis; Pernot, Etienne; Anikin, Michail; Madar, Roland; Billon, Thierry

    2004-01-01

    High temperature epitaxial processes for SiC bulk and thin films by physical vapour transport and chemical vapour deposition are reviewed from an academic point of view using heat and mass transfer modelling and simulation. The objective is to show that this modelling approach could provide information on fabrication and characterization for the improvement of the knowledge of the growth history. Recent results of our integrated research programme on SiC, taking into account the fabrication, process modelling and characterization, will be presented

  8. Raman measurements of epitaxial YBa2Cu3O7-δ films

    International Nuclear Information System (INIS)

    Burns, G.; Dacol, F.H.; Gield, C.A.; Gupta, A.; Holtzberg, F.; Koren, G.; Laibowitz, R.; McGuire, T.R.; Segmuller, A.P.; Worthington, T.K.

    1990-01-01

    The authors report Raman measurements on good (high J c ) epitaxial YBa 2 Cu 3 O -δ (Y123) films (δ ∼ 0). The results are compared to those from oriented Y123 single crystals. The comparisons are made for superconducting δ ∼ 0 and semiconducting δ ∼ 1 materials

  9. Bulk photovoltaic effect in epitaxial (K, Nb) substituted BiFeO3 thin films

    Science.gov (United States)

    Agarwal, Radhe; Zheng, Fan; Sharma, Yogesh; Hong, Seungbum; Rappe, Andrew; Katiyar, Ram

    We studied the bulk photovoltaic effect in epitaxial (K, Nb) modified BiFeO3 (BKFNO) thin films using theoretical and experimental methods. Epitaxial BKFNO thin films were grown by pulsed laser deposition (PLD). First, we have performed first principles density function theory (DFT) using DFT +U method to calculate electronic band structure, including Hubbard-Ueff (Ueff =U-J) correction into Hamiltonian. The electronic band structure calculations showed a direct band gap at 1.9 eV and a defect level at 1.7 eV (in a 40 atom BKFNO supercell), sufficiently lower in comparison to the experimentally observed values. Furthermore, the piezoforce microscopy (PFM) measurements indicated the presence of striped polydomains in BKFNO thin films. Angle-resolved PFM measurements were also performed to find domain orientation and net polarization directions in these films. The experimental studies of photovoltaic effect in BKNFO films showed a short circuit current of 59 micro amp/cm2 and open circuit voltage of 0.78 V. We compared our experimental results with first principles shift current theory calculations of bulk photovoltaic effect (BPVE).The synergy between theory and experimental results provided a realization of significant role of BPVE in order to understand the photovoltaic mechanism in ferroelectrics.

  10. Polar and Nonpolar Gallium Nitride and Zinc Oxide based thin film heterostructures Integrated with Sapphire and Silicon

    Science.gov (United States)

    Gupta, Pranav

    This dissertation work explores the understanding of the relaxation and integration of polar and non-polar of GaN and ZnO thin films with Sapphire and silicon substrates. Strain management and epitaxial analysis has been performed on wurtzitic GaN(0001) thin films grown on c-Sapphire and wurtzitic non-polar a-plane GaN(11-20) thin films grown on r-plane Sapphire (10-12) by remote plasma atomic nitrogen source assisted UHV Pulsed Laser Deposition process. It has been established that high-quality 2-dimensional c-axis GaN(0001) nucleation layers can be grown on c-Sapphire by PLD process at growth temperatures as low as ˜650°C. Whereas the c-axis GaN on c-sapphire has biaxially negative misfit, the crystalline anisotropy of the a-plane GaN films on r-Sapphire results in compressive and tensile misfits in the two major orthogonal directions. The measured strains have been analyzed in detail by X-ray, Raman spectroscopy and TEM. Strain relaxation in GaN(0001)/Sapphire thin film heterostructure has been explained by the principle of domain matched epitaxial growth in large planar misfit system and has been demonstrated by TEM study. An attempt has been made to qualitatively understand the minimization of free energy of the system from the strain perspective. Analysis has been presented to quantify the strain components responsible for the compressive strain observed in the GaN(0001) thin films on c-axis Sapphire substrates. It was also observed that gallium rich deposition conditions in PLD process lead to smoother nucleation layers because of higher ad-atom mobility of gallium. We demonstrate near strain relaxed epitaxial (0001) GaN thin films grown on (111) Si substrates using TiN as intermediate buffer layer by remote nitrogen plasma assisted UHV pulsed laser deposition (PLD). Because of large misfits between the TiN/GaN and TiN/Si systems the TIN buffer layer growth occurs via nucleation of interfacial dislocations under domain matching epitaxy paradigm. X-ray and

  11. BiFeO3 epitaxial thin films and devices: past, present and future

    Science.gov (United States)

    Sando, D.; Barthélémy, A.; Bibes, M.

    2014-11-01

    The celebrated renaissance of the multiferroics family over the past ten years has also been that of its most paradigmatic member, bismuth ferrite (BiFeO3). Known since the 1960s to be a high temperature antiferromagnet and since the 1970s to be ferroelectric, BiFeO3 only had its bulk ferroic properties clarified in the mid-2000s. It is however the fabrication of BiFeO3 thin films and their integration into epitaxial oxide heterostructures that have fully revealed its extraordinarily broad palette of functionalities. Here we review the first decade of research on BiFeO3 films, restricting ourselves to epitaxial structures. We discuss how thickness and epitaxial strain influence not only the unit cell parameters, but also the crystal structure, illustrated for instance by the discovery of the so-called T-like phase of BiFeO3. We then present its ferroelectric and piezoelectric properties and their evolution near morphotropic phase boundaries. Magnetic properties and their modification by thickness and strain effects, as well as optical parameters, are covered. Finally, we highlight various types of devices based on BiFeO3 in electronics, spintronics, and optics, and provide perspectives for the development of further multifunctional devices for information technology and energy harvesting.

  12. FY 1997 report on the study on lamination control technology for functional multi-element oxide thin films by complex beam epitaxy (CxBE) process; 1997 nendo chosa hokokusho (sakutaisen epitaxy (CxBE) ho ni yoru kinosei tagenso sankabutsu usumaku no sekiso seigyo gijutsu ni kansuru kenkyu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    Complex beam epitaxy (CxBE) process was proposed and demonstrated as new deposition process of multi-element oxide thin films. This process radiates excimer laser onto a metal complex target of ethylenediamine-tetraacetate complex under reduced pressure oxygen atmosphere condition in a reaction vessel to supply raw material onto a heated substrate. This process allowed deposition of YBCO123 phase hetero-epitaxial film onto a single-crystalline SrTiO3 substrate. This process was proved to be promising through study on crystal orientation, composition transcription and surface smoothness of the obtained oxide thin films. In addition, epitaxial ZnO film was also deposited onto a single crystalline Al2O3 substrate by this process. The relation between the obtained film and substrate epitaxy was examined, and photoluminescence of specimens was measured by triple wave of Nd:YAG laser. As a result, it was clarified that the epitaxial ZnO film prepared by this process is useful as laser material. 60 refs., 48 figs., 5 tabs.

  13. Growth and structural investigations of epitaxial hexagonal YMnO3 thin films deposited on wurtzite GaN(001) substrates

    International Nuclear Information System (INIS)

    Balasubramanian, K.R.; Chang, Kai-Chieh; Mohammad, Feroz A.; Porter, Lisa M.; Salvador, Paul A.; DiMaio, Jeffrey; Davis, Robert F.

    2006-01-01

    Epitaxial hexagonal YMnO 3 (h-YMnO 3 ) films having sharp (00l) X-ray diffraction peaks were grown above 700 deg. C in 5 mTorr O 2 via pulsed laser deposition both on as-received wurtzite GaN/AlN/6H-SiC(001) (w-GaN) substrates as well as on w-GaN surfaces that were etched in 50% HF solution. High-resolution transmission electron microscopy revealed an interfacial layer between film and the unetched substrate; this layer was absent in those samples wherein an etched substrate was used. However, the substrate treatment did not affect the epitaxial arrangement between the h-YMnO 3 film and w-GaN substrate. The epitaxial relationships of the h-YMnO 3 films with the w-GaN(001) substrate was determined via X-ray diffraction to be (001) YMnO 3 -parallel (001) GaN : [11-bar0] YMnO 3 -parallel [110] GaN ; in other words, the basal planes of the film and the substrate are aligned parallel to one another, as are the most densely packed directions in planes of the film and the substrate. Interestingly, this arrangement has a larger lattice mismatch than if the principal axes of the unit cells were aligned

  14. Annealing temperature dependence of photoluminescent characteristics of silicon nanocrystals embedded in silicon-rich silicon nitride films grown by PECVD

    International Nuclear Information System (INIS)

    Chao, D.S.; Liang, J.H.

    2013-01-01

    Recently, light emission from silicon nanostructures has gained great interest due to its promising potential of realizing silicon-based optoelectronic applications. In this study, luminescent silicon nanocrystals (Si–NCs) were in situ synthesized in silicon-rich silicon nitride (SRSN) films grown by plasma-enhanced chemical vapor deposition (PECVD). SRSN films with various excess silicon contents were deposited by adjusting SiH 4 flow rate to 100 and 200 sccm and keeping NH 3 one at 40 sccm, and followed by furnace annealing (FA) treatments at 600, 850 and 1100 °C for 1 h. The effects of excess silicon content and post-annealing temperature on optical properties of Si–NCs were investigated by photoluminescence (PL) and Fourier transform infrared spectroscopy (FTIR). The origins of two groups of PL peaks found in this study can be attributed to defect-related interface states and quantum confinement effects (QCE). Defect-related interface states lead to the photon energy levels almost kept constant at about 3.4 eV, while QCE results in visible and tunable PL emission in the spectral range of yellow and blue light which depends on excess silicon content and post-annealing temperature. In addition, PL intensity was also demonstrated to be highly correlative to the excess silicon content and post-annealing temperature due to its corresponding effects on size, density, crystallinity, and surface passivation of Si–NCs. Considering the trade-off between surface passivation and structural properties of Si–NCs, an optimal post-annealing temperature of 600 °C was suggested to maximize the PL intensity of the SRSN films

  15. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    Science.gov (United States)

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  16. Magnetic state controllable critical temperature in epitaxial Ho/Nb bilayers

    Directory of Open Access Journals (Sweden)

    Yuanzhou Gu

    2014-04-01

    Full Text Available We study the magnetic properties of Ho thin films with different crystallinity (either epitaxial or non-epitaxial and investigate their proximity effects with Nb thin films. Magnetic measurements show that epitaxial Ho has large anisotropy in two different crystal directions in contrast to non-epitaxial Ho. Transport measurements show that the superconducting transition temperature (Tc of Nb thin films can be significantly suppressed at zero field by epitaxial Ho compared with non-epitaxial Ho. We also demonstrate a direct control over Tc by changing the magnetic states of the epitaxial Ho layer, and attribute the strong proximity effects to exchange interaction.

  17. Magnetoresistance at artificial interfaces in epitaxial ferromagnetic thin films

    International Nuclear Information System (INIS)

    Fontcuberta, J.; Bibes, M.; Martinez, B.; Trtik, V.; Ferrater, C.; Sanchez, F.; Varela, M.

    2000-01-01

    Epitaxial La 2/3 Sr 1/3 MnO 3 and SrRuO 3 thin films have been grown by laser ablation on single-crystalline SrTiO 3 substrates. Prior to manganite or ruthenate deposition tracks have been patterned on the SrTiO 3 substrate by using an appropriately focused laser beam. In the experiments here reported linear tracks have been formed. The magnetotransport properties of the films, particularly the magnetoresistance, along paths parallel and perpendicular to the track have been extensively investigated and compared to similar data recorded on films grown on bicrystalline STO substrates. Whereas in LSMO a significant low-field tunnel magnetoresistance develops across the artificial interface, in SRO this tunnel contribution is absent. However, a significant high-field magnetoresistance is observed for both metallic and ferromagnetic systems. The results are analysed and discussed within the framework of the current understanding of double exchange and itinerant ferromagnets. Magnetoresistance data for various configurations of the track array are presented

  18. A study of strain in thin epitaxial films of yttrium silicide on Si(111)

    Science.gov (United States)

    Siegal, Michelle F.; Martínez-Miranda, L. J.; Santiago-Avilés, J. J.; Graham, W. R.; Siegal, M. P.

    1994-02-01

    We present the results of an x-ray diffraction analysis of epitaxial yttrium silicide films grown on Si(111), with thicknesses ranging from 14 to 100 Å. The macroscopic strain along the out-of-plane direction for films containing pits or pinholes follows the trend observed previously in films of thicknesses up to 510 Å. The out-of-plane lattice parameter decreases linearly with film thickness. We show preliminary evidence that pinhole-free films do not follow the above trend, and that strain in these films has the opposite sign than in films with pinholes. Finally, our results also indicate that the mode of growth, coupled to the interfacial thermal properties of the films, affects the observed value for the strain in the films.

  19. A study of strain in thin epitaxial films of yttrium silicide on Si(111)

    International Nuclear Information System (INIS)

    Siegal, M.F.; Martinez-Miranda, L.J.; Santiago-Aviles, J.J.; Graham, W.R.; Siegal, M.P.

    1994-01-01

    We present the results of an x-ray diffraction analysis of epitaxial yttrium silicide films grown on Si(111), with thicknesses ranging from 14 to 100 A. The macroscopic strain along the out-of-plane direction for films containing pits or pinholes follows the trend observed previously in films of thicknesses up to 510 A. The out-of-plane lattice parameter decreases linearly with film thickness. We show preliminary evidence that pinhole-free films do not follow the above trend, and that strain in these films has the opposite sign than in films with pinholes. Finally, our results also indicate that the mode of growth, coupled to the interfacial thermal properties of the films, affects the observed value for the strain in the films

  20. Magnetic-property changes in epitaxial metal-film sandwiches

    International Nuclear Information System (INIS)

    Brodsky, M.B.

    1982-08-01

    Epitaxial metal-film sandwiches (EMFS) containing Pd or Cr, have been prepared between single-crystal Ag or Au. The modified Pd/Cr show major changes in physical properties. Pd has a stretched lattice parameter in Au-Pd-Au, which combines with a tetragonal distortion to cause exchange enhancements up to 28,000 and spin-fluctuation temperatures of 1 to 10 K. In Au-Cr-Au, Cr takes up the fcc structure, leading to superconductivity due to a high N(E/sub F/). These results are contrasted to data for Ag-Pd-Ag and Ag-Cr-Ag EMFS

  1. Epitaxial growth of fcc-CoxNi100-x thin films on MgO(110) single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nukaga, Yuri; Sato, Yoichi; Futamoto, Masaaki; Kirino, Fumiyoshi

    2009-01-01

    Co x Ni 100-x (x=100, 80, 20, 0 at. %) epitaxial thin films were prepared on MgO(110) single-crystal substrates heated at 300 deg. C by ultrahigh vacuum molecular beam epitaxy. The growth mechanism is discussed based on lattice strain and crystallographic defects. CoNi(110) single-crystal films with a fcc structure are obtained for all compositions. Co x Ni 100-x film growth follows the Volmer-Weber mode. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of the Co x Ni 100-x films are in agreement within ±0.5% with the values of the respective bulk Co x Ni 100-x crystals, suggesting that the strain in the film is very small. High-resolution cross-sectional transmission microscopy shows that an atomically sharp boundary is formed between a Co(110) fcc film and a MgO(110) substrate, where periodical misfit dislocations are preferentially introduced in the film at the Co/MgO interface. The presence of such periodical misfit dislocations relieves the strain caused by the lattice mismatch between the film and the substrate.

  2. Structural defects and epitaxial rotation of C-60 and C-70(111) films on GeS(001)

    DEFF Research Database (Denmark)

    Bernaerts, D.; Van Tendeloo, G.; Amelinckx, S.

    1996-01-01

    A transmission electron microscopy study of epitaxial C60 and C70 films grown on a GeS (001) surface is presented. The relationship between the orientation of the substrate and the films and structural defects in the films, such as grain boundaries, unknown in bulk C60 and C70 crystals, are studied...

  3. Deposition of yttrium oxysulfide thin films by atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kukli, K.; University of Tartu, Tartu,; Johansson, L-S.; Nykaenen, E.; Peussa, M.; Ninistoe, L.

    1998-01-01

    Full text: Yttrium oxysulfide is a highly interesting material for optoelectronic applications. It is industrially exploited in the form of doped powder in catholuminescent phosphors, e.g. Y 2 O 2 S: Eu 3+ for colour TV. Attempts to grow thin films of Y 2 O 2 S have not been frequent and only partially successful due to the difficulties in obtaining crystalline films at a reasonable temperature. Furthermore, sputtering easily leads to a sulphur deficiency. Evaporation of the elements from a multi-source offers a better control of the stoichiometry resulting in hexagonal (0002) oriented films at 580 deg C. In this paper we present the first successful thin film growth experiments using a chemical process with molecular precursors. Atomic layer epitaxy (ALE) allows the use of a relatively low deposition temperature and thus compatibility with other technologies. Already at 425 deg C the reaction between H 2 S and Y(thd) 3 (thd = 2,2,6,6 - tetramethyl-heptane-3,5- dione) yields a crystalline Y 2 O 2 S thin film which was characterized by XRD, XRF and XPS

  4. Epitaxial Ni films, e-beam nano-patterning and BMR

    Science.gov (United States)

    Lukaszew, R. Alejandra; Zhang, Zhengdong; Pearson, Dave; Zambano, Antonio

    2004-05-01

    We have attempted to clarify possible domain-wall processes present in the recently reported large ballistic magnetoresistance effects in nano-contacts. To that effect we have used e-beam lithography applied to epitaxial Ni films to fabricate nano-bridges in more controlled geometry than electrochemical deposition. Our preliminary results indicate that magnetic domains do play a role in the magneto-resistance of these nano-bridges but the order of magnitude of the observed effect is considerably smaller than the reported observations in electrochemically prepared nano-contacts.

  5. Epitaxial Ni films, e-beam nano-patterning and BMR

    International Nuclear Information System (INIS)

    Lukaszew, R.A.; Zhang Zhengdong; Pearson, Dave; Zambano, Antonio

    2004-01-01

    We have attempted to clarify possible domain-wall processes present in the recently reported large ballistic magnetoresistance effects in nano-contacts. To that effect we have used e-beam lithography applied to epitaxial Ni films to fabricate nano-bridges in more controlled geometry than electrochemical deposition. Our preliminary results indicate that magnetic domains do play a role in the magneto-resistance of these nano-bridges but the order of magnitude of the observed effect is considerably smaller than the reported observations in electrochemically prepared nano-contacts

  6. Thin-film silicon solar cell technology

    Czech Academy of Sciences Publication Activity Database

    Shah, A. V.; Schade, H.; Vaněček, Milan; Meier, J.; Vallat-Sauvain, E.; Wyrsch, N.; Kroll, U.; Droz, C.; Bailat, J.

    2004-01-01

    Roč. 12, - (2004), s. 113-142 ISSN 1062-7995 R&D Projects: GA MŽP SN/320/11/03 Institutional research plan: CEZ:AV0Z1010914 Keywords : thin-film silicon modules * hydrogenerated amorphous silicon(a-Si:H) * hydrogenerated microcrystalline (ćc-Si:H) * transparent conductive oxydes(TCOs) * building-integrated photovoltaics(BIPV) Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.196, year: 2004

  7. Effect of power on the growth of nanocrystalline silicon films

    International Nuclear Information System (INIS)

    Kumar, Sushil; Dixit, P N; Rauthan, C M S; Parashar, A; Gope, Jhuma

    2008-01-01

    Nanocrystalline silicon thin films were grown using a gaseous mixture of silane, hydrogen and argon in a plasma-enhanced chemical vapor deposition system. These films were deposited away from the conventional low power regime normally used for the deposition of device quality hydrogenated amorphous silicon films. It was observed that, with the increase of applied power, there is a change in nanocrystalline phases which were embedded in the amorphous matrix of silicon. Atomic force microscopy micrographs show that these films contain nanocrystallite of 20-100 nm size. Laser Raman and photoluminescence peaks have been observed at 514 cm -1 and 2.18 eV, respectively, and particle sizes were estimated using the same as 8.24 nm and 3.26 nm, respectively. It has also been observed that nanocrystallites in these films enhanced the optical bandgap and electrical conductivity

  8. Effect of power on the growth of nanocrystalline silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Sushil; Dixit, P N; Rauthan, C M S; Parashar, A; Gope, Jhuma [Plasma Processed Materials Group, National Physical Laboratory, Dr K S Krishnan Road, New Delhi 110 012 (India)], E-mail: skumar@mail.nplindia.ernet.in

    2008-08-20

    Nanocrystalline silicon thin films were grown using a gaseous mixture of silane, hydrogen and argon in a plasma-enhanced chemical vapor deposition system. These films were deposited away from the conventional low power regime normally used for the deposition of device quality hydrogenated amorphous silicon films. It was observed that, with the increase of applied power, there is a change in nanocrystalline phases which were embedded in the amorphous matrix of silicon. Atomic force microscopy micrographs show that these films contain nanocrystallite of 20-100 nm size. Laser Raman and photoluminescence peaks have been observed at 514 cm{sup -1} and 2.18 eV, respectively, and particle sizes were estimated using the same as 8.24 nm and 3.26 nm, respectively. It has also been observed that nanocrystallites in these films enhanced the optical bandgap and electrical conductivity.

  9. MOVPE growth and characterization of heteroepitaxial germanium on silicon using iBuGe as precursor

    Energy Technology Data Exchange (ETDEWEB)

    Attolini, G. [IMEM-CNR, Parco Area delle Scienze, 37 A, 43124 Parma (Italy); Ponraj, J.S. [University of Information Science and Technology, St Paul the Apostle, Ohrid 6000 (Macedonia, The Former Yugoslav Republic of); Frigeri, C.; Buffagni, E.; Ferrari, C. [IMEM-CNR, Parco Area delle Scienze, 37 A, 43124 Parma (Italy); Musayeva, N.; Jabbarov, R. [Research and Development Center for Hi-Technologies, MCIT, Inshaatchilar ave., 2, AZ1073, Baku (Azerbaijan); Institute of Physics, ANAS, H. Javid ave., 33, AZ1143, Baku (Azerbaijan); Bosi, M., E-mail: bosi@imem.cnr.it [IMEM-CNR, Parco Area delle Scienze, 37 A, 43124 Parma (Italy)

    2016-01-01

    Graphical abstract: - Highlights: • Germanium layer were deposited on silicon substrates. • A novel metal organic precursor (isobutyl germane) was used. • MOVPE growth process was optimized. • Layers were characterized by TEM, XRD; SEM and AFM. - Abstract: Being an attractive and demanding candidate in the field of energy conversion, germanium has attained widespread applications. The present work is aimed at the study of metal organic vapour phase epitaxy of germanium thin films on (0 0 1) silicon at different growth temperatures using isobutyl germane as a precursor. The epilayers were characterized by X-ray diffraction, high resolution transmission electron microscopy, atomic force microscopy and scanning electron microscopy in order to understand the structural and morphological properties. The films were found to be epitaxially grown and single crystalline with slight misorientation (below 0.1 degrees). The interface between the film and substrate was analyzed in depth and different temperature dependent growth behaviours were evidenced. The major relevant lattice imperfections observed were attributed to planar defects and threading dislocations.

  10. Resistance switching in epitaxial SrCoO{sub x} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tambunan, Octolia T.; Parwanta, Kadek J.; Acharya, Susant K.; Lee, Bo Wha; Jung, Chang Uk, E-mail: cu-jung@hufs.ac.kr [Department of Physics, Hankuk University of Foreign Studies, Yongin 449-791 (Korea, Republic of); Kim, Yeon Soo; Park, Bae Ho [Division of Quantum Phases and Devices, Department of Physics, Konkuk University, Seoul 143-791 (Korea, Republic of); Jeong, Huiseong; Park, Ji-Yong [Department of Physics and Division of Energy System Research, Ajou University, Suwon 443-749 (Korea, Republic of); Cho, Myung Rae; Park, Yun Daniel [Department of Physics and Astronomy and Center for Subwavelength Optics, Seoul National University, Seoul 151-747 (Korea, Republic of); Choi, Woo Seok [Department of Physics, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Kim, Dong-Wook [Department of Physics, Ewha Womans University, Seoul 120-750 (Korea, Republic of); Jin, Hyunwoo; Lee, Suyoun [Electronic Materials Research Center, Korea Institute of Science and Technology, Seoul 136-791 (Korea, Republic of); Song, Seul Ji; Kang, Sung-Jin; Kim, Miyoung; Hwang, Cheol Seong [Department of Material Science and Engineering, Seoul National University, Seoul 151-747 (Korea, Republic of)

    2014-08-11

    We observed bipolar switching behavior from an epitaxial strontium cobaltite film grown on a SrTiO{sub 3} (001) substrate. The crystal structure of strontium cobaltite has been known to undergo topotactic phase transformation between two distinct phases: insulating brownmillerite (SrCoO{sub 2.5}) and conducting perovskite (SrCoO{sub 3−δ}) depending on the oxygen content. The current–voltage characteristics of the strontium cobaltite film showed that it could have a reversible insulator-to-metal transition triggered by electrical bias voltage. We propose that the resistance switching in the SrCoO{sub x} thin film could be related to the topotactic phase transformation and the peculiar structure of SrCoO{sub 2.5}.

  11. Strong Electro-Absorption in GeSi Epitaxy on Silicon-on-Insulator (SOI

    Directory of Open Access Journals (Sweden)

    John E. Cunningham

    2012-04-01

    Full Text Available We have investigated the selective epitaxial growth of GeSi bulk material on silicon-on-insulator substrates by reduced pressure chemical vapor deposition. We employed AFM, SIMS, and Hall measurements, to characterize the GeSi heteroepitaxy quality. Optimal growth conditions have been identified to achieve low defect density, low RMS roughness with high selectivity and precise control of silicon content. Fabricated vertical p-i-n diodes exhibit very low dark current density of 5 mA/cm2 at −1 V bias. Under a 7.5 V/µm E-field, GeSi alloys with 0.6% Si content demonstrate very strong electro-absorption with an estimated effective ∆α/α around 3.5 at 1,590 nm. We compared measured ∆α/α performance to that of bulk Ge. Optical modulation up to 40 GHz is observed in waveguide devices while small signal analysis indicates bandwidth is limited by device parasitics.

  12. Radiation hardness of silicon detectors manufactured on epitaxial material and FZ bulk enriched with oxygen, carbon, tin and platinum

    CERN Document Server

    Ruzin, A; Glaser, M; Lemeilleur, F; Talamonti, R; Watts, S; Zanet, A

    1999-01-01

    Recent results on the radiation hardness of silicon detectors fabricated on epitaxial and float zone bulk silicon enriched by various impurities, such as carbon, oxygen, tin and platinum are reported. A new methodology of measurements of electrical properties of the devices has been utilized in the experiment. It has been shown that in the case of irradiation by protons, oxygen enriched silicon has better radiation hardness than standard float zone silicon. The carbon enriched silicon detectors, on the other hand, exhibited significantly inferior radiation hardness compared to standard detectors. This study shows for the first time, a violation of the widely used normalization technique of the various particle irradiations by NIEL coefficients. The study has been carried out in the framework of the RD48 (ROSE) collaboration, which studies the radiation hardening of silicon detectors. (5 refs).

  13. Optical and Dielectric Properties of CuAl2O4 Films Synthesized by Solid-Phase Epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Leu, L. C. [University of Florida, Gainesville; Norton, David P. [University of Florida; Jellison Jr, Gerald Earle [ORNL; Selvamanickam, V. [SuperPower Incorporated, Schenectady, New York; Xiong, X. [SuperPower Incorporated, Schenectady, New York

    2007-01-01

    The synthesis and properties of CuAl{sub 2}O{sub 4} thin films have been examined. The CuAl{sub 2}O{sub 4} films were deposited via reactive direct current magnetron sputter using a CuAl{sub 2} target. As-deposited films were amorphous. Post-deposition annealing at high temperature in oxygen yielded solid-phase epitaxy on MgO. The film orientation was cube-on-cube epitaxy on (001) MgO single-crystal substrates. The films were transparent to visible light. The band gap of crystalline CuAl{sub 2}O{sub 4} was determined to be {approx} 4 eV using a Tauc plot from the optical transmission spectrum. The dielectric constant of the amorphous films was determined to be {approx} 20-23 at 1-100 kHz.

  14. Magnetization reversal of in-plane uniaxial Co films and its dependence on epitaxial alignment

    Energy Technology Data Exchange (ETDEWEB)

    Idigoras, O., E-mail: o.idigoras@nanogune.eu; Suszka, A. K.; Berger, A. [CIC nanoGUNE Consolider, Tolosa Hiribidea 76, E-20018 Donostia-San Sebastian (Spain); Vavassori, P. [CIC nanoGUNE Consolider, Tolosa Hiribidea 76, E-20018 Donostia-San Sebastian (Spain); IKERBASQUE, The Basque Foundation for Science, E-48011 Bilbao (Spain); Obry, B.; Hillebrands, B. [Fachbereich Physik and Landesforschungzentrum OPTIMAS, Technische Universität Kaiserslautern, Erwin-Schrödinger-Straße 56, D-67663 Kaiserslautern (Germany); Landeros, P. [Departamento de Física, Universidad Técnica Federico Santa María, Avenida España 1680, 2390123 Valparaíso (Chile)

    2014-02-28

    This work studies the influence of crystallographic alignment onto magnetization reversal in partially epitaxial Co films. A reproducible growth sequence was devised that allows for the continuous tuning of grain orientation disorder in Co films with uniaxial in-plane anisotropy by the controlled partial suppression of epitaxy. While all stable or meta-stable magnetization states occurring during a magnetic field cycle exhibit a uniform magnetization for fully epitaxial samples, non-uniform states appear for samples with sufficiently high grain orientation disorder. Simultaneously with the occurrence of stable domain states during the magnetization reversal, we observe a qualitative change of the applied field angle dependence of the coercive field. Upon increasing the grain orientation disorder, we observe a disappearance of transient domain wall propagation as the dominating reversal process, which is characterized by an increase of the coercive field for applied field angles away from the easy axis for well-ordered epitaxial samples. Upon reaching a certain disorder threshold level, we also find an anomalous magnetization reversal, which is characterized by a non-monotonic behavior of the remanent magnetization and coercive field as a function of the applied field angle in the vicinity of the nominal hard axis. This anomaly is a collective reversal mode that is caused by disorder-induced frustration and it can be qualitatively and even quantitatively explained by means of a two Stoner-Wohlfarth particle model. Its predictions are furthermore corroborated by Kerr microscopy and by Brillouin light scattering measurements.

  15. Growth and Etch Rate Study of Low Temperature Anodic Silicon Dioxide Thin Films

    Directory of Open Access Journals (Sweden)

    Akarapu Ashok

    2014-01-01

    Full Text Available Silicon dioxide (SiO2 thin films are most commonly used insulating films in the fabrication of silicon-based integrated circuits (ICs and microelectromechanical systems (MEMS. Several techniques with different processing environments have been investigated to deposit silicon dioxide films at temperatures down to room temperature. Anodic oxidation of silicon is one of the low temperature processes to grow oxide films even below room temperature. In the present work, uniform silicon dioxide thin films are grown at room temperature by using anodic oxidation technique. Oxide films are synthesized in potentiostatic and potentiodynamic regimes at large applied voltages in order to investigate the effect of voltage, mechanical stirring of electrolyte, current density and the water percentage on growth rate, and the different properties of as-grown oxide films. Ellipsometry, FTIR, and SEM are employed to investigate various properties of the oxide films. A 5.25 Å/V growth rate is achieved in potentiostatic mode. In the case of potentiodynamic mode, 160 nm thickness is attained at 300 V. The oxide films developed in both modes are slightly silicon rich, uniform, and less porous. The present study is intended to inspect various properties which are considered for applications in MEMS and Microelectronics.

  16. Light-Induced Degradation of Thin Film Silicon Solar Cells

    International Nuclear Information System (INIS)

    Hamelmann, F U; Weicht, J A; Behrens, G

    2016-01-01

    Silicon-wafer based solar cells are still domination the market for photovoltaic energy conversion. However, most of the silicon is used only for mechanical stability, while only a small percentage of the material is needed for the light absorption. Thin film silicon technology reduces the material demand to just some hundred nanometer thickness. But even in a tandem stack (amorphous and microcrystalline silicon) the efficiencies are lower, and light-induced degradation is an important issue. The established standard tests for characterisation are not precise enough to predict the performance of thin film silicon solar cells under real conditions, since many factors do have an influence on the degradation. We will show some results of laboratory and outdoor measurements that we are going to use as a base for advanced modelling and simulation methods. (paper)

  17. Thin film epitaxy and structure property correlations for non-polar ZnO films

    International Nuclear Information System (INIS)

    Pant, P.; Budai, J.D.; Aggarwal, R.; Narayan, Roger J.; Narayan, J.

    2009-01-01

    Heteroepitaxial growth and strain relaxation were investigated in non-polar a-plane (1 1 -2 0)ZnO films grown on r-plane (1 0 -1 2)sapphire substrates in the temperature range 200-700 deg. C by pulsed laser deposition. The lattice misfit in the plane of the film for this orientation varied from -1.26% in [0 0 0 1] to -18.52% in the [-1 1 0 0] direction. The alignment of (1 1 -2 0)ZnO planes parallel to (1 0 -1 2)sapphire planes was confirmed by X-ray diffraction θ-2θ scans over the entire temperature range. X-ray φ-scans revealed the epitaxial relationship:[0 0 0 1]ZnO-parallel [-1 1 0 1]sap; [-1 1 0 0]ZnO-parallel [-1 -1 2 0]sap. Depending on the growth temperature, variations in the structural, optical and electrical properties were observed in the grown films. Room temperature photoluminescence for films grown at 700 deg. C shows a strong band-edge emission. The ratio of the band-edge emission to green band emission is 135:1, indicating reduced defects and excellent optical quality of the films. The resistivity data for the films grown at 700 deg. C shows semiconducting behavior with room temperature resistivity of 2.2 x 10 -3 Ω-cm.

  18. Sharpness and intensity modulation of the metal-insulator transition in ultrathin VO2 films by interfacial structure manipulation

    Science.gov (United States)

    McGee, Ryan; Goswami, Ankur; Pal, Soupitak; Schofield, Kalvin; Bukhari, Syed Asad Manzoor; Thundat, Thomas

    2018-03-01

    Vanadium dioxide (VO2) undergoes a structural transformation from monoclinic (insulator) to tetragonal (metallic) upon heating above 340 K, accompanied by abrupt changes to its electronic, optical, and mechanical properties. Not only is this transition scientifically intriguing, but there are also numerous applications in sensing, memory, and optoelectronics. Here we investigate the effect different substrates and the processing conditions have on the characteristics metal-insulator transition (MIT), and how the properties can be tuned for specific applications. VO2 thin films were grown on c -plane sapphire (0001) and p-type silicon by pulsed laser deposition. High-resolution x-ray diffraction along with transmission electron microscopy reveals textured epitaxial growth on sapphire by domain-matching epitaxy, while the presence of a native oxide layer on silicon prevented any preferential growth resulting in a polycrystalline film. An orientation relationship of (010)VO2|| (0001)Al 2O3 was established for VO2 grown on sapphire, while no such relationship was found for VO2 grown on silicon. Surface-energy minimization is the driving force behind grain growth, as the lowest energy VO2 plane grew on silicon, while on sapphire the desire for epitaxial growth was dominant. Polycrystallinity of films grown on silicon caused a weaker and less prominent MIT than observed on sapphire, whose MIT was higher in magnitude and steeper in slope. The position of the MIT was shown to depend on the competing effects of misfit strain and grain growth. Higher deposition temperatures caused an increase in the MIT, while compressive strain resulted in a decreased MIT.

  19. Epitaxial growth of indium oxyfluoride thin films by reactive pulsed laser deposition: Structural change induced by fluorine insertion into vacancy sites in bixbyite structure

    International Nuclear Information System (INIS)

    Okazaki, Sohei; Hirose, Yasushi; Nakao, Shoichiro; Yang, Chang; Harayama, Isao; Sekiba, Daiichiro; Hasegawa, Tetsuya

    2014-01-01

    InO x F y thin films were epitaxially grown on Y-stabilized ZrO 2 (111) substrates by reactive pulsed laser deposition. By changing the substrate temperature (T S ), we were able to control the fluorine content of the film. Phase-pure epitaxial thin films with bixbyite-like ordering in the anion-site occupancy were obtained at high T S (≥ 240 °C), where fluorine was inserted into the vacancy sites in the bixbyite lattice up to y / (x + y) ∼ 0.3. By decreasing T S , y / (x + y) increased and the bixbyite-like ordering disappeared; simultaneously, fluorine-rich and fluorine-poor subphases emerged. The films grown at T S ≤ 150 °C were amorphous and exhibited higher optical absorbance and electrical resistivity than the epitaxial films. - Highlights: • InO x F y epitaxial thin films with high fluorine concentration were grown on Y:ZrO 2 . • Anion composition and structural, optical and transport properties were studied. • Fluorine is topotactically inserted into the oxygen vacancy sites in bixbyite cell. • Bixbyite-like ordering of the anion site occupancy was conserved in y / (x + y) ≤ ∼ 0.3

  20. Auger line shape changes in epitaxial (111)Pd/(111)Cu films

    Energy Technology Data Exchange (ETDEWEB)

    Chao, S S; Knabbe, E A; Vook, R W

    1980-01-01

    Epitaxial Pd films ranging in thickness from a few tenths of a monolayer up to many monolayers were formed on (111)Cu substrate films at room temperature under uhv conditions. The growth of these Pd films was monitored in situ by Auger electron spectroscopy. The line profiles of the Cu MMM (61 eV) and Pd MVV (329 eV) AES doublets varied significantly with the amount of Pd deposited. A new measure of the AES doublet line profile, called the R-factor, was defined. A graph of R/sub Pd/ versus Pd film thickness shows a sharp decline with increasing thickness. Superimposed on the major trends is a cyclical variation. A corresponding periodicity in R/sub Cu/ was observed for the Cu MMM (61 eV) AES doublet. The results suggest that the R-factor provides a direct measure of changes in the electronic structures of the overgrowth and substrate films as the former thickens by a layer-growth mechanism.

  1. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1-xFex)2O3 multilayer thin films.

    Science.gov (United States)

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-04-28

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe(2+) and Fe(3+) are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What's more, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3.

  2. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    Science.gov (United States)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  3. Characterization of thin-film silicon materials and solar cells through numerical modeling

    NARCIS (Netherlands)

    Pieters, B.E.

    2008-01-01

    At present most commercially available solar cells are made of crystalline silicon (c-Si). The disadvantages of crystalline silicon solar cells are the high material cost and energy consumption during production. A cheaper alternative can be found in thin-film silicon solar cells. The thin-film

  4. Integrated X-ray and charged particle active pixel CMOS sensor arrays using an epitaxial silicon sensitive region

    International Nuclear Information System (INIS)

    Kleinfelder, Stuart; Bichsel, Hans; Bieser, Fred; Matis, Howard S.; Rai, Gulshan; Retiere, Fabrice; Weiman, Howard; Yamamoto, Eugene

    2002-01-01

    Integrated CMOS Active Pixel Sensor (APS) arrays have been fabricated and tested using X-ray and electron sources. The 128 by 128 pixel arrays, designed in a standard 0.25 micron process, use a ∼10 micron epitaxial silicon layer as a deep detection region. The epitaxial layer has a much greater thickness than the surface features used by standard CMOS APS, leading to stronger signals and potentially better signal-to-noise ratio (SNR). On the other hand, minority carriers confined within the epitaxial region may diffuse to neighboring pixels, blur images and reduce peak signal intensity. But for low-rate, sparse-event images, centroid analysis of this diffusion may be used to increase position resolution. Careful trade-offs involving pixel size and sense-node area verses capacitance must be made to optimize overall performance. The prototype sensor arrays, therefore, include a range of different pixel designs, including different APS circuits and a range of different epitaxial layer contact structures. The fabricated arrays were tested with 1.5 GeV electrons and Fe-55 X-ray sources, yielding a measured noise of 13 electrons RMS and an SNR for single Fe-55 X-rays of greater than 38

  5. Epitaxial effects in thin films of high-Tc cuprates with the K2NiF4 structure

    Science.gov (United States)

    Naito, Michio; Sato, Hisashi; Tsukada, Akio; Yamamoto, Hideki

    2018-03-01

    La2-xSrxCuO4 (LSCO) and La2-xBaxCuO4 (LBCO) have been recognized as the archetype materials of "hole-doped" high-Tc superconductors. Their crystal structures are relatively simple with a small number of constituent cation elements. In addition, the doping level can be varied by the chemical substitution over a wide range enough to obtain the full spectrum of doping-dependent electronic and magnetic properties. These attractive features have dedicated many researchers to thin-film growth of LSCO and LBCO. The critical temperature (Tc) of LSCO and LBCO is sensitive to strain as manifested by a positive pressure coefficient of Tc in bulk samples. In general, films are strained if they are grown on lattice-mismatched substrates (epitaxial strain). Early attempts (before 1997) at the growth of LSCO and LBCO films resulted in depressed Tc below 30 K as they were grown on a commonly used SrTiO3 substrate (in-plane lattice parameter asub = 3.905 Å): the in-plane lattice parameters of LSCO and LBCO are ≤3.80 Å, and hence tensile epitaxial strain is introduced. The situation was changed by the use of LaSrAlO4 substrates with a slightly shorter in-plane lattice constant (asub = 3.756 Å). On LaSrAlO4 substrates, the Tc reaches 45 K in La1.85Sr0.15CuO4, 47 K in La1.85Ba0.15CuO4, and 56 K in ozone-oxidized La2CuO4+δ films, substantially higher than the Tc's of the bulk compounds. The Tc increase in La1.85Sr0.15CuO4 films on LaSrAlO4 and decrease on SrTiO3 are semi-quantitatively in accord with the phenomenological estimations based on the anisotropic strain coefficients of Tc (dTc/dεi). In this review article, we describe the growth and properties of films of cuprates having the K2NiF4 structure, mainly focusing on the increase/decrease of Tc by epitaxial strain and quasi-stable phase formation by epitaxial stabilization. We further extract the structural and/or physical parameters controlling Tc toward microscopic understanding of the variation of Tc by epitaxial strain.

  6. Properties of non-stoichiometric nitrogen doped LPCVD silicon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Mansour, F.; Mahamdi, R. [Departement d' Electronique, Universite Mentouri, Constantine (Algeria); Beghoul, M.R. [Departement d' Electronique, Universite de Jijel (Algeria); Temple-Boyer, P. [CNRS, LAAS, Toulouse (France); Universite de Toulouse, UPS, INSA, INP, ISAE, LAAS, Toulouse (France); Bouridah, H.

    2010-02-15

    The influence of nitrogen on the internal structure and so on the electrical properties of silicon thin films obtained by low-pressure chemical vapor deposition (LPCVD) was studied using several investigation methods. We found by using Raman spectroscopy and SEM observations that a strong relationship exists between the structural order of the silicon matrix and the nitrogen ratio in film before and after thermal treatment. As a result of the high disorder caused by nitrogen on silicon network during the deposit phase of films, the crystallization phenomena in term of nucleation and crystalline growth were found to depend upon the nitrogen content. Resistivity measurements results show that electrical properties of NIDOS films depend significantly on structural properties. It was appeared that for high nitrogen content, the films tend to acquire an insulator behavior. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. In and Ga Codoped ZnO Film as a Front Electrode for Thin Film Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Duy Phong Pham

    2014-01-01

    Full Text Available Doped ZnO thin films have attracted much attention in the research community as front-contact transparent conducting electrodes in thin film silicon solar cells. The prerequisite in both low resistivity and high transmittance in visible and near-infrared region for hydrogenated microcrystalline or amorphous/microcrystalline tandem thin film silicon solar cells has promoted further improvements of this material. In this work, we propose the combination of major Ga and minor In impurities codoped in ZnO film (IGZO to improve the film optoelectronic properties. A wide range of Ga and In contents in sputtering targets was explored to find optimum optical and electrical properties of deposited films. The results show that an appropriate combination of In and Ga atoms in ZnO material, followed by in-air thermal annealing process, can enhance the crystallization, conductivity, and transmittance of IGZO thin films, which can be well used as front-contact electrodes in thin film silicon solar cells.

  8. Compositional dependence of the Young's modulus and piezoelectric coefficient of (110)-oriented pulsed laser deposited PZT thin films

    NARCIS (Netherlands)

    Nazeer, H.; Nguyen, Duc Minh; Rijnders, Augustinus J.H.M.; Sardan Sukas, Ö.; Abelmann, Leon; Elwenspoek, Michael Curt

    2014-01-01

    In this contribution, we report on the compositional dependence of the mechanical and piezoelectric properties of Pb(ZrₓTi₿₋ₓ)O₃ (PZT) thin films fabricated by pulsed laser deposition (PLD). These films grow epitaxially on silicon with a (110) preferred orientation and have excellent piezoelectric

  9. From epitaxial growth of ferrite thin films to spin-polarized tunnelling

    International Nuclear Information System (INIS)

    Moussy, Jean-Baptiste

    2013-01-01

    This paper presents a review of the research which is focused on ferrite thin films for spintronics. First, I will describe the potential of ferrite layers for the generation of spin-polarized currents. In the second step, the structural and chemical properties of epitaxial thin films and ferrite-based tunnel junctions will be presented. Particular attention will be given to ferrite systems grown by oxygen-assisted molecular beam epitaxy. The analysis of the structure and chemistry close to the interfaces, a key-point for understanding the spin-polarized tunnelling measurements, will be detailed. In the third part, the magnetic and magneto-transport properties of magnetite (Fe 3 O 4 ) thin films as a function of structural defects such as the antiphase boundaries will be explained. The spin-polarization measurements (spin-resolved photoemission, tunnel magnetoresistance) on this oxide predicted to be half-metallic will be discussed. Fourth, the potential of magnetic tunnel barriers, such as CoFe 2 O 4 , NiFe 2 O 4 or MnFe 2 O 4 , whose insulating behaviour and the high Curie temperatures make it exciting candidates for spin filtering at room temperature will be described. Spin-polarized tunnelling experiments, involving either Meservey–Tedrow or tunnel magnetoresistance measurements, will reveal significant spin-polarizations of the tunnelling current at low temperatures but also at room temperatures. Finally, I will mention a few perspectives with ferrite-based heterostructures. (topical review)

  10. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    International Nuclear Information System (INIS)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V; Lai, Z; Henry, A; Janzen, E; Pippel, E; Woltersdorf, J

    2011-01-01

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T C ) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T C = 11.3 K and critical current density of about 2.5 MA cm -2 at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  11. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    Energy Technology Data Exchange (ETDEWEB)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V [Group for Advanced Receiver Development, Department of Earth and Space Sciences, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Lai, Z [Nanofabrication Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Henry, A; Janzen, E [Department of Physics, Chemistry and Biology, Linkoeping University, SE-581 83 Linkoeping (Sweden); Pippel, E; Woltersdorf, J, E-mail: dimitar.dochev@chalmers.se [Max-Planck-Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Germany)

    2011-03-15

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T{sub C}) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T{sub C} = 11.3 K and critical current density of about 2.5 MA cm{sup -2} at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  12. Plasma processing of microcrystalline silicon films : filling in the gaps

    NARCIS (Netherlands)

    Bronneberg, A.C.

    2012-01-01

    Hydrogenated microcrystalline silicon (µc-Si:H) is a mixed-phase material consisting of crystalline silicon grains, hydrogenated amorphous silicon (a-Si:H) tissue, and voids. Microcrystalline silicon is extensively used as absorber layer in thin-film tandem solar cells, combining the advantages of a

  13. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    Energy Technology Data Exchange (ETDEWEB)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao, E-mail: yxzheng@fudan.edu.c [Key Laboratory of Micro and Nano Photonic Structures, Ministry of Education, Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China)

    2010-11-10

    A series of SiO{sub 2} films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO{sub 2} films thicker than 60 nm are close to those of bulk SiO{sub 2}. For the thin films deposited at the rate of {approx}1.0 nm s{sup -1}, the refractive indices increase with decreasing thickness from {approx}60 to {approx}10 nm and then drop sharply with decreasing thickness below {approx}10 nm. However, for thin films deposited at the rates of {approx}0.4 and {approx}0.2 nm s{sup -1}, the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  14. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    International Nuclear Information System (INIS)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao

    2010-01-01

    A series of SiO 2 films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO 2 films thicker than 60 nm are close to those of bulk SiO 2 . For the thin films deposited at the rate of ∼1.0 nm s -1 , the refractive indices increase with decreasing thickness from ∼60 to ∼10 nm and then drop sharply with decreasing thickness below ∼10 nm. However, for thin films deposited at the rates of ∼0.4 and ∼0.2 nm s -1 , the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  15. Epitaxial growth of YBa2Cu3O7-δ thin films on LiNbO3 substrates

    International Nuclear Information System (INIS)

    Lee, S.G.; Koren, G.; Gupta, A.; Segmuller, A.; Chi, C.C.

    1989-01-01

    In situ epitaxial growth of YBa 2 Cu 3 O 7-δ thin films on Y-cut LiNbO 3 substrates using a standard laser ablation technique is reported. Resistance of the films shows a normal metallic behavior and a very sharp ( c (R=0) of 92 K. High critical current density of J c (77 K)=2x10 5 A/cm 2 is observed, which is in accordance with epitaxial growth. Film orientation observed from x-ray diffraction spectra indicates that the c axis is normal to the substrate plane and the a axis is at 45 degree to the [11.0] direction of the hexagonal lattice of the substrate with two domains in mirror image to the (110) plane

  16. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  17. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  18. Integration and electrical properties of epitaxial LiNbO{sub 3} ferroelectric film on n-type GaN semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Hao Lanzhong, E-mail: hao_lanzhong@live.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Zhu Jun, E-mail: junzhu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Liu Yunjie [Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Wang Shuili; Zeng Huizhong; Liao Xiuwei; Liu Yingying; Lei Huawei; Zhang Ying; Zhang Wanli; Li Yanrong [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China)

    2012-01-31

    LiNbO{sub 3} (LNO) films were epitaxially grown on n-type GaN templates using pulsed laser deposition technique. The microstructures and electrical properties of the LNO/GaN heterostructure were characterized by x-ray diffraction, transmission electron microscope, and capacitance-voltage (C-V) measurements. The LNO films had two variants of grains rotated 60 Degree-Sign in-plane to each other. The epitaxial relationship of the respective variants could be built as [10-10]LNO//[1-210]GaN and [1-100]LNO//[11-20]GaN via 30 Degree-Sign in-plane rotation of the LNO film relative to the GaN layer. Interface analysis of the heterostructure demonstrated that two different epitaxial growth mechanisms vertical heteroepitaxy and lateral homoepitaxy, should happen at the interface of LNO/GaN. Counterclockwise C-V windows induced by the ferroelectric polarizations of LNO film could be observed clearly. The size of the window increased with increasing the sweep bias and a large window of 5.8 V was achieved at {+-} 15 V. By solving Poisson and drift-diffusion equations, the physical mechanisms of the C-V characteristics were demonstrated.

  19. Oxygen engineering of HfO{sub 2-x} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU-Darmstadt (Germany); Zaumseil, Peter; Schroeder, Thomas [IHP, Frankfurt, Oder (Germany)

    2010-07-01

    Reactive molecular beam epitaxy (R-MBE) is an ideal tool for tailoring physical properties of thin films to specific needs. For the development of cutting-edge oxides for thin film applications a precise control of oxygen defects is crucial. R-MBE in combination with rf-activated oxygen allows reproducibly growing oxide thin films with precise oxidation conditions enabling oxygen engineering. R-MBE was used to grow Hf and HfO{sub 2{+-}}{sub x} thin films with different oxidation conditions on sapphire single crystal substrates. Structural characterization was carried out using rotating anode x-ray diffraction revealing highly textured to epitaxial thin films on c-cut sapphire. Furthermore, switching of film orientation by varying the oxidation conditions was observed demonstrating the role of oxygen in the growth procedure. The investigation of electrical properties using a four probe measurement setup showed conductivities in the range of 1000 {mu}{omega}cm for oxygen deficient HfO{sub 2-x} thin films. Optical properties were investigated using a photospectrometer and additionally x-ray photoelectron spectroscopy was carried out to study the band gap and valence states. Both techniques were used to monitor the oxygen content in deficient HfO{sub 2-x} thin films. Our results demonstrate the importance of oxygen engineering even in the case of 'simple' oxides.

  20. Low temperature epitaxy of Ge-Sb-Te films on BaF{sub 2} (111) by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Thelander, E., E-mail: erik.thelander@iom-leipzig.de; Gerlach, J. W.; Ross, U.; Lotnyk, A.; Rauschenbach, B. [Leibniz-Institut für Oberflächenmodifizierung e.V., Leipzig 04318 (Germany)

    2014-12-01

    Pulsed laser deposition was employed to deposit epitaxial Ge{sub 2}Sb{sub 2}Te{sub 5}-layers on the (111) plane of BaF{sub 2} single crystal substrates. X-ray diffraction measurements show a process temperature window for epitaxial growth between 85 °C and 295 °C. No crystalline growth is observed for lower temperatures, whereas higher temperatures lead to strong desorption of the film constituents. The films are of hexagonal structure with lattice parameters consistent with existing models. X-ray pole figure measurements reveal that the films grow with one single out-of-plane crystal orientation, but rotational twin domains are present. The out-of-plane epitaxial relationship is determined to be Ge{sub 2}Sb{sub 2}Te{sub 5}(0001) || BaF{sub 2}(111), whereas the in-plane relationship is characterized by two directions, i.e., Ge{sub 2}Sb{sub 2}Te{sub 5} [-12-10] || BaF{sub 2}[1-10] and Ge{sub 2}Sb{sub 2}Te{sub 5}[1-210] || BaF{sub 2}[1-10]. Aberration-corrected high-resolution scanning transmission electron microscopy was used to resolve the local atomic structure and confirm the hexagonal structure of the films.

  1. Single-domain epitaxial silicene on diboride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Fleurence, A., E-mail: antoine@jaist.ac.jp; Friedlein, R.; Aoyagi, K.; Yamada-Takamura, Y. [School of Materials Science, Japan Advanced Institute of Science and Technology (JAIST), 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan); Gill, T. G. [School of Materials Science, Japan Advanced Institute of Science and Technology (JAIST), 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan); London Centre for Nanotechnology, University College London (UCL), London WC1H 0AH (United Kingdom); Department of Chemistry, UCL, London WC1H 0AJ (United Kingdom); Sadowski, J. T. [Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, New York 11973 (United States); Copel, M.; Tromp, R. M. [IBM Research Division, Thomas J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Hirjibehedin, C. F. [London Centre for Nanotechnology, University College London (UCL), London WC1H 0AH (United Kingdom); Department of Chemistry, UCL, London WC1H 0AJ (United Kingdom); Department of Physics and Astronomy, UCL, London WC1E 6BT (United Kingdom)

    2016-04-11

    Epitaxial silicene, which forms spontaneously on ZrB{sub 2}(0001) thin films grown on Si(111) wafers, has a periodic stripe domain structure. By adsorbing additional Si atoms on this surface, we find that the domain boundaries vanish, and a single-domain silicene sheet can be prepared without altering its buckled honeycomb structure. The amount of Si required to induce this change suggests that the domain boundaries are made of a local distortion of the silicene honeycomb lattice. The realization of a single domain sheet with structural and electronic properties close to those of the original striped state demonstrates the high structural flexibility of silicene.

  2. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  3. Structural characterization of ZnO films grown by molecular beam epitaxy on sapphire with MgO buffer

    International Nuclear Information System (INIS)

    Pecz, B.; El-Shaer, A.; Bakin, A.; Mofor, A.-C.; Waag, A.; Stoemenos, J.

    2006-01-01

    The structural characteristics of the ZnO film grown on sapphire substrate using a thin MgO buffer layer were studied using transmission electron microscopy and high-resolution x-ray diffraction. The growth was carried out in a modified plasma-molecular beam epitaxy system. The observed misfit dislocations were well confined at the sapphire overgrown interface exhibiting domain matching epitaxy, where the integral multiples of lattice constants match across the interface. The main extended defects in the ZnO film were the threading dislocations having a mean density of 4x10 9 cm -2 . The formation of the MgO buffer layer as well as the ZnO growth were monitored in situ by reflection high-energy electron diffraction. The very thin ∼1 nm, MgO buffer layer can partially interdiffuse with the ZnO as well as react with the Al 2 O 3 substrate forming an intermediate epitaxial layer having the spinel (MgO/Al 2 O 3 ) structure

  4. Epitaxial rare-earth superlattices and films

    International Nuclear Information System (INIS)

    Salamon, M.B.; Beach, R.S.; Flynn, C.P.; Matheny, A.; Tsui, F.; Rhyne, J.J.

    1992-01-01

    This paper reports on epitaxial growth of rare-earth superlattices which is demonstrated to have opened important new areas of research on magnetic materials. The propagation magnetic order through non-magnetic elements, including its range and anisotropy, has been studied. The importance of magnetostriction in determining the phase diagram is demonstrated by the changes induced by epitaxial clamping. The cyrstallinity of epitaxial superlattices provides the opportunity to study interfacial magnetism by conventional x-ray and neutron scattering methods

  5. Highly Crystalline C8-BTBT Thin-Film Transistors by Lateral Homo-Epitaxial Growth on Printed Templates.

    Science.gov (United States)

    Janneck, Robby; Pilet, Nicolas; Bommanaboyena, Satya Prakash; Watts, Benjamin; Heremans, Paul; Genoe, Jan; Rolin, Cedric

    2017-11-01

    Highly crystalline thin films of organic semiconductors offer great potential for fundamental material studies as well as for realizing high-performance, low-cost flexible electronics. The fabrication of these films directly on inert substrates is typically done by meniscus-guided coating techniques. The resulting layers show morphological defects that hinder charge transport and induce large device-to-device variability. Here, a double-step method for organic semiconductor layers combining a solution-processed templating layer and a lateral homo-epitaxial growth by a thermal evaporation step is reported. The epitaxial regrowth repairs most of the morphological defects inherent to meniscus-guided coatings. The resulting film is highly crystalline and features a mobility increased by a factor of three and a relative spread in device characteristics improved by almost half an order of magnitude. This method is easily adaptable to other coating techniques and offers a route toward the fabrication of high-performance, large-area electronics based on highly crystalline thin films of organic semiconductors. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Determination of the Young's modulus of pulsed laser deposited epitaxial PZT thin films

    NARCIS (Netherlands)

    Nazeer, H.; Nguyen, Duc Minh; Woldering, L.A.; Abelmann, Leon; Rijnders, Augustinus J.H.M.; Elwenspoek, Michael Curt

    2011-01-01

    We determined the Young’s modulus of pulsed laser deposited epitaxially grown PbZr0.52Ti0.48O3 (PZT) thin films on microcantilevers by measuring the difference in cantilever resonance frequency before and after deposition. By carefully optimizing the accuracy of this technique, we were able to show

  7. Epitaxial growth and structural characterization of Pb(Fe1/2Nb1/2)O3 thin films

    International Nuclear Information System (INIS)

    Peng, W.; Lemee, N.; Holc, J.; Kosec, M.; Blinc, R.; Karkut, M.G.

    2009-01-01

    We have grown lead iron niobate thin films with composition Pb(Fe 1/2 Nb 1/2 )O 3 (PFN) on (0 0 1) SrTiO 3 substrates by pulsed laser deposition. The influence of the deposition conditions on the phase purity was studied. Due to similar thermodynamic stability spaces, a pyrochlore phase often coexists with the PFN perovskite phase. By optimizing the kinetic parameters, we succeeded in identifying a deposition window which resulted in epitaxial perovskite-phase PFN thin films with no identifiable trace of impurity phases appearing in the X-ray diffractograms. PFN films having thicknesses between 20 and 200 nm were smooth and epitaxially oriented with the substrate and as demonstrated by RHEED streaks which were aligned with the substrate axes. X-ray diffraction showed that the films were completely c-axis oriented and of excellent crystalline quality with low mosaicity (X-ray rocking curve FWHM≤0.09 deg.). The surface roughness of thin films was also investigated by atomic force microscopy. The root-mean-square roughness varies between 0.9 nm for 50-nm-thick films to 16 nm for 100-nm-thick films. We also observe a correlation between grain size, surface roughness and film thickness.

  8. Nanosheet controlled epitaxial growth of PbZr0.52Ti0.48O3 thin films on glass substrates

    Science.gov (United States)

    Bayraktar, M.; Chopra, A.; Bijkerk, F.; Rijnders, G.

    2014-09-01

    Integration of PbZr0.52Ti0.48O3 (PZT) films on glass substrates is of high importance for device applications. However, to make use of the superior ferro- and piezoelectric properties of PZT, well-oriented crystalline or epitaxial growth with control of the crystal orientation is a prerequisite. In this article, we report on epitaxial growth of PZT films with (100)- and (110)-orientation achieved by utilizing Ca2Nb3O10 (CNO) and Ti0.87O2 (TO) nanosheets as crystalline buffer layers. Fatigue measurements demonstrated stable ferroelectric properties of these films up to 5 × 109 cycles. (100)-oriented PZT films on CNO nanosheets show a large remnant polarization of 21 μC/cm2 that is the highest remnant polarization value compared to (110)-oriented and polycrystalline films reported in this work. A piezoelectric response of 98 pm/V is observed for (100)-oriented PZT film which is higher than the values reported in the literature on Si substrates.

  9. Reversal of lattice, electronic structure, and magnetism in epitaxial SrCoOx thin films

    Science.gov (United States)

    Jeen, H.; Choi, W. S.; Lee, J. H.; Cooper, V. R.; Lee, H. N.; Seo, S. S. A.; Rabe, K. M.

    2014-03-01

    SrCoOx (x = 2.5 - 3.0, SCO) is an ideal material to study the role of oxygen content for electronic structure and magnetism, since SCO has two distinct topotactic phases: the antiferromagnetic insulating brownmillerite SrCoO2.5 and the ferromagnetic metallic perovskite SrCoO3. In this presentation, we report direct observation of a reversible lattice and electronic structure evolution in SrCoOx epitaxial thin films as well as different magnetic and electronic ground states between the topotactic phases.[2] By magnetization measurements, optical absorption, and transport measurements drastically different electronic and magnetic ground states are found in the epitaxially grown SrCoO2.5 and SrCoO3 thin films by pulsed laser epitaxy. First-principles calculations confirm substantial, which originate from the modification in the Co valence states and crystallographic structures. By real-time spectroscopic ellipsometry, the two electronically and magnetically different phases can be reversibly changed by changing the ambient pressure at greatly reduced temperatures. Our finding provides an important pathway to understanding the novel oxygen-content-dependent phase transition uniquely found in multivalent transition metal oxides. The work was supported by the U.S. Department of Energy, Basic Energy Sciences, Materials Sciences and Engineering Division.

  10. Bi-epitaxial YBa{sub 2}Cu{sub 3}O{sub x} Thin Films on Tilted-axes NdGaO{sub 3} Substrates with CeO{sub 2} Seeding Layer

    Energy Technology Data Exchange (ETDEWEB)

    Mozhaev, P B [Institute of Physics and Technology RAS, 117218, Moscow (Russian Federation); Mozhaeva, J E [Institute of Physics and Technology RAS, 117218, Moscow (Russian Federation); Jacobsen, C S [Technical University of Denmark, Physics Department, Lyngby, DK-2800, Denmark (Denmark); Hansen, J Bindslev [Technical University of Denmark, Physics Department, Lyngby, DK-2800, Denmark (Denmark); Bdikin, I K [CICECO, University of Aveiro, Aveiro, 3810-193 (Portugal); Luzanov, V A [Institute of Radio Engineering and Electronics, Moscow, 125009 (Russian Federation); Kotelyanskii, I M [Institute of Radio Engineering and Electronics, Moscow, 125009 (Russian Federation); Zybtsev, S G [Institute of Radio Engineering and Electronics, Moscow, 125009 (Russian Federation)

    2006-06-01

    Bi-epitaxial YBa{sub 2}Cu{sub 3}O{sub x} (YBCO) thin films with out-of-plane tilt angle in the range 18 - 27{sup 0} were manufactured using pulsed laser deposition on NdGaO{sub 3} tilted-axes substrates with CeO{sub 2} seeding layers. The YBCO thin film orientation over the seeding layer depended on deposition conditions. Removal of the seeding layer from part of the substrate surface by ionbeam etching resulted in formation of a bi-epitaxial thin film with different c-axis orientation of two parts of the film. The bi-epitaxial film orientation and structure were studied using X-ray diffraction techniques, and surface morphology was observed with atomic force microscope (AFM). Photolithography and ion-beam etching techniques were used for patterning bi-epitaxial thin films. Electrical characterization of the obtained structures was performed.

  11. Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  12. Accompanying growth and room-temperature ferromagnetism of η-Mn3N2 thin films by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yu, Fengmei; Liu, Yajing; Yang, Mei; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2013-01-01

    η-phase manganese nitride films have been grown on LaAlO 3 (100) and LaSrAlO 4 (001) substrates by using plasma-assisted molecular beam epitaxy. On the basis of reflective high energy electron diffraction, X-ray diffraction, and X-ray photoemission spectroscopy, it is confirmed that two types of η-Mn 3 N 2 with different lattice constants coexist in the films due to the lattice mismatches between the Mn 3 N 2 films and the substrates. Magnetic properties of the films were characterized by a superconducting quantum interference device magnetometer at room temperature. The Mn 3 N 2 films on LaAlO 3 substrate were found to have room-temperature ferromagnetism. Two potential interaction mechanisms are proposed regarding the origin of the observed ferromagnetism. - Highlights: ► The films of two types of η-Mn 3 N 2 have been grown by molecular beam epitaxy. ► Mn 3 N 2 A and Mn 3 N 2 B coexisted in the films on LaAlO 3 and LaSrAlO 4 . ► The room-temperature ferromagnetism of the Mn 3 N 2 films on LaAlO 3 was obtained

  13. The invention of graphene electronics and the physics of epitaxial graphene on silicon carbide

    International Nuclear Information System (INIS)

    De Heer, Walt A

    2012-01-01

    Graphene electronics was officially invented at the Georgia Institute of Technology in 2003 after experimental and theoretical research on graphene properties starting from 2001. This paper focuses on the motivation and events leading to the invention of graphene electronics, as well as on recent developments. Graphene electronics was originally conceived as a new electronics paradigm to incorporate the room-temperature ballistic and coherent properties of carbon nanotubes in a patternable electronic material. Graphene on silicon carbide was chosen as the most suitable material. Other electronics schemes, involving transferred (exfoliated and chemical vapor deposition-produced) graphitic materials, that operate in the diffusive regime may not be competitive with standard methods and may therefore not significantly impact electronics. In recent years, epitaxial graphene has improved to the point where graphene electronics according to the original concept appears to be within reach. Beyond electronics, epitaxial graphene research has led to important developments in graphene physics in general and has become a leading platform for graphene science as well.

  14. Epitaxial growth of manganese oxide films on MgAl2O4 (001) substrates and the possible mechanism

    Science.gov (United States)

    Ren, Lizhu; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2014-03-01

    Three types of manganese oxide films were grown on MgAl2O4 (001) substrates by plasma-assisted molecular beam epitaxy (PA-MBE) under different growth rates and substrate temperatures. The structural characteristics and chemical compositions of the films were investigated by using in-situ reflection high-energy electron diffraction (RHEED), ex-situ X-ray diffraction, Raman, and X-ray photoelectron spectra (XPS). At a lower substrate temperature (730 K), the epitaxial film tends to form mixed phases with a coexistence of Mn3O4 and Mn5O8 in order to relieve the mismatch-strain. However, at a higher substrate temperature (750 K), all of the films crystallize into Mn3O4; the critical thickness of the film grown under a lower growth rate (7 Å/min) is much larger than that under a high growth rate (10 Å/min). When the film reaches a certain critical thickness, the surface will become fairly rough, and another oriented phase Mn3O4 would crystallize on such a surface.

  15. Fabrication and characterization of Bismuth-Cerium composite iron garnet epitaxial films for magneto optical applications

    Energy Technology Data Exchange (ETDEWEB)

    Chandra Sekhar, M.; Singh, Mahi R. [Department of Physics and Astronomy, 1151, Richmond Street, Western University, London, Ontario N6A 3K7 (Canada)

    2012-10-15

    The Bi{sub x}Ce{sub 3-x}Fe{sub 5}O{sub 12} (x = 0.8) epitaxial films of high quality were grown by means of pulsed laser deposition on paramagnetic substrates of Gadolinium Gallium Garnet. We study the modifications of substitutions in the parent garnet Y{sub 3}Fe{sub 5}O{sub 12} that produces a higher magneto-optical response at communication wavelengths. These films displayed a strong in plane textures which are treated in argon as well as reduced atmosphere conditions. The elemental constituents of these films were confirmed by energy dispersive-X ray analysis, elastic recoil detection system, Rutherford backscattering spectroscopy, and X-ray photoelectron spectroscopy measurements. The transmittance spectra were measured and found these films exhibit good transmittance values. The transmittance-spectra were fitted with the theoretical model and the optical constants such as refractive index and absorption edge were evaluated. The highest (negative) Faraday rotation was found for these films treated in the environment of Ar + H{sub 2}. A density matrix theory has been developed for the Faraday rotation and a good agreement between the theory and experiment is found. These epitaxial garnet films can be used in a wide range of frequencies from visible to infrared spectra making them ideal for many magneto optical applications. Therefore, these films may overcome many issues in fabricating all optical isolators which is the viable solution for integrated photonics.

  16. Pulsed laser deposited Pb(Zr,Ti)O3 thin films with excellent piezoelectric and mechanical properties

    NARCIS (Netherlands)

    Nazeer, H.; Nguyen, Duc Minh; Rijnders, Augustinus J.H.M.; Woldering, L.A.; Abelmann, Leon; Elwenspoek, Michael Curt

    We present for the first time the combined measured piezoelectric and mechanical properties of epitaxial, (110) oriented Pb(ZrxTi1-x) (PZT) thin films grown on microfabricated silicon cantilevers using pulsed laser deposition (PLD, x=0.4, 0.52, 0.6 and 0.8). The grown PZT thin films develop a strong

  17. Epitaxial growth and electronic structure of oxyhydride SrVO2H thin films

    Science.gov (United States)

    Katayama, Tsukasa; Chikamatsu, Akira; Yamada, Keisuke; Shigematsu, Kei; Onozuka, Tomoya; Minohara, Makoto; Kumigashira, Hiroshi; Ikenaga, Eiji; Hasegawa, Tetsuya

    2016-08-01

    Oxyhydride SrVO2H epitaxial thin films were fabricated on SrTiO3 substrates via topotactic hydridation of oxide SrVO3 films using CaH2. Structural and composition analyses suggested that the SrVO2H film possessed one-dimensionally ordered V-H--V bonds along the out-of-plane direction. The synthesis temperature could be lowered by reducing the film thickness, and the SrVO2H film was reversible to SrVO3 by oxidation through annealing in air. Photoemission and X-ray absorption spectroscopy measurements revealed the V3+ valence state in the SrVO2H film, indicating that the hydrogen existed as hydride. Furthermore, the electronic density of states was highly suppressed at the Fermi energy, consistent with the prediction that tetragonal distortion induces metal to insulation transition.

  18. Epitaxial growth of indium oxyfluoride thin films by reactive pulsed laser deposition: Structural change induced by fluorine insertion into vacancy sites in bixbyite structure

    Energy Technology Data Exchange (ETDEWEB)

    Okazaki, Sohei [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency (JST), 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Hirose, Yasushi, E-mail: hirose@chem.s.u-tokyo.ac.jp [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency (JST), 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Department of Chemistry, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Nakao, Shoichiro [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency (JST), 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Yang, Chang [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency (JST), 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Department of Chemistry, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Harayama, Isao; Sekiba, Daiichiro [Tandem Accelerator Complex, University of Tsukuba, Tennodai 1-1-1, Tsukuba, Ibaraki 305-8577 (Japan); Hasegawa, Tetsuya [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency (JST), 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Department of Chemistry, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan)

    2014-05-30

    InO{sub x}F{sub y} thin films were epitaxially grown on Y-stabilized ZrO{sub 2} (111) substrates by reactive pulsed laser deposition. By changing the substrate temperature (T{sub S}), we were able to control the fluorine content of the film. Phase-pure epitaxial thin films with bixbyite-like ordering in the anion-site occupancy were obtained at high T{sub S} (≥ 240 °C), where fluorine was inserted into the vacancy sites in the bixbyite lattice up to y / (x + y) ∼ 0.3. By decreasing T{sub S}, y / (x + y) increased and the bixbyite-like ordering disappeared; simultaneously, fluorine-rich and fluorine-poor subphases emerged. The films grown at T{sub S} ≤ 150 °C were amorphous and exhibited higher optical absorbance and electrical resistivity than the epitaxial films. - Highlights: • InO{sub x}F{sub y} epitaxial thin films with high fluorine concentration were grown on Y:ZrO{sub 2}. • Anion composition and structural, optical and transport properties were studied. • Fluorine is topotactically inserted into the oxygen vacancy sites in bixbyite cell. • Bixbyite-like ordering of the anion site occupancy was conserved in y / (x + y) ≤ ∼ 0.3.

  19. Vacancy defects in epitaxial La0.7Sr0.3MnO3 thin films probed by a slow positron beam

    International Nuclear Information System (INIS)

    Jin, S W; Zhou, X Y; Wu, W B; Zhu, C F; Weng, H M; Wang, H Y; Zhang, X F; Ye, B J; Han, R D

    2004-01-01

    Vacancy defects in epitaxial La 0.7 Sr 0.3 MnO 3 (LSMO) thin films on LaAlO 3 substrates were detected using a variable energy positron beam. The line-shape S parameter of the epitaxial thin films deposited at different oxygen pressures was measured as a function of the implanting positron energy E. Our results show that the S parameter of the films changes non-monotonically with their deposition oxygen pressures. For the films deposited at lower oxygen pressures, the increase in S value in the films is attributed to the increase in oxygen vacancies and/or related defect-V O complexes, and for those deposited at higher oxygen pressures, the larger S parameter of the films is caused by the grain boundaries and/or metallic ion vacancies. The surface morphology of the films was also characterized to analyse the open volume defects in the LSMO films

  20. Laser energy tuning of carrier effective mass and thermopower in epitaxial oxide thin films

    KAUST Repository

    Abutaha, Anas I.; Sarath Kumar, S. R.; Alshareef, Husam N.

    2012-01-01

    The effect of the laser fluence on high temperature thermoelectric properties of the La doped SrTiO3 (SLTO) thin films epitaxially grown on LaAlO3 〈100〉 substrates by pulsed laser deposition is clarified. It is shown that oxygen vacancies

  1. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1−xFex)2O3 multilayer thin films

    Science.gov (United States)

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-01-01

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe2+ and Fe3+ are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What’s more, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3. PMID:27121446

  2. Mechanical properties of metal-organic frameworks: An indentation study on epitaxial thin films

    Science.gov (United States)

    Bundschuh, S.; Kraft, O.; Arslan, H. K.; Gliemann, H.; Weidler, P. G.; Wöll, C.

    2012-09-01

    We have determined the hardness and Young's modulus of a highly porous metal-organic framework (MOF) using a standard nanoindentation technique. Despite the very low density of these films, 1.22 g cm-3, Young's modulus reaches values of almost 10 GPa for HKUST-1, demonstrating that this porous coordination polymer is substantially stiffer than normal polymers. This progress in characterizing mechanical properties of MOFs has been made possible by the use of high quality, oriented thin films grown using liquid phase epitaxy on modified Au substrates.

  3. Enhanced Electroluminescence from Silicon Quantum Dots Embedded in Silicon Nitride Thin Films Coupled with Gold Nanoparticles in Light Emitting Devices

    Directory of Open Access Journals (Sweden)

    Ana Luz Muñoz-Rosas

    2018-03-01

    Full Text Available Nowadays, the use of plasmonic metal layers to improve the photonic emission characteristics of several semiconductor quantum dots is a booming tool. In this work, we report the use of silicon quantum dots (SiQDs embedded in a silicon nitride thin film coupled with an ultra-thin gold film (AuNPs to fabricate light emitting devices. We used the remote plasma enhanced chemical vapor deposition technique (RPECVD in order to grow two types of silicon nitride thin films. One with an almost stoichiometric composition, acting as non-radiative spacer; the other one, with a silicon excess in its chemical composition, which causes the formation of silicon quantum dots imbibed in the silicon nitride thin film. The ultra-thin gold film was deposited by the direct current (DC-sputtering technique, and an aluminum doped zinc oxide thin film (AZO which was deposited by means of ultrasonic spray pyrolysis, plays the role of the ohmic metal-like electrode. We found that there is a maximum electroluminescence (EL enhancement when the appropriate AuNPs-spacer-SiQDs configuration is used. This EL is achieved at a moderate turn-on voltage of 11 V, and the EL enhancement is around four times bigger than the photoluminescence (PL enhancement of the same AuNPs-spacer-SiQDs configuration. From our experimental results, we surmise that EL enhancement may indeed be due to a plasmonic coupling. This kind of silicon-based LEDs has the potential for technology transfer.

  4. Molecular beam epitaxy growth of InSb1−xBix thin films

    DEFF Research Database (Denmark)

    Song, Yuxin; Wang, Shumin; Saha Roy, Ivy

    2013-01-01

    Molecular beam epitaxy growth for InSb1−xBix thin films on (100) GaAs substrates is reported. Successful Bi incorporation for 2% is achieved, and up to 70% of the incorporated Bi atoms are at substitutional sites. The effects of growth parameters on Bi incorporation and surface morphology are stu...

  5. Interplay between magnetocrystalline anisotropy and exchange bias in epitaxial CoO/Co films

    International Nuclear Information System (INIS)

    Liu, Hao-Liang; Zeng, Yu-Jia; Van Haesendonck, Chris; Brems, Steven; Temst, Kristiaan; Vantomme, André

    2016-01-01

    The interplay between magnetocrystalline anisotropy and exchange bias is investigated in CoO/Co bilayer films, which are grown epitaxially on MgO (0 0 1), by magnetization reversal measurements based on the anisotropic magnetoresistance (AMR) effect. While an asymmetric magnetization reversal survives after training for cooling field (CF) along the hard axis, the magnetization reversal becomes symmetric and is dominated in both branches of the hysteresis loop by domain wall motion before and after training for CF along the easy axis. When performing an in-plane hysteresis loop perpendicular to the CF, the hysteresis loop along the easy axis becomes asymmetric: magnetization rotation dominates in the ascending branch, while there is a larger contribution of domain wall motion in the descending branch. Furthermore, the azimuthal angular dependence of the AMR shows two minima after performing a perpendicular hysteresis loop, instead of only one minimum after training. Relying on the extended Fulcomer and Charap model, these effects can be related to an increased deviation of the average uncompensated antiferromagnetic magnetization from the CF direction. This model provides a consistent interpretation of training and asymmetry of the magnetization reversal for epitaxial films with pronounced magnetocrystalline anisotropy as well as for the previously investigated polycrystalline films. (paper)

  6. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1995-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  7. Adsorbate-induced one-dimensional long-range modulation of an epitaxial insulator film

    International Nuclear Information System (INIS)

    Ernst, W.; Eichmann, M.; Pfnuer, H.; Jonas, K.-L.; Oeynhausen, V. von; Meiwes-Broer, K.H.

    2002-01-01

    Using low-energy electron diffraction and scanning tunneling microscopy, we found that epitaxial NaCl films grown on Ge(100) with thicknesses up to (at least) 15 monolayers can be modulated with a period of six lattice constants and an amplitude directed mainly normal to their surface. The (6x1) periodicity on the NaCl films is induced by a preadsorbed Na layer at very low coverages (Θ≅0.06), that form chain structures with a sixfold periodicity in one dimension. At 10 monolayers thickness of NaCl a modulation amplitude of 0.28 Aa was obtained

  8. Surface and Interface Properties of 10–12 Unit Cells Thick Sputter Deposited Epitaxial CeO2 Films

    Directory of Open Access Journals (Sweden)

    L. V. Saraf

    2008-01-01

    Full Text Available Ultrathin and continuous epitaxial films with relaxed lattice strain can potentially maintain more of its bulk physical and chemical properties and are useful as buffer layers. We study surface, interface, and microstructural properties of ultrathin (∼10–12 unit cells thick epitaxial ceria films grown on single crystal YSZ substrates. The out-of -plane and in-plane lattice parameters indicate relaxation in the continuous film due to misfit dislocations seen by high-resolution transmission electron microscopy (HRTEM and substrate roughness of ∼1-2 unit cells, confirmed by atomic force microscopy and HRTEM. A combination of secondary sputtering, lattice mismatch, substrate roughness, and surface reduction creating secondary phase was likely the cause of surface roughness which should be reduced to a minimum level for effective use of it as buffer layers.

  9. For progress in natural science: Materials international investigations of structural phase transformation and THz properties across metal–insulator transition in VO2/Al2O3 epitaxial films

    Directory of Open Access Journals (Sweden)

    Mengmeng Yang

    2015-10-01

    Full Text Available Vanadium dioxide (VO2 epitaxial thin films on (0001-oriented Al2O3 substrates were prepared using radio frequency (RF magnetron sputtering techniques. To study the metal-insulator-transition (MIT mechanism and extend the applications of VO2 epitaxial films at terahertz (THz band, temperature-dependent X-ray diffraction (XRD and THz time domain spectroscopy of the VO2 epitaxial films were performed. Both the lattice constants and THz transmission exhibited a similar and sharp transition that was similar to that observed for the electrical resistance. Consequently, the MIT of the VO2/Al2O3 epitaxial films should be co-triggered by the structural phase transition and electronic transition. Moreover, the very large resistance change (on the order of ~103 and THz response (with a transmission modulation ratio of ~87% in the VO2/Al2O3 epitaxial heterostructures are promising for electrical switch and electro-optical device applications.

  10. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  11. Physical and electrical characteristics of silicon oxynitride films with various refractive indices

    Energy Technology Data Exchange (ETDEWEB)

    Liao, Jeng-Hwa; Hsieh, Jung-Yu; Lin, Hsing-Ju; Tang, Wei-Yao; Chiang, Chun-Ling; Yang, Ling-Wu; Yang, Tahone; Chen, Kuang-Chao; Lu, Chih-Yuan [Macronix International Co. Ltd, No 16, Li-Hsin Road, Hsinchu Science Park, Hsinchu 300, Taiwan (China); Lo, Yun-Shan; Wu, Tai-Bor, E-mail: jhliao@mxic.com.t [Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 300, Taiwan (China)

    2009-09-07

    This study explores the relationship between both the physical and the electrical characteristics of silicon oxynitride (SiON) films and the refractive index. The single wafer rapid thermal process modules were used for low pressure chemical vapour deposition of SiON films. A series of SiON films with refractive index between 1.50 and 1.83 were fabricated. Fourier transform infrared absorption spectroscopy and x-ray photoelectron spectroscopy identified the chemical bonding configurations of different SiON films: the Si-N bonds are replaced by Si-O bonds as the refractive index of the SiON films declines. Moreover, the Si atomic ratio is kept between 35% and 40% while the oxygen atomic ratio increases and the nitrogen atomic ratio decreases as the refractive index of the SiON film declines. The electrical characteristics of different SiON-based silicon-oxide-nitride-oxide-silicon (SONOS) devices suggest that (1) the dielectric constant increases with increasing refractive index of the SiON film and (2) the charge-trap density is inversely proportional to the oxygen concentration in the SiON film. Based on these results, the SiON films with various refractive indices can provide a wider application for silicon-based devices, such as SONOS and MOS devices.

  12. Physical and electrical characteristics of silicon oxynitride films with various refractive indices

    International Nuclear Information System (INIS)

    Liao, Jeng-Hwa; Hsieh, Jung-Yu; Lin, Hsing-Ju; Tang, Wei-Yao; Chiang, Chun-Ling; Yang, Ling-Wu; Yang, Tahone; Chen, Kuang-Chao; Lu, Chih-Yuan; Lo, Yun-Shan; Wu, Tai-Bor

    2009-01-01

    This study explores the relationship between both the physical and the electrical characteristics of silicon oxynitride (SiON) films and the refractive index. The single wafer rapid thermal process modules were used for low pressure chemical vapour deposition of SiON films. A series of SiON films with refractive index between 1.50 and 1.83 were fabricated. Fourier transform infrared absorption spectroscopy and x-ray photoelectron spectroscopy identified the chemical bonding configurations of different SiON films: the Si-N bonds are replaced by Si-O bonds as the refractive index of the SiON films declines. Moreover, the Si atomic ratio is kept between 35% and 40% while the oxygen atomic ratio increases and the nitrogen atomic ratio decreases as the refractive index of the SiON film declines. The electrical characteristics of different SiON-based silicon-oxide-nitride-oxide-silicon (SONOS) devices suggest that (1) the dielectric constant increases with increasing refractive index of the SiON film and (2) the charge-trap density is inversely proportional to the oxygen concentration in the SiON film. Based on these results, the SiON films with various refractive indices can provide a wider application for silicon-based devices, such as SONOS and MOS devices.

  13. Magnetic structural effect (MSE in epitaxial films of cerium oxide and lanthanum zirconate

    Directory of Open Access Journals (Sweden)

    Fatima Kh. Chibirova

    2015-06-01

    Full Text Available Increasing the critical current density in the second generation high-temperature superconducting wires (2G HTS is the major challenge for researchers and manufacturers of 2G HTS wires all over the world. We proposed a new approach to increase the number of percolation paths for supercurrent, i.e. increasing the number of low angle grain boundaries (<5° in the epitaxial superconducting YBCO layer by magnetic structural processing (MSP of buffer layers. New experimental results have been presented on the application of MSP for improving the structure and increasing the texture sharpness of buffer in electrical conducting element of 2G HTS wire. The influence of MCO on the structural and textural properties has been investigated in a buffer consisting of epitaxial films of cerium oxide CeO2 and lanthanum zirconate La2Zr2O7 in the CeO2/4La2Zr2O7 architecture. The influence of the magnetic processing of the epitaxial La2Zr2O7 buffer film on the shape of grains has been found. An atomic force microscopical study has shown that after magnetic processing the shape of grains improved significantly. A multilayer CeO2/4La2Zr2O7 buffer each layer of which was processed in a magnetic field has a high degree of orientation: only one diffraction peak with (200 indexes is observed in the X-ray spectrum. The X-ray settings of the (200 diffraction peak indicate a well developed epitaxial structure of CeO2 and La2Zr2O7 layers. The texture of the buffer is by more than 2° sharper than that of the Ni–5 at% W substrate.

  14. Optimization studies of HgSe thin film deposition by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-06-01

    Full Text Available Studies of the optimization of HgSe thin film deposition using electrochemical atomic layer epitaxy (EC-ALE) are reported. Cyclic voltammetry was used to obtain approximate deposition potentials for each element. These potentials were then coupled...

  15. Polarized Raman scattering study of PSN single crystals and epitaxial thin films

    Directory of Open Access Journals (Sweden)

    J. Pokorný

    2015-06-01

    Full Text Available This paper describes a detailed analysis of the dependence of Raman scattering intensity on the polarization of the incident and inelastically scattered light in PbSc0.5Nb0.5O3 (PSN single crystals and epitaxially compressed thin films grown on (100-oriented MgO substrates. It is found that there are significant differences between the properties of the crystals and films, and that these differences can be attributed to the anticipated structural differences between these two forms of the same material. In particular, the scattering characteristics of the oxygen octahedra breathing mode near 810 cm-1 indicate a ferroelectric state for the crystals and a relaxor state for the films, which is consistent with the dielectric behaviors of these materials.

  16. Crystalline Silicon Solar Cells with Thin Silicon Passivation Film Deposited prior to Phosphorous Diffusion

    Directory of Open Access Journals (Sweden)

    Ching-Tao Li

    2014-01-01

    Full Text Available We demonstrate the performance improvement of p-type single-crystalline silicon (sc-Si solar cells resulting from front surface passivation by a thin amorphous silicon (a-Si film deposited prior to phosphorus diffusion. The conversion efficiency was improved for the sample with an a-Si film of ~5 nm thickness deposited on the front surface prior to high-temperature phosphorus diffusion, with respect to the samples with an a-Si film deposited on the front surface after phosphorus diffusion. The improvement in conversion efficiency is 0.4% absolute with respect to a-Si film passivated cells, that is, the cells with an a-Si film deposited on the front surface after phosphorus diffusion. The new technique provided a 0.5% improvement in conversion efficiency compared to the cells without a-Si passivation. Such performance improvements result from reduced surface recombination as well as lowered contact resistance, the latter of which induces a high fill factor of the solar cell.

  17. Chemical state analysis of heavily phosphorus-doped epitaxial silicon films grown on Si (1 0 0) by X-ray photoelectron spectroscopy

    Science.gov (United States)

    Lee, Minhyeong; Kim, Sungtae; Ko, Dae-Hong

    2018-06-01

    In this work, we investigated the chemical bonding states in highly P-doped Si thin films epitaxially grown on Si (0 0 1) substrates using high-resolution X-ray photoelectron spectroscopy (HR-XPS). HR-XPS P 2p core-level spectra clearly show spin-orbital splitting between P 2p1/2 and P 2p3/2 peaks in Si films doped with a high concentration of P. Moreover, the intensities of P 2p1/2 and P 2p3/2 peaks for P-doped Si films increase with P concentrations, while their binding energies remained almost identical. These results indicate that more P atoms are incorporated into the substitutional sites of the Si lattice with the increase of P concentrations. In order to identify the chemical states of P-doped Si films shown in XPS Si 2p spectra, the spectra of bulk Si were subtracted from those of Si:P samples, which enables us to clearly identify the new chemical state related to Sisbnd P bonds. We observed that the presence of the two well-resolved new peaks only for the Si:P samples at the binding energy higher than those of a Sisbnd Si bond, which is due to the strong electronegativity of P than that of Si. Experimental findings in this study using XPS open up new doors for evaluating the chemical states of P-doped Si materials in fundamental researches as well as in industrial applications.

  18. Growth and characterization of ultrathin epitaxial MnO film on Ag(001)

    Science.gov (United States)

    Kundu, Asish K.; Menon, Krishnakumar S. R.

    2016-07-01

    We present here a comprehensive growth procedure to obtain a well-ordered MnO(001) ultrathin film on Ag(001) substrate. Depending upon the oxygen partial pressure during the growth, different phases of manganese oxide have been detected by Low Energy Electron Diffraction (LEED) and X-ray Photoelectron Spectroscopic (XPS) studies. A modified growth scheme has been adopted to get well-ordered and stoichiometric MnO(001) ultrathin film. The detailed growth mechanism of epitaxial MnO film on Ag(001) has been studied step by step, using LEED and XPS techniques. Observation of sharp (1 × 1) LEED pattern with a low inelastic background, corresponds to a long-range atomic order with low defect densities indicating the high structural quality of the film. The Mn 2p and Mn 3s core-level spectra confirm the oxidation state as well as the stoichiometry of the grown MnO films. Apart from the growth optimization, the evolution of strain relaxation of the MnO(001) film with film thickness has been explored.

  19. Epitaxy of GaN on silicon-impact of symmetry and surface reconstruction

    International Nuclear Information System (INIS)

    Dadgar, A; Schulze, F; Wienecke, M; Gadanecz, A; Blaesing, J; Veit, P; Hempel, T; Diez, A; Christen, J; Krost, A

    2007-01-01

    GaN-on-silicon is a low-cost alternative to growth on sapphire or SiC. Today epitaxial growth is usually performed on Si(111), which has a threefold symmetry. The growth of single crystalline GaN on Si(001), the material of the complementary metal oxide semiconductor (CMOS) industry, is more difficult due to the fourfold symmetry of this Si surface leading to two differently aligned domains. We show that breaking the symmetry to achieve single crystalline growth can be performed, e.g. by off-oriented substrates to achieve single crystalline device quality GaN layers. Furthermore, an exotic Si orientation for GaN growth is Si(110), which we show is even better suited as compared to Si(111) for the growth of high quality GaN-on-silicon with a nearly threefold reduction in the full width at half maximum (FWHM) of the (1 1-bar 0 0)ω-scan. It is found that a twofold surface symmetry is in principal suitable for the growth of single crystalline GaN on Si

  20. Structural and electrical properties of c-axis epitaxial and polycrystalline Sr sub 3 Bi sub 4 Ti sub 6 O sub 2 sub 1 thin films

    CERN Document Server

    Zhang, S T; Sun, H P; Pan Xiao Qing; Tan, W S; Liu, Z G; Ming, N B

    2003-01-01

    c-axis epitaxial and polycrystalline Sr sub 3 Bi sub 4 Ti sub 6 O sub 2 sub 1 (SBTi) thin films were fabricated on (001)SrTiO sub 3 (STO) single-crystal substrates and Pt/Ti sub 2 /SiO sub 2 /Si substrates respectively, by pulsed laser deposition (PLD). Structures of the films were systematically characterized by x-ray diffraction (XRD), including theta-2 theta-scans, rocking curve scans and phi-scans, atomic force microscopy and transmission electron microscopy (TEM). The epitaxial orientation relation of the SBTi films on STO is established by selected-area electron diffraction and XRD phi-scans to be (001)SBTi || (001)STO, [11-bar 0]SBTi || [010]STO. Cross-sectional high-resolution TEM studies on the epitaxial SBTi film revealed that SBTi is a single-phase material. A special kind of irrational atomic shift along the [001] direction was observed and is discussed in detail. By using an evanescent microwave probe (EMP), the room-temperature dielectric constant of the epitaxial SBTi film was measured to be 21...

  1. Electronic structure and local distortions in epitaxial ScGaN films

    International Nuclear Information System (INIS)

    Knoll, S M; Zhang, S; Rovezzi, M; Joyce, T B; Moram, M A

    2014-01-01

    High energy resolution fluorescence-detected x-ray absorption spectroscopy and density functional theory calculations were used to investigate the local bonding and electronic structure of Sc in epitaxial wurtzite-structure Sc x Ga 1−x N films with x ≤ 0.059. Sc atoms are found to substitute for Ga atoms, accompanied by a local distortion involving an increase in the internal lattice parameter u around the Sc atoms. The local bonding and electronic structure at Sc are not affected strongly by the strain state or the defect microstructure of the films. These data are consistent with theoretical predictions regarding the electronic structure of dilute Sc x Ga 1−x N alloys. (paper)

  2. Solid phase epitaxial growth of high mobility La:BaSnO_3 thin films co-doped with interstitial hydrogen

    International Nuclear Information System (INIS)

    Niedermeier, Christian A.; Rhode, Sneha; Fearn, Sarah; Moram, Michelle A.; Ide, Keisuke; Hiramatsu, Hidenori; Hosono, Hideo; Kamiya, Toshio

    2016-01-01

    This work presents the solid phase epitaxial growth of high mobility La:BaSnO_3 thin films on SrTiO_3 single crystal substrates by crystallization through thermal annealing of nanocrystalline thin films prepared by pulsed laser deposition at room temperature. The La:BaSnO_3 thin films show high epitaxial quality and Hall mobilities up to 26 ± 1 cm"2/Vs. Secondary ion mass spectroscopy is used to determine the La concentration profile in the La:BaSnO_3 thin films, and a 9%–16% La doping activation efficiency is obtained. An investigation of H doping to BaSnO_3 thin films is presented employing H plasma treatment at room temperature. Carrier concentrations in previously insulating BaSnO_3 thin films were increased to 3 × 10"1"9" cm"−"3 and in La:BaSnO_3 thin films from 6 × 10"1"9" cm"−"3 to 1.5 × 10"2"0" cm"−"3, supporting a theoretical prediction that interstitial H serves as an excellent n-type dopant. An analysis of the free electron absorption by infrared spectroscopy yields a small (H,La):BaSnO_3 electron effective mass of 0.27 ± 0.05 m_0 and an optical mobility of 26 ± 7 cm"2/Vs. As compared to La:BaSnO_3 single crystals, the smaller electron mobility in epitaxial thin films grown on SrTiO_3 substrates is ascribed to threading dislocations as observed in high resolution transmission electron micrographs.

  3. Morphology and grain structure evolution during epitaxial growth of Ag films on native-oxide-covered Si surface

    International Nuclear Information System (INIS)

    Hur, Tae-Bong; Kim, Hong Koo; Perello, David; Yun, Minhee; Kulovits, Andreas; Wiezorek, Joerg

    2008-01-01

    Epitaxial nanocrystalline Ag films were grown on initially native-oxide-covered Si(001) substrates using radio-frequency magnetron sputtering. Mechanisms of grain growth and morphology evolution were investigated. An epitaxially oriented Ag layer (∼5 nm thick) formed on the oxide-desorbed Si surface during the initial growth phase. After a period of growth instability, characterized as kinetic roughening, grain growth stagnation, and increase of step-edge density, a layer of nanocrystalline Ag grains with a uniform size distribution appeared on the quasi-two-dimensional layer. This hierarchical process of film formation is attributed to the dynamic interplay between incoming energetic Ag particles and native oxide. The cyclic interaction (desorption and migration) of the oxide with the growing Ag film is found to play a crucial role in the characteristic evolution of grain growth and morphology change involving an interval of grain growth stagnation

  4. Photoemission electronic states of epitaxially grown magnetite films

    International Nuclear Information System (INIS)

    Zalecki, R.; Kolodziejczyk, A.; Korecki, J.; Spiridis, N.; Zajac, M.; Kozlowski, A.; Kakol, Z.; Antolak, D.

    2007-01-01

    The valence band photoemission spectra of epitaxially grown 300 A single crystalline magnetite films were measured by the angle-resolved ultraviolet photoemission spectroscopy (ARUPS) at 300 K. The samples were grown either on MgO(0 0 1) (B termination) or on (0 0 1) Fe (iron-rich A termination), thus intentionally presenting different surface stoichiometry, i.e. also different surface electronic states. Four main features of the electron photoemission at about -1.0, -3.0, -5.5 and -10.0 eV below a chemical potential show systematic differences for two terminations; this difference depends on the electron outgoing angle. Our studies confirm sensitivity of angle resolved PES technique on subtleties of surface states

  5. Laser annealed HWCVD and PECVD thin silicon films. Electron field emission

    International Nuclear Information System (INIS)

    O'Neill, K.A.; Shaikh, M.Z.; Lyttle, G.; Anthony, S.; Fan, Y.C.; Persheyev, S.K.; Rose, M.J.

    2006-01-01

    Electron Field Emission (FE) properties of various laser annealed thin silicon films on different substrates were investigated. HWCVD microcrystalline and PECVD amorphous silicon films were irradiated with Nd : YAG and XeCl Excimer lasers at varying energy densities. Encouraging FE results were mainly from XeCl Excimer laser processed PECVD and HWCVD films on metal backplanes. FE measurements were complemented by the study of film surface morphology. Geometric field enhancement factors from surface measurements and Fowler-Nordheim Theory (FNT) were compared. FE properties of the films were also found to be particularly influenced by the backplane material

  6. Directed Atom-by-Atom Assembly of Dopants in Silicon.

    Science.gov (United States)

    Hudak, Bethany M; Song, Jiaming; Sims, Hunter; Troparevsky, M Claudia; Humble, Travis S; Pantelides, Sokrates T; Snijders, Paul C; Lupini, Andrew R

    2018-05-17

    The ability to controllably position single atoms inside materials is key for the ultimate fabrication of devices with functionalities governed by atomic-scale properties. Single bismuth dopant atoms in silicon provide an ideal case study in view of proposals for single-dopant quantum bits. However, bismuth is the least soluble pnictogen in silicon, meaning that the dopant atoms tend to migrate out of position during sample growth. Here, we demonstrate epitaxial growth of thin silicon films doped with bismuth. We use atomic-resolution aberration-corrected imaging to view the as-grown dopant distribution and then to controllably position single dopants inside the film. Atomic-scale quantum-mechanical calculations corroborate the experimental findings. These results indicate that the scanning transmission electron microscope is of particular interest for assembling functional materials atom-by-atom because it offers both real-time monitoring and atom manipulation. We envision electron-beam manipulation of atoms inside materials as an achievable route to controllable assembly of structures of individual dopants.

  7. Conciliating surface superhydrophobicities and mechanical strength of porous silicon films

    Science.gov (United States)

    Wang, Fuguo; Zhao, Kun; Cheng, Jinchun; Zhang, Junyan

    2011-01-01

    Hydrophobic surfaces on Mechanical stable macroporous silicon films were prepared by electrochemical etching with subsequent octadecyltrichlorosilane (OTS) modification. The surface morphologies were controlled by current densities and the mechanical properties were adjusted by their corresponding porosities. Contrast with the smooth macroporous silicon films with lower porosities (34.1%) and microporous silicon with higher porosities (97%), the macroporous film with a rough three-dimension (3D) surface and a moderate pore to cross-section area ratio (37.8%, PSi2‧) exhibited both good mechanical strength (Yong' modulus, shear modulus and collapse strength are 64.2, 24.1 and 0.32 GPa, respectively) and surface superhydrophobicity (water contact angle is 158.4 ± 2° and sliding angle is 2.7 ± 1°). This result revealed that the surface hydrophobicities (or the surface roughness) and mechanical strength of porous films could be conciliated by pore to cross-section area ratios control and 3D structures construction. Thus, the superhydrophobic surfaces on mechanical stable porous films could be obtained by 3D structures fabrication on porous film with proper pore to cross-section area ratios.

  8. Comparative study of the biodegradability of porous silicon films in simulated body fluid.

    Science.gov (United States)

    Peckham, J; Andrews, G T

    2015-01-01

    The biodegradability of oxidized microporous, mesoporous and macroporous silicon films in a simulated body fluid with ion concentrations similar to those found in human blood plasma were studied using gravimetry. Film dissolution rates were determined by periodically weighing the samples after removal from the fluid. The dissolution rates for microporous silicon were found to be higher than those for mesoporous silicon of comparable porosity. The dissolution rate of macroporous silicon was much lower than that for either microporous or mesoporous silicon. This is attributed to the fact that its specific surface area is much lower than that of microporous and mesoporous silicon. Using an equation adapted from [Surf. Sci. Lett. 306 (1994), L550-L554], the dissolution rate of porous silicon in simulated body fluid can be estimated if the film thickness and specific surface area are known.

  9. Critical thickness of high structural quality SrTiO3 films grown on orthorhombic (101) DyScO3

    International Nuclear Information System (INIS)

    Biegalski, M. D.; Trolier-McKinstry, S.; Schlom, D. G.; Fong, D. D.; Eastman, J. A.; Fuoss, P. H.; Streiffer, S. K.; Heeg, T.; Schubert, J.; Tian, W.; Nelson, C. T.; Pan, X. Q.; Hawley, M. E.; Bernhagen, M.; Reiche, P.; Uecker, R.

    2008-01-01

    Strained epitaxial SrTiO 3 films were grown on orthorhombic (101) DyScO 3 substrates by reactive molecular-beam epitaxy. The epitaxy of this substrate/film combination is cube on cube with a pseudocubic out-of-plane (001) orientation. The strain state and structural perfection of films with thicknesses ranging from 50 to 1000 A were examined using x-ray scattering. The critical thickness at which misfit dislocations was introduced was between 350 and 500 A. These films have the narrowest rocking curves (full width at half maximum) ever reported for any heteroepitaxial oxide film (0.0018 deg.). Only a modest amount of relaxation is seen in films exceeding the critical thicknesses even after postdeposition annealing at 700 deg. C in 1 atm of oxygen. The dependence of strain relaxation on crystallographic direction is attributed to the anisotropy of the substrate. These SrTiO 3 films show structural quality more typical of semiconductors such as GaAs and silicon than perovskite materials; their structural relaxation behavior also shows similarity to that of compound semiconductor films

  10. Effect of carbon additive on microstructure evolution and magnetic properties of epitaxial FePt (001) thin films

    International Nuclear Information System (INIS)

    Ding, Y.F.; Chen, J.S.; Liu, E.; Lim, B.C.; Hu, J.F.; Liu, B.

    2009-01-01

    FePt:C thin films were deposited on CrRu underlayers by DC magnetron co-sputtering. The effects of C content, FePt:C film thickness and substrate temperature on the microstructural and magnetic properties of the epitaxial FePt (001) films were studied. Experimental results showed that even with 30 vol.% C doping, the FePt films could keep a (001) preferred orientation at 350 deg. C . When a FePt:C film was very thin (< 5 nm), the film had a continuous microstructure instead of a granual structure with C diffused onto the film surface. With further increased film thickness, the film started to nucleate and formed a column microstructure over continuous FePt films. A strong exchange coupling in the FePt:C films was believed to be due to the presence of a thin continuous FePt layer attributed to the carbon diffusion during the initial stage of the FePt:C film growth. Despite the presence of a strong exchange coupling in the FePt:C (20 vol.% C) film, the SNR ratio of the FePt:C media was about 10 dB better than that of the pure FePt media. The epitaxial growth of the FePt:C films on the Pt layers was observed from high resolution TEM cross sectional images even for the films grown at about 200 deg. C . The TEM images did not show an obvious change in the morphology of the FePt:C films deposited at different temperatures (from 200 deg. C to 350 deg. C ), though the ordering degree and coercivity of the films increased with increased substrate temperature

  11. On the dielectric and optical properties of surface-anchored metal-organic frameworks: A study on epitaxially grown thin films

    Science.gov (United States)

    Redel, Engelbert; Wang, Zhengbang; Walheim, Stefan; Liu, Jinxuan; Gliemann, Hartmut; Wöll, Christof

    2013-08-01

    We determine the optical constants of two highly porous, crystalline metal-organic frameworks (MOFs). Since it is problematic to determine the optical constants for the standard powder modification of these porous solids, we instead use surface-anchored metal-organic frameworks (SURMOFs). These MOF thin films are grown using liquid phase epitaxy (LPE) on modified silicon substrates. The produced SURMOF thin films exhibit good optical properties; these porous coatings are smooth as well as crack-free, they do not scatter visible light, and they have a homogenous interference color over the entire sample. Therefore, spectroscopic ellipsometry (SE) can be used in a straightforward fashion to determine the corresponding SURMOF optical properties. After careful removal of the solvent molecules used in the fabrication process as well as the residual water adsorbed in the voids of this highly porous solid, we determine an optical constant of n = 1.39 at a wavelength of 750 nm for HKUST-1 (stands for Hong Kong University of Science and Technology-1; and was first discovered there) or [Cu3(BTC)2]. After exposing these SURMOF thin films to moisture/EtOH atmosphere, the refractive index (n) increases to n = 1.55-1.6. This dependence of the optical properties on water/EtOH adsorption demonstrates the potential of such SURMOF materials for optical sensing.

  12. Ferromagnetic resonance of facing-target sputtered epitaxial γ‧-Fe4N films: the influence of thickness and substrates

    Science.gov (United States)

    Lai, Zhengxun; Li, Zirun; Liu, Xiang; Bai, Lihui; Tian, Yufeng; Mi, Wenbo

    2018-06-01

    The microstructure and high frequency properties of facing-target sputtered epitaxial γ‧-Fe4N films were investigated in detail. It was found that the eddy current in ultrathin γ‧-Fe4N films is too small to influence the ferromagnetic resonance (FMR) linewidth, where the linewidth is mostly determined by intrinsic damping and the two-magnon scattering (TMS) process. In relatively thick films, the TMS process can significantly affect the linewidth due to the roughness on the sample surface. However, the TMS process in a thin film is quite weak because of its smooth surface. The Gilbert damping constant of about 0.0135 in our γ‧-Fe4N films is smaller than the experimental value in the previous work. Moreover, substrates can also influence the FMR linewidth of the γ‧-Fe4N films by the TMS process. Besides, the resonance field of polycrystalline γ‧-Fe4N film is larger than the epitaxial ones because of the lack of a magnetic anisotropic field, but the linewidth of the polycrystalline γ‧-Fe4N film is smaller.

  13. Magneto-transport and thermoelectric properties of epitaxial FeSb{sub 2} thin film on MgO substrate

    Energy Technology Data Exchange (ETDEWEB)

    Duong, Anh Tuan; Rhim, S. H., E-mail: sonny@ulsan.ac.kr; Shin, Yooleemi; Nguyen, Van Quang; Cho, Sunglae, E-mail: slcho@ulsan.ac.kr [Department of Physics and Energy Harvest-Storage Research Center, University of Ulsan, Ulsan 680-749 (Korea, Republic of)

    2015-01-19

    We report magneto-transport and thermoelectric properties of FeSb{sub 2} thin film epitaxially grown on the MgO substrate using molecular beam epitaxy. The film exhibits compressive strain of 1.74% owing to large lattice mismatch, whose physical consequences are nontrivial. Magnetic phase has been changed from diamagnetic in bulk, as evidenced by anomalous Hall effect (AHE) and negative magneto-resistance (MR). The FeSb{sub 2} film is semiconducting without any metallic transition unlike the bulk counterpart. In particular, hysteresis in MR with distinct feature of AHE is evident with coercive field of 500 and 110 Oe for T = 20 and 50 K, respectively. Furthermore, from the Seebeck coefficients and temperature dependence of the resistivity, it is evident that the film is semiconducting with small band gap: 3.76 meV for T < 40 K and 13.48 meV for T > 40 K, respectively, where maximum thermoelectric power factor of 12 μV/cm·K at T = 50 K.

  14. Computer graphic investigation on the epitaxial growth of superconductor films

    International Nuclear Information System (INIS)

    Miyamoto, A.; Iwamoto, S.; Inui, T.; Agusa, K.

    1989-01-01

    A mechanism of the epitaxial growth the oxide superconductor films has been investigated by using the computer graphics for the combination of orthorhombic Ba 2 YCu 3 O 7-x with substrate crystals such as SrTiO 3 MgO, and ZrO 2 . The (001) plane Ba 2 YCu 3 O 7-x with substrate crystals such as SrTiO 3 , MgO, and ZrO 2 . The (001) plane of Ba 2 YCu 3 O 7-x has been shown to fit the (100) plane of SrTiO 3 , MgO, and ZrO 2 . A crystallographic fit has also been proved between the (110) plane of Ba 2 YCu 3 O 7-x and the (110) plane of SrTiO 3 . These results are consistent with the experimental data about the epitaxial growth of the Ba 2 YCu 3 O 7-x films. Furthermore, detailed investigation of atomic arrangements has indicated some differences in the ionic interaction at the superconductor-substrate interface among SrTiO 3 , MgO, and ZrO 2 substrates. As for ZrO 2 (100) plane, for examples, ionic arrangements at the oxide layer is favorable only for the interaction with Y 3+ layer of Ba 2 YCu 3 O 7-x , while the Zr-O layer of ZrO 2 can interact with both Ba-O layer and Cu-O layer of Ba 2 YCu 3 O 7-x

  15. Dielectric and ferroelectric properties of strain-relieved epitaxial lead-free KNN-LT-LS ferroelectric thin films on SrTiO3 substrates

    Science.gov (United States)

    Abazari, M.; Akdoǧan, E. K.; Safari, A.

    2008-05-01

    We report the growth of single-phase (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films on SrRuO3 coated ⟨001⟩ oriented SrTiO3 substrates by using pulsed laser deposition. Films grown at 600°C under low laser fluence exhibit a ⟨001⟩ textured columnar grained nanostructure, which coalesce with increasing deposition temperature, leading to a uniform fully epitaxial highly stoichiometric film at 750°C. However, films deposited at lower temperatures exhibit compositional fluctuations as verified by Rutherford backscattering spectroscopy. The epitaxial films of 400-600nm thickness have a room temperature relative permittivity of ˜750 and a loss tangent of ˜6% at 1kHz. The room temperature remnant polarization of the films is 4μC /cm2, while the saturation polarization is 7.1μC/cm2 at 24kV/cm and the coercive field is ˜7.3kV/cm. The results indicate that approximately 50% of the bulk permittivity and 20% of bulk spontaneous polarization can be retained in submicron epitaxial KNN-LT-LS thin film, respectively. The conductivity of the films remains to be a challenge as evidenced by the high loss tangent, leakage currents, and broad hysteresis loops.

  16. Epitaxial growth of Er, Ti doped LiNbO3 films prepared by sol-gel method

    International Nuclear Information System (INIS)

    Takahashi, Makoto; Yoshiga, Tsuyoshi; Kajitani, Naofumi; Takeda, Yuki; Sato, Shoji; Wakita, Koichi; Ohnishi, Naoyuki; Hotta, Kazutoshi; Kurachi, Masato

    2006-01-01

    Erbium (Er 3+ ) doped lithium niobate (LiNbO 3 ) thick films were deposited on z-cut congruent LiNbO 3 (LN) substrate by the sol-gel method from the 0.20 mol/dm 3 precursor solution containing various Er 3+ concentration and 0.10 mol/dm 3 poly(vinyl alcohol) (PVA), and their crystal characteristics were evaluated. The Er 3+ concentration in the LN film was controlled by the Er 3+ concentration in the starting solution. The orientation relationships between Er doped LN films and substrates were determined by X-ray diffraction, Raman spectroscopy, and transmission electron microscopy, and (006) oriented Er doped LN epitaxial layers with parallel epitaxial relationships could be grown on the z-cut LN wafer. Moreover, it was made clear from the electron beam diffraction measurements that the film came to be polycrystalline, when the Er concentration was over 3 mol%. The refractive index of Er-doped LN films decreased with increasing Er concentration. 1.5 mol% Ti: 1.0 mol% Er LN films, which acted as a waveguide, were prepared by our so-gel method. It showed the 1530 nm emission by 980 nm excitation, which was considered to be due to the Er 3+ corresponding to the 4 I 13/2 → 4 I 15/2 transition. (author)

  17. Stoichiometry of Silicon Dioxide Films Obtained by Ion-Beam Sputtering

    Science.gov (United States)

    Telesh, E. V.; Dostanko, A. P.; Gurevich, O. V.

    2018-03-01

    The composition of SiOx films produced by ion-beam sputtering (IBS) of silicon and quartz targets were studied by infrared spectrometry. Films with thicknesses of 150-390 nm were formed on silicon substrates. It was found that increase in the partial pressure of oxygen in the working gas, increase in the temperature of the substrate, and the presence of a positive potential on the target during reactive IBS of silicon shifted the main absorption band νas into the high-frequency region and increased the composition index from 1.41 to 1.85. During IBS of a quartz target the stoichiometry of the films deteriorates with increase of the energy of the sputtering argon ions. This may be due to increase of the deposition rate. Increase in the current of the thermionic compensator, increase of the substrate temperature, and addition of oxygen led to the formation of SiOx films with improved stoichiometry.

  18. Dielectric, ferroelectric, and thermodynamic properties of silicone oil modified PVDF films for energy storage application

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Bingcheng; Wang, Xiaohui, E-mail: wxh@tsinghua.edu.cn, E-mail: llt-dms@mail.tsinghua.edu.cn; Li, Longtu, E-mail: wxh@tsinghua.edu.cn, E-mail: llt-dms@mail.tsinghua.edu.cn [State Key Laboratory of New Ceramics and Fine Processing, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Sun, Hui [Aero-Engine Control System Institute, Aviation Industry Corporation of China, Jiangsu, Wuxi 214063 (China)

    2016-06-13

    Silicone oil modified poly(vinylidene fluoride-co-hexafluoropropylene) (P(VDF-HFP)) films were fabricated by the blending, casting, and hot-molding methods. The dielectric constant was increased for the 7.4 wt. % and 17.0 wt. % silicone oil modified P(VDF-HFP) films, while the dielectric loss for all blend films are decreased. D-E loops of 7.4 wt. % and 17.0 wt. % silicone oil modified P(VDF-HFP) films become slimmer than the pristine P(VDF-HFP) films. The maximum discharged energy density of 10.3 J/cm{sup 3} was obtained in 7.4 wt. % silicone oil modified P(VDF-HFP) films at the external electric field of 398 kV/mm. The Gibbs energy, miscibility, and phase behavior of binary mixture of P(VDF-HFP) silicone oil were investigated using molecular simulations and the extended Flory–Huggins model revealing favorable interactions and compatibility between P(VDF-HFP) and silicone oil.

  19. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film

    International Nuclear Information System (INIS)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-01-01

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices’ applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H 2 O 2 /HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing. (paper)

  20. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film.

    Science.gov (United States)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-04-17

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices' applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H2O2/HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing.

  1. TXRF analysis of trace metals in thin silicon nitride films

    International Nuclear Information System (INIS)

    Vereecke, G.; Arnauts, S.; Verstraeten, K.; Schaekers, M.; Heyrts, M.M.

    2000-01-01

    As critical dimensions of integrated circuits continue to decrease, high dielectric constant materials such as silicon nitride are being considered to replace silicon dioxide in capacitors and transistors. The achievement of low levels of metal contamination in these layers is critical for high performance and reliability. Existing methods of quantitative analysis of trace metals in silicon nitride require high amounts of sample (from about 0.1 to 1 g, compared to a mass of 0.2 mg for a 2 nm thick film on a 8'' silicon wafer), and involve digestion steps not applicable to films on wafers or non-standard techniques such as neutron activation analysis. A novel approach has recently been developed to analyze trace metals in thin films with analytical techniques currently used in the semiconductor industry. Sample preparation consists of three steps: (1) decomposition of the silicon nitride matrix by moist HF condensed at the wafer surface to form ammonium fluosilicate. (2) vaporization of the fluosilicate by a short heat treatment at 300 o C. (3) collection of contaminants by scanning the wafer surface with a solution droplet (VPD-DSC procedure). The determination of trace metals is performed by drying the droplet on the wafer and by analyzing the residue by TXRF, as it offers the advantages of multi-elemental analysis with no dilution of the sample. The lower limits of detection for metals in 2 nm thick films on 8'' silicon wafers range from about 10 to 200 ng/g. The present study will focus on the matrix effects and the possible loss of analyte associated with the evaporation of the fluosilicate salt, in relation with the accuracy and the reproducibility of the method. The benefits of using an internal standard will be assessed. Results will be presented from both model samples (ammonium fluoride contaminated with metallic salts) and real samples (silicon nitride films from a production tool). (author)

  2. Structure and optical band gaps of (Ba,Sr)SnO{sub 3} films grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schumann, Timo; Raghavan, Santosh; Ahadi, Kaveh; Kim, Honggyu; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-09-15

    Epitaxial growth of (Ba{sub x}Sr{sub 1−x})SnO{sub 3} films with 0 ≤ x ≤ 1 using molecular beam epitaxy is reported. It is shown that SrSnO{sub 3} films can be grown coherently strained on closely lattice and symmetry matched PrScO{sub 3} substrates. The evolution of the optical band gap as a function of composition is determined by spectroscopic ellipsometry. The direct band gap monotonously decreases with x from to 4.46 eV (x = 0) to 3.36 eV (x = 1). A large Burnstein-Moss shift is observed with La-doping of BaSnO{sub 3} films. The shift corresponds approximately to the increase in Fermi level and is consistent with the low conduction band mass.

  3. Crystallization engineering as a route to epitaxial strain control

    Directory of Open Access Journals (Sweden)

    Andrew R. Akbashev

    2015-10-01

    Full Text Available The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001SrTiO3 and (001LaAlO3 substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001BiFeO3 phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001SrTiO3 results in a coherently strained film, the same films obtained on (001LaAlO3 showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001SrTiO3. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.

  4. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    Science.gov (United States)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  5. Growth of Ca2MnO4 Ruddlesden-Popper structured thin films using combinatorial substrate epitaxy

    International Nuclear Information System (INIS)

    Lacotte, M.; David, A.; Pravarthana, D.; Prellier, W.; Grygiel, C.; Rohrer, G. S.; Salvador, P. A.; Velazquez, M.; Kloe, R. de

    2014-01-01

    The local epitaxial growth of pulsed laser deposited Ca 2 MnO 4 films on polycrystalline spark plasma sintered Sr 2 TiO 4 substrates was investigated to determine phase formation and preferred epitaxial orientation relationships (ORs) for isostructural Ruddlesden-Popper (RP) heteroepitaxy, further developing the high-throughput synthetic approach called Combinatorial Substrate Epitaxy (CSE). Both grazing incidence X-ray diffraction and electron backscatter diffraction patterns of the film and substrate were indexable as single-phase RP-structured compounds. The optimal growth temperature (between 650 °C and 800 °C) was found to be 750 °C using the maximum value of the average image quality of the backscattered diffraction patterns. Films grew in a grain-over-grain pattern such that each Ca 2 MnO 4 grain had a single OR with the Sr 2 TiO 4 grain on which it grew. Three primary ORs described 47 out of 49 grain pairs that covered nearly all of RP orientation space. The first OR, found for 20 of the 49, was the expected RP unit-cell over RP unit-cell OR, expressed as [100][001] film ||[100][001] sub . The other two ORs were essentially rotated from the first by 90°, with one (observed for 17 of 49 pairs) being rotated about the [100] and the other (observed for 10 of 49 pairs) being rotated about the [110] (and not exactly by 90°). These results indicate that only a small number of ORs are needed to describe isostructural RP heteroepitaxy and further demonstrate the potential of CSE in the design and growth of a wide range of complex functional oxides

  6. Vibrational properties of epitaxial Bi4Te3 films as studied by Raman spectroscopy

    Directory of Open Access Journals (Sweden)

    Hao Xu

    2015-08-01

    Full Text Available Bi4Te3, as one of the phases of the binary Bi–Te system, shares many similarities with Bi2Te3, which is known as a topological insulator and thermoelectric material. We report the micro-Raman spectroscopy study of 50 nm Bi4Te3 films on Si substrates prepared by molecular beam epitaxy. Raman spectra of Bi4Te3 films completely resolve the six predicted Raman-active phonon modes for the first time. Structural features and Raman tensors of Bi4Te3 films are introduced. According to the wavenumbers and assignments of the six eigenpeaks in the Raman spectra of Bi4Te3 films, it is found that the Raman-active phonon oscillations in Bi4Te3 films exhibit the vibrational properties of those in both Bi and Bi2Te3 films.

  7. Thin film pc-Si by aluminium induced crystallization on metallic substrate

    Directory of Open Access Journals (Sweden)

    Cayron C.

    2013-04-01

    Full Text Available Thin film polycrystalline silicon (pc-Si on flexible metallic substrates is promising for low cost production of photovoltaic solar cells. One of the attractive methods to produce pc-Si solar cells consists in thickening a large-grained seed layer by epitaxy. In this work, the deposited seed layer is made by aluminium induced crystallization (AIC of an amorphous silicon (a-Si thin film on metallic substrates (Ni/Fe alloy initially coated with a tantalum nitride (TaN conductive diffusion barrier layer. Effect of the thermal budget on the AIC grown pc-Si seed layer was investigated in order to optimize the process (i.e. the quality of the pc-Si thin film. Structural and optical characterizations were carried out using optical microscopy, μ-Raman and Electron Backscatter Diffraction (EBSD. At optimal thermal annealing conditions, the continuous AIC grown pc-Si thin film showed an average grain size around 15 μm. The grains were preferably (001 oriented which is favorable for its epitaxial thickening. This work proves the feasibility of the AIC method to grow large grains pc-Si seed layer on TaN coated metal substrates. These results are, in terms of grains size, the finest obtained by AIC on metallic substrates.

  8. Structural, optical and electrical properties of quasi-monocrystalline silicon thin films obtained by rapid thermal annealing of porous silicon layers

    International Nuclear Information System (INIS)

    Hajji, M.; Khardani, M.; Khedher, N.; Rahmouni, H.; Bessais, B.; Ezzaouia, H.; Bouchriha, H.

    2006-01-01

    Quasi-mono-crystalline silicon (QMS) layers have a top surface like crystalline silicon with small voids in the body. Such layers are reported to have a higher absorption coefficient than crystalline silicon at the interesting range of the solar spectrum for photovoltaic application. In this work we present a study of the structural, optical and electrical properties of quasimonocrystalline silicon thin films. Quasimonocrystalline silicon thin films were obtained from porous silicon, which has been annealed at a temperature ranging from 950 to 1050 deg. C under H 2 atmosphere for different annealing durations. The porous layers were prepared by conventional electrochemical anodization using a double tank cell and a HF / Ethanol electrolyte. Porous silicon is formed on highly doped p + -type silicon substrates that enable us to prevent back contacts for the anodization. Atomic Force Microscope (AFM) was used to study the morphological quality of the prepared layers. Optical properties were extracted from transmission and reflectivity spectra. Dark I-V characteristics were used to determine the electrical conductivity of quasimonocrystalline silicon thin films. Results show an important improvement of the absorption coefficient of the material and electrical conductivity reaches a value of twenty orders higher than that of starting mesoporous silicon

  9. Anisotropic-strain-relaxation-induced crosshatch morphology in epitaxial SrTiO{sub 3}/NdGaO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tan, X. L.; Chen, F.; Chen, P. F.; Xu, H. R.; Chen, B. B.; Jin, F.; Gao, G. Y.; Wu, W. B., E-mail: wuwb@ustc.edu.cn [Hefei National Laboratory for Physical Sciences at Microscale, University of Science and Technology of China, and High Magnetic Field Laboratory, Chinese Academy of Sciences, Hefei 230026 (China)

    2014-10-15

    We investigate the strain relaxation and surface morphology of epitaxial SrTiO{sub 3} (STO) films grown on (001){sub O} and (110){sub O} planes of orthorhombic NdGaO{sub 3} (NGO), and (001) plane of cubic (LaAlO{sub 3}){sub 0.3}(Sr{sub 2}AlTaO{sub 6}){sub 0.7} (LSAT) substrates. Although the average lattice mismatches are similar, strikingly regular crosshatched surface patterns can be found on STO/NGO(001){sub O}[(110){sub O}] films, contrary to the uniform surface of STO/LSAT(001). Based on the orientation and thickness dependent patterns and high-resolution x-ray diffractions, we ascribe the crosshatch morphology to the anisotropic strain relaxation with possibly the 60° misfit dislocation formation and lateral surface step flow in STO/NGO films, while an isotropic strain relaxation in STO/LSAT. Further, we show that the crosshatched STO/NGO(110){sub O} surface could be utilized as a template to modify the magnetotransport properties of epitaxial La{sub 0.6}Ca{sub 0.4}MnO{sub 3} films. This study highlights the crucial role of symmetry mismatch in determining the surface morphology of the perovskite oxide films, in addition to their epitaxial strain states, and offers a different route for designing and fabricating functional perovskite-oxide devices.

  10. Epitaxial growth of Co(0 0 0 1)hcp/Fe(1 1 0)bcc magnetic bi-layer films on SrTiO3(1 1 1) substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Shikada, Kouhei; Kirino, Fumiyoshi; Futamoto, Masaaki

    2008-01-01

    Co(0 0 0 1) hcp /Fe(1 1 0) bcc epitaxial magnetic bi-layer films were successfully prepared on SrTiO 3 (1 1 1) substrates. The crystallographic properties of Co/Fe epitaxial magnetic bi-layer films were investigated. Fe(1 1 0) bcc soft magnetic layer grew epitaxially on SrTiO 3 (1 1 1) substrate with two type variants, Nishiyama-Wasserman and Kurdjumov-Sachs relationships. An hcp-Co single-crystal layer is obtained on Ru(0 0 0 1) hcp interlayer, while hcp-Co layer formed on Au(1 1 1) fcc or Ag(1 1 1) fcc interlayer is strained and may involve fcc-Co phase. It has been shown possible to prepare Co/Fe epitaxial magnetic bi-layer films which can be usable for patterned media application

  11. Big-data reflection high energy electron diffraction analysis for understanding epitaxial film growth processes.

    Science.gov (United States)

    Vasudevan, Rama K; Tselev, Alexander; Baddorf, Arthur P; Kalinin, Sergei V

    2014-10-28

    Reflection high energy electron diffraction (RHEED) has by now become a standard tool for in situ monitoring of film growth by pulsed laser deposition and molecular beam epitaxy. Yet despite the widespread adoption and wealth of information in RHEED images, most applications are limited to observing intensity oscillations of the specular spot, and much additional information on growth is discarded. With ease of data acquisition and increased computation speeds, statistical methods to rapidly mine the data set are now feasible. Here, we develop such an approach to the analysis of the fundamental growth processes through multivariate statistical analysis of a RHEED image sequence. This approach is illustrated for growth of La(x)Ca(1-x)MnO(3) films grown on etched (001) SrTiO(3) substrates, but is universal. The multivariate methods including principal component analysis and k-means clustering provide insight into the relevant behaviors, the timing and nature of a disordered to ordered growth change, and highlight statistically significant patterns. Fourier analysis yields the harmonic components of the signal and allows separation of the relevant components and baselines, isolating the asymmetric nature of the step density function and the transmission spots from the imperfect layer-by-layer (LBL) growth. These studies show the promise of big data approaches to obtaining more insight into film properties during and after epitaxial film growth. Furthermore, these studies open the pathway to use forward prediction methods to potentially allow significantly more control over growth process and hence final film quality.

  12. Growth of HfO{sub x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany)

    2008-07-01

    Thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. The conditions for the growth of single oriented hafnium oxide thin films have been established. Hafnium oxide thin films were characterized by X-ray diffraction and optical absorption measurements. It was found that hafnium oxide thin films grown on r-cut sapphire were (00l) oriented whereas, on c-cut sapphire, hafnium oxide films showed different orientations depending on the growth temperature and oxidation conditions. The hafnium oxide films grown at higher temperature and under strong oxidation conditions yielded (001) oriented films on c-cut sapphire whereas slightly weaker oxidation condition leads to (111) oriented hafnium oxide films. The bandgap deducted from optical absorption measurement carried out on hafnium oxide films grown under optimized conditions agreed well with the values reported in literature. A range of oxygen deficient thin films of hafnium oxide were also grown on single crystal sapphire substrates in order to investigate the effect of oxygen vacancies on dielectric properties of hafnium oxide. The oxygen deficient thin films of hafnium oxide show a decrease in bandgap with increase in oxygen deficiency.

  13. Epitaxial growth of textured YBa2Cu3O7-δ films on silver

    International Nuclear Information System (INIS)

    Liu Dan-Min; Liu Wei-Peng; Suo Hong-Li; Zhou Mei-Ling

    2005-01-01

    YBa 2 Cu 3 O 7-δ (YBCO) films were deposited on (100), (110) and (111) oriented silver single crystals and {100} left angle 100 right angle, {110} left angle 211 right angle, {110} left angle 100 right angle +{110} left angle 011 right angle {110} left angle 011 right angle and {012} left angle 100 right angle textured Ag substrates using pulsed laser deposition. The relationship between the epitaxial growth YBCO film and silver substrate has been determined. It is shown that among polycrystalline Ag substrates, {110} left angle 011 right angle textured tape is suitable for the deposition of YBCO thin films having strong texture. (orig.)

  14. Epitaxial growth of bcc-Fe{sub x}Co{sub 100-x} thin films on MgO(1 1 0) single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru, E-mail: ohtake@futamoto.elect.chuo-u.ac.j [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Nishiyama, Tsutomu; Shikada, Kouhei [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan); Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2010-07-15

    Fe{sub x}Co{sub 100-x} (x=100, 65, 50 at%) epitaxial thin films were prepared on MgO(1 1 0) single-crystal substrates heated at 300 deg. C by ultra-high vacuum molecular beam epitaxy. The film structure and the growth mechanism are discussed. FeCo(2 1 1) films with bcc structure grow epitaxially on MgO(1 1 0) substrates with two types of variants whose orientations are rotated around the film normal by 180 deg. each other for all compositions. Fe{sub x}Co{sub 100-x} film growth follows the Volmer Weber mode. X-ray diffraction analysis indicates the out-of-plane and the in-plane lattice spacings are in agreement with the values of respective bulk Fe{sub x}Co{sub 100-x} crystals with very small errors less than +-0.4%, suggesting the strains in the films are very small. High-resolution cross-sectional transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the film at the Fe{sub 50}Co{sub 50}/MgO interface along the MgO[1 1-bar 0] direction. The presence of such periodical dislocations decreases the large lattice mismatch of about -17% existing at the FeCo/MgO interface along the MgO[1 1-bar 0] direction.

  15. Process for growing a film epitaxially upon a MgO surface

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    1997-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  16. Enhanced dielectric nonlinearity in epitaxial Pb0.92La0.08Zr0.52Ti0.48O3 thin films

    International Nuclear Information System (INIS)

    Ma, Chunrui; Wu, Judy; Ma, Beihai; Mi, Shao-Bo; Liu, Ming

    2014-01-01

    High quality c-axis oriented epitaxial Pb 0.92 La 0.08 Zr 0.52 Ti 0.48 O 3 films were fabricated using pulsed laser deposition on (001) LaAlO 3 substrates with conductive LaNiO 3 buffers. Besides confirmation of the in-plane and out-of-plane orientations using X-ray diffraction, transmission electron microscopy study has revealed columnar structure across the film thickness with column width around 100 nm. Characterization of ferroelectric properties was carried out in comparison with polycrystalline Pb 0.92 La 0.08 Zr 0.52 Ti 0.48 O 3 films to extract the effect of epitaxial growth. It is found that the ratio between the irreversible Rayleigh parameter and reversible parameter increased up to 0.028 cm/kV at 1 kHz on epitaxial samples, which is more than twice of that on their polycrystalline counterparts. While this ratio decreased to 0.022 cm/kV with increasing frequency to100 kHz, a much less frequency dependence was observed as compared to the polycrystalline case. The epitaxial Pb 0.92 La 0.08 Zr 0.52 Ti 0.48 O 3 films exhibited a higher mobility of domain wall and the higher extrinsic contribution to the dielectric properties, as well as reduced density of defects, indicating that it is promising for tunable and low power consumption devices

  17. Real-time observations of interface formation for barium strontium titanate films on silicon

    Science.gov (United States)

    Mueller, A. H.; Suvorova, N. A.; Irene, E. A.; Auciello, O.; Schultz, J. A.

    2002-05-01

    Ba.5Sr.5TiO3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Å film with intermediate static dielectric constant (K˜12) and refractive index (n˜2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST.

  18. Real-time observations of interface formation for barium strontium titanate films on silicon

    International Nuclear Information System (INIS)

    Mueller, A.H.; Suvorova, N.A.; Irene, E.A.; Auciello, O.; Schultz, J.A.

    2002-01-01

    Ba .5 Sr .5 TiO 3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Aa film with intermediate static dielectric constant (K∼12) and refractive index (n∼2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST

  19. Critical thickness of high structural quality SrTiO{sub 3} films grown on orthorhombic (101) DyScO{sub 3}.

    Energy Technology Data Exchange (ETDEWEB)

    Biegalski, M. D.; Trolier-McKinstry, S.; Nelson, C. T.; Schlom, D. G.; Fong, D. D.; Eastman, J. A.; Fuoss, P. H.; Streiffer, S. K.; Heeg, T.; Schubert, J.; Tian, W.; Pan, X. Q.; Hawley, M. E.; Bernhagen, M.; Reiche, P.; Uecker, R.; Pennsylvania State Univ.; Forschungszentrum Julich; Univ. Michigan; LANL; Max-Born-Strabe

    2008-12-01

    Strained epitaxial SrTiO{sub 3} films were grown on orthorhombic (101) DyScO{sub 3} substrates by reactive molecular-beam epitaxy. The epitaxy of this substrate/film combination is cube on cube with a pseudocubic out-of-plane (001) orientation. The strain state and structural perfection of films with thicknesses ranging from 50 to 1000 {angstrom} were examined using x-ray scattering. The critical thickness at which misfit dislocations was introduced was between 350 and 500 {angstrom}. These films have the narrowest rocking curves (full width at half maximum) ever reported for any heteroepitaxial oxide film (0.0018{sup o}). Only a modest amount of relaxation is seen in films exceeding the critical thicknesses even after postdeposition annealing at 700 C in 1 atm of oxygen. The dependence of strain relaxation on crystallographic direction is attributed to the anisotropy of the substrate. These SrTiO{sub 3} films show structural quality more typical of semiconductors such as GaAs and silicon than perovskite materials; their structural relaxation behavior also shows similarity to that of compound semiconductor films.

  20. RBS and XRD analysis of silicon doped titanium diboride films

    International Nuclear Information System (INIS)

    Mollica, S.; Sood, D.K.; Ghantasala, M.K.; Kothari, R.

    1999-01-01

    Titanium diboride is a newly developed material suitable for protective coatings. Its high temperature oxidation resistance at temperatures of 700 deg C and beyond is limited due to its poor oxidative behaviour. This paper presents a novel approach to improving the coatings' oxidative characteristics at temperatures of 700 deg C by doping with silicon. Titanium diboride films were deposited onto Si(100) wafer substrates using a DC magnetron sputtering system. Films were deposited in two different compositions, one at pure TiB 2 and the other with 20 % Si doping. These samples were vacuum annealed at 700 deg C at 1x10 -6 Torr to investigate the anaerobic behaviour of the material at elevated temperatures and to ensure that they were crystalline. Samples were then oxidised in air at 700 deg C to investigate their oxidation resistance. Annealing the films at 700 deg C in air results in the oxidation of the film as titanium and boron form TiO 2 and B 2 O 3 . Annealing is seen to produce only minor changes in the films. There is some silicon diffusion from the substrate at elevated temperatures, which is related to the porous nature of the deposited film and the high temperature heat treatments. However, silicon doped films showed relatively less oxidation characteristics after annealing in air compared with the pure TiB 2 samples

  1. Orientation-dependent structural and photocatalytic properties of LaCoO3 epitaxial nano-thin films

    Science.gov (United States)

    Zhang, Yan-ping; Liu, Hai-feng; Hu, Hai-long; Xie, Rui-shi; Ma, Guo-hua; Huo, Ji-chuan; Wang, Hai-bin

    2018-02-01

    LaCoO3 epitaxial films were grown on (100), (110) and (111) oriented LaAlO3 substrates by the polymer-assisted deposition method. Crystal structure measurement and cross-section observation indicate that all the LaCoO3 films are epitaxially grown in accordance with the orientation of LaAlO3 substrates, with biaxial compressive strain in the ab plane. Owing to the different strain directions of CoO6 octahedron, the mean Co-O bond length increases by different amounts in (100), (110) and (111) oriented films compared with that of bulk LaCoO3, and the (100) oriented LaCoO3 has the largest increase. Photocatalytic degradation of methyl orange indicates that the order of photocatalytic activity of the three oriented films is (100) > (111) > (110). Combined with analysis of electronic nature and band structure for LaCoO3 films, it is found that the change of the photocatalytic activity is closely related to the crystal field splitting energy of Co3+ and Co-O binding energy. The increase in the mean Co-O bond length will decrease the crystal field splitting energy of Co3+ and Co-O binding energy and further reduce the value of band gap energy, thus improving the photocatalytic activity. This may also provide a clue for expanding the visible-light-induced photocatalytic application of LaCoO3.

  2. Growth of YBCO superconducting thin films on CaF sub 2 buffered silicon

    CERN Document Server

    Bhagwat, S S; Patil, J M; Shirodkar, V S

    2000-01-01

    CaF sub 2 films were grown on silicon using the neutral cluster beam deposition technique. These films were highly crystalline and c-axis oriented. Superconducting YBCO thin films were grown on the Ca F sub 2 buffered silicon using the laser ablation technique. These films showed T sub c (onset) at 90 K and Tc(zero) at 86 K. X-ray diffraction analysis showed that the YBCO films were also oriented along the c-axis.

  3. Angular dependence of magnetization reversal in epitaxial chromium telluride thin films with perpendicular magnetic anisotropy

    Energy Technology Data Exchange (ETDEWEB)

    Pramanik, Tanmoy, E-mail: pramanik.tanmoy@utexas.edu; Roy, Anupam, E-mail: anupam@austin.utexas.edu; Dey, Rik, E-mail: rikdey@utexas.edu; Rai, Amritesh; Guchhait, Samaresh; Movva, Hema C.P.; Hsieh, Cheng-Chih; Banerjee, Sanjay K.

    2017-09-01

    Highlights: • Perpendicular magnetic anisotropy in epitaxial Cr{sub 2}Te{sub 3} has been investigated. • Presence of a relatively strong second order anisotropy contribution is observed. • Magnetization reversal is explained quantitatively using a 1D defect model. • Relative roles of nucleation and pinning in magnetization reversal are discussed. • Domain structures and switching process are visualized by micromagnetic simulation. - Abstract: We investigate magnetic anisotropy and magnetization reversal mechanism in chromium telluride thin films grown by molecular beam epitaxy. We report existence of strong perpendicular magnetic anisotropy in these thin films, along with a relatively strong second order anisotropy contribution. The angular variation of the switching field observed from the magnetoresistance measurement is explained quantitatively using a one-dimensional defect model. The model reveals the relative roles of nucleation and pinning in the magnetization reversal, depending on the applied field orientation. Micromagnetic simulations are performed to visualize the domain structure and switching process.

  4. Ab initio electronic properties of dual phosphorus monolayers in silicon

    DEFF Research Database (Denmark)

    Drumm, Daniel W.; Per, Manolo C.; Budi, Akin

    2014-01-01

    In the midst of the epitaxial circuitry revolution in silicon technology, we look ahead to the next paradigm shift: effective use of the third dimension - in particular, its combination with epitaxial technology. We perform ab initio calculations of atomically thin epitaxial bilayers in silicon...

  5. Growth of epitaxial Pt thin films on (0 0 1) SrTiO{sub 3} by rf magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Kahsay, A. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Polo, M.C., E-mail: mcpolo@ub.edu [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Ferrater, C.; Ventura, J. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Rebled, J.M. [Departament d’Electrònica, Universitat de Barcelona Institut de Nanociència i Nanotecnologia IN 2UB, 08028 Barcelona (Spain); Varela, M. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain)

    2014-07-01

    The growth of platinum thin film by rf magnetron sputtering on SrTiO{sub 3}(0 0 1) substrates for oxide based devices was investigated. Platinum films grown at temperatures higher than 750 °C were epitaxial ([1 0 0]Pt(0 0 1)//[1 0 0]STO(0 0 1)), whereas at lower temperatures Pt(1 1 1) films were obtained. The surface morphology of the Pt films showed a strong dependence on the deposition temperature as was revealed by atomic force microscopy (AFM). At elevated temperatures there is a three-dimensional (3D) growth of rectangular atomically flat islands with deep boundaries between them. On the other hand, at low deposition temperatures, a two-dimensional (2D) layered growth was observed. The transition from 2D to 3D growth modes was observed that occurs for temperatures around 450 °C. The obtained epitaxial thin films also formed an atomically sharp interface with the SrTiO{sub 3}(0 0 1) substrate as confirmed by HRTEM.

  6. Stabilisation of late transition metal and noble metal films in hexagonal and body centred tetragonal phases by epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Hueger, E.

    2005-08-26

    In this work ultrathin metallic films with a crystal phase different to their natural bulk structure were produced by hetero-epitaxial growth on metallic substrates. A further aim of this work was to understand the initiation, growth and stability of crystal phase modifications of these films. there exist cases where the films turn beyond the pseudomorphic-growth to a crystal phase different from their natural bulk structure. The present work presents and discusses such a case in addition to the general phenomenon of pseudomorphic-growth. In particular it is shown that metals whose natural phase is face centred cubic (fcc) can be grown in body centred tetragonal (bct) or hexagonal close packed (hcp) phases in the form of thin films on (001) surfaces of appropriate substrates. The growth behavior, electron diffraction analysis, appearance conditions, geometric fit considerations, examples and a discussion of the phase stability of non-covered films and superlattices is given reviewing all epitaxial-systems whose diffraction pattern can be explained by the hexagonal or pseudomorphic bct phase. (orig.)

  7. InAs film grown on Si(111) by metal organic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Caroff, P; Jeppsson, M; Mandl, B; Wernersson, L-E; Wheeler, D; Seabaugh, A; Keplinger, M; Stangl, J; Bauer, G

    2008-01-01

    We report the successful growth of high quality InAs films directly on Si(111) by Metal Organic Vapor Phase Epitaxy. A nearly mirror-like and uniform InAs film is obtained at 580 0 C for a thickness of 2 μm. We measured a high value of the electron mobility of 5100 cm 2 /Vs at room temperature. The growth is performed using a standard two-step procedure. The influence of the nucleation layer, group V flow rate, and layer thickness on the electrical and morphological properties of the InAs film have been investigated. We present results of our studies by Atomic Force Microscopy, Scanning Electron Microscopy, electrical Hall/van der Pauw and structural X-Ray Diffraction characterization

  8. Characterization of carbon, nitrogen, oxygen and refractory metals in binary and ternary silicon-based films using ion beam methods; Caracterisation des elements: carbone, azote, oxygene et metal refractaire dans des depots binaires et ternaires a base de silicium par methodes d'analyse utilisant les faisceaux d'ions

    Energy Technology Data Exchange (ETDEWEB)

    Somatri-Bouamrane, R. [Lyon-1 Univ., 69 - Villeurbanne (France). Inst. de Physique Nucleaire]|[Universite Claude Bernard, 69 - Lyon (France)

    1996-12-19

    Ion beam methods (non Rutherford backscattering, nuclear reactions) have been carried out in order to characterize silicon-based films. The cross sections for the reactions {sup 12}C({alpha},{alpha}), {sup 14}N({alpha},{alpha}), {sup 16}O({alpha},{alpha}), {sup 28}Si({alpha},{alpha}) and {sup 14}N({alpha},p) have been measured within 2 and 7 MeV. CVD beta SiC films could be analyzed and the interface between silicon carbide and the (100) silicon substrate was studied. The epitaxial growth of the beta SiC film could be modelled by comparing the results obtained with ion beam analysis, infrared spectroscopy and electron microscopy. Moreover, the stoichiometry of low pressure CVD Me-Si-N (Me=Re, W, Ti, Ta) ternary systems was studied. The evolution of the nitrogen content in W-Si-N systems allowed to study their stability with respect to the annealing conditions. (N.T.)

  9. Influence of anisotropic strain relaxation on the magnetoresistance properties of epitaxial Fe3O4 (110) films

    Science.gov (United States)

    Sofin, R. G. S.; Wu, Han-Chun; Ramos, R.; Arora, S. K.; Shvets, I. V.

    2015-11-01

    We studied Fe3O4 (110) films grown epitaxially on MgO (110) substrates using oxygen plasma assisted molecular beam epitaxy. The films with thickness of 30-200 nm showed anisotropic in-plane partial strain relaxation. Magneto resistance (MR) measurements with current and magnetic field along ⟨001⟩ direction showed higher MR compared to ⟨1 ¯ 10 ⟩ direction. Maximum value of MR was measured at Verwey transition temperature for both directions. We explain the observed anisotropy in the MR on the basis of the effects of anisotropic misfit strain, and the difference between the density of antiferromagnetically coupled antiphase boundaries formed along ⟨001⟩ and ⟨1 ¯ 10 ⟩ crystallographic directions, suggesting the dependence of spin polarisation on the anisotropic strain relaxation along the said crystallographic directions.

  10. Epitaxial growth of higher transition-temperature VO2 films on AlN/Si

    Directory of Open Access Journals (Sweden)

    Tetiana Slusar

    2016-02-01

    Full Text Available We report the epitaxial growth and the mechanism of a higher temperature insulator-to-metal-transition (IMT of vanadium dioxide (VO2 thin films synthesized on aluminum nitride (AlN/Si (111 substrates by a pulsed-laser-deposition method; the IMT temperature is TIMT ≈ 350 K. X-ray diffractometer and high resolution transmission electron microscope data show that the epitaxial relationship of VO2 and AlN is VO2 (010 ‖ AlN (0001 with VO2 [101] ‖   AlN   [ 2 1 ̄ 1 ̄ 0 ] zone axes, which results in a substrate-induced tensile strain along the in-plane a and c axes of the insulating monoclinic VO2. This strain stabilizes the insulating phase of VO2 and raises TIMT for 10 K higher than TIMT single crystal ≈ 340 K in a bulk VO2 single crystal. Near TIMT, a resistance change of about four orders is observed in a thick film of ∼130 nm. The VO2/AlN/Si heterostructures are promising for the development of integrated IMT-Si technology, including thermal switchers, transistors, and other applications.

  11. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  12. Electron and ion beam degradation effects in AES analysis of silicon nitride thin films

    International Nuclear Information System (INIS)

    Fransen, F.; Vanden Berghe, R.; Vlaeminck, R.; Hinoul, M.; Remmerie, J.; Maes, H.E.

    1985-01-01

    Silicon nitride films are currently investigated by AES combined with ion profiling techniques for their stoichiometry and oxygen content. During this analysis, ion beam and primary electron effects were observed. The effect of argon ion bombardment is the preferential sputtering of nitrogen, forming 'covalent' silicon at the surface layer (AES peak at 91 eV). The electron beam irradiation results in a decrease of the covalent silicon peak, either by an electron beam annealing effect in the bulk of the silicon nitride film, or by an ionization enhanced surface diffusion process of the silicon (electromigration). By the electron beam annealing, nitrogen species are liberated in the bulk of the silicon nitride film and migrate towards the surface where they react with the covalent silicon. The ionization enhanced diffusion originates from local charging of the surface, induced by the electron beam. (author)

  13. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.

    2010-01-21

    Epitaxial growth, a bottom-up self-assembly process for creating surface nano- and microstructures, has been extensively studied in the context of atoms. This process, however, is also a promising route to self-assembly of nanometer- and micrometer-scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics of colloidal crystal films with single-particle resolution. We show quantitatively that colloidal epitaxy obeys the same two-dimensional island nucleation and growth laws that govern atomic epitaxy. However, we found that in colloidal epitaxy, step-edge and corner barriers that are responsible for film morphology have a diffusive origin. This diffusive mechanism suggests new routes toward controlling film morphology during epitaxy.

  14. Magnetic properties of epitaxial MnAs thin films on GaAs (001)

    CERN Document Server

    Park, Y S

    2000-01-01

    The magnetic properties of two types of epitaxial MnAs films on GaAs (001) substrates in the thickness range of 20 approx 200 nm were studied. Using longitudinal a magneto-optical Kerr-effect(MOKE) apparatus at lambda=632.8 nm, we determined the Curie temperatures of the 100-nm thick films to be 54.0+-0.5 .deg. C and 63.7+-0.5 .deg. C for type A films and type B films, respectively. The observed Curie temperatures corresponded to increases of 36.8 .deg. C and 33.9 .deg. C per one percent increase in the unit cell volume for type A and B, respectively. The normalized maximum MOKE signal from the type A film exhibited a first-order-like magnetic transition while that of type B underwent a second-order-like transition. These different behaviors between types A and B stem from different residual stresses being exerted on the hexagonal phase. Utilizing a Foner-type vibrating sample magnetometer at room temperature, we examined the thickness dependence of the coercive force and the saturation magnetization of the f...

  15. Low-field tunnel-type magnetoresistance properties of polycrystalline and epitaxial La sub 0 sub . sub 6 sub 7 Sr sub 0 sub . sub 3 sub 3 MnO sub 3 thin films

    CERN Document Server

    Shim, I B; Choi, S Y

    2000-01-01

    The low-field tunnel-type magnetoresistance (TMB) properties of sol-gel derived polycrystalline and epitaxial La sub 0 sub . sub 6 sub 7 Sr sub 0 sub . sub 3 sub 3 MnO sub 3 (LSMO) thin films were investigated. The polycrystalline thin films were fabricated on Si (100) with a thermally oxidized SiO sub 2 layer while the epitaxial thin films were grown on LaAlO sub 3 (001) single-crystal substrates. The epitaxial thin films displayed both typical intrinsic colossal magnetoresistance (CMR) and abnormal extrinsic tunnel-type magnetoresistance behaviors. Tunnel-type MR ratio as high as 0.4% were observed in the polycrystalline thin films at a field of 120 Oe at room temperature (300 K) whereas the ratios were less than 0.1% for the epitaxial films in the same field range. The low-field tunnel-type MR of polycrystalline LSMO/SiO sub 2 ?Si (100) thin films originated from the behaviors of the grain-boundary properties.

  16. Epitaxial growth and electronic structure of oxyhydride SrVO{sub 2}H thin films

    Energy Technology Data Exchange (ETDEWEB)

    Katayama, Tsukasa; Chikamatsu, Akira, E-mail: chikamatsu@chem.s.u-tokyo.ac.jp; Yamada, Keisuke; Onozuka, Tomoya [Department of Chemistry, The University of Tokyo, Bunkyo-ku, Tokyo 113-0033 (Japan); Shigematsu, Kei [Kanagawa Academy of Science and Technology, Kawasaki, Kanagawa 213-0012 (Japan); Minohara, Makoto; Kumigashira, Hiroshi [Institute of Materials Structure Science, High Energy Accelerator Research Organization (KEK), Tsukuba, Ibaraki 305-0801 (Japan); Ikenaga, Eiji [Japan Synchrotron Radiation Research Institute (JASRI)/SPring-8, Mikazuki-cho, Hyogo 679-5198 (Japan); Hasegawa, Tetsuya [Department of Chemistry, The University of Tokyo, Bunkyo-ku, Tokyo 113-0033 (Japan); Kanagawa Academy of Science and Technology, Kawasaki, Kanagawa 213-0012 (Japan)

    2016-08-28

    Oxyhydride SrVO{sub 2}H epitaxial thin films were fabricated on SrTiO{sub 3} substrates via topotactic hydridation of oxide SrVO{sub 3} films using CaH{sub 2}. Structural and composition analyses suggested that the SrVO{sub 2}H film possessed one-dimensionally ordered V-H{sup −}-V bonds along the out-of-plane direction. The synthesis temperature could be lowered by reducing the film thickness, and the SrVO{sub 2}H film was reversible to SrVO{sub 3} by oxidation through annealing in air. Photoemission and X-ray absorption spectroscopy measurements revealed the V{sup 3+} valence state in the SrVO{sub 2}H film, indicating that the hydrogen existed as hydride. Furthermore, the electronic density of states was highly suppressed at the Fermi energy, consistent with the prediction that tetragonal distortion induces metal to insulation transition.

  17. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  18. Growth of epitaxial Pb(Zr,Ti)O3 films by pulsed laser deposition

    Science.gov (United States)

    Lee, J.; Safari, A.; Pfeffer, R. L.

    1992-10-01

    Lead zirconate titanate (PZT) thin films with a composition near the morphotropic phase boundary have been grown on MgO (100) and Y1Ba2Cu3Ox (YBCO) coated MgO substrates. Substrate temperature and oxygen pressure were varied to achieve ferroelectric films with a perovskite structure. Films grown on MgO had the perovskite structure with an epitaxial relationship with the MgO substrate. On the other hand, films grown on the YBCO/MgO substrate had an oriented structure to the surface normal with a misorientation in the plane parallel to the surface. The measured dielectric constant and loss tangent at 1 kHz were 670 and 0.05, respectively. The remnant polarization and coercive field were 42 μC/cm2 and 53 kV/cm. A large internal bias field (12 kV/cm) was observed in the as-deposited state of the undoped PZT films.

  19. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  20. Growth of conductive HfO{sub 2-x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Kleebe, Hans-Joachim [Institut fuer Angewandte Geowissenschaften, TU Darmstadt (Germany)

    2009-07-01

    Thin films of oxygen deficient hafnium oxide were grown on single crystal c-cut and r-cut sapphire substrates by reactive molecular beam epitaxy. The oxidation conditions during growth were varied within a wide range using RF-activated oxygen. Hafnium oxide thin films were characterized using X-ray diffraction, resistivity measurements ({rho}-T) and transmission electron microscopy (TEM). The results show a dramatic increase in conductivity of the deposited oxygen deficient hafnium oxide thin films with decreasing oxidation conditions during growth. The electrical properties of deficient hafnium oxide thin films varied from insulating over semiconducting to conducting. X-ray diffraction data as well as TEM data rule out the possibility of conductivity due to metallic hafnium.

  1. Fluorescence and thermoluminescence in silicon oxide films rich in silicon; Fluorescencia y termoluminiscencia en peliculas de oxido de silicio rico en silicio

    Energy Technology Data Exchange (ETDEWEB)

    Berman M, D.; Piters, T. M. [Centro de Investigacion en Fisica, Universidad de Sonora, Apdo. Postal 5-088, Hermosillo 83190, Sonora (Mexico); Aceves M, M.; Berriel V, L. R. [Instituto Nacional de Astrofisica, Optica y Electronica, Apdo. Postal 51, Puebla 72000, Puebla (Mexico); Luna L, J. A. [CIDS, Benemerita Universidad Autonoma de Puebla, Apdo. Postal 1651, Puebla 72000, Puebla (Mexico)

    2009-10-15

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 {omega}-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N{sub 2} at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  2. Thin Single Crystal Silicon Solar Cells on Ceramic Substrates: November 2009 - November 2010

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A.; Ravi, K. V.

    2011-06-01

    In this program we have been developing a technology for fabricating thin (< 50 micrometres) single crystal silicon wafers on foreign substrates. We reverse the conventional approach of depositing or forming silicon on foreign substrates by depositing or forming thick (200 to 400 micrometres) ceramic materials on high quality single crystal silicon films ~ 50 micrometres thick. Our key innovation is the fabrication of thin, refractory, and self-adhering 'handling layers or substrates' on thin epitaxial silicon films in-situ, from powder precursors obtained from low cost raw materials. This 'handling layer' has sufficient strength for device and module processing and fabrication. Successful production of full sized (125 mm X 125 mm) silicon on ceramic wafers with 50 micrometre thick single crystal silicon has been achieved and device process flow developed for solar cell fabrication. Impurity transfer from the ceramic to the silicon during the elevated temperature consolidation process has resulted in very low minority carrier lifetimes and resulting low cell efficiencies. Detailed analysis of minority carrier lifetime, metals analysis and device characterization have been done. A full sized solar cell efficiency of 8% has been demonstrated.

  3. Y-Ba-Cu-O superconducting film on oxidized silicon

    International Nuclear Information System (INIS)

    Gupta, R.P.; Khokle, W.S.; Dubey, R.C.; Singhal, S.; Nagpal, K.C.; Rao, G.S.T.; Jain, J.D.

    1988-01-01

    We report thick superconducting films of Y-Ba-Cu-O on oxidized silicon substrates. The critical temperatures for onset and zero resistance are 96 and 77 K, respectively. X-ray diffraction analysis predicts 1, 2, 3 composition and orthorhombic phase of the film

  4. Large-grain polycrystalline silicon film by sequential lateral solidification on a plastic substrate

    International Nuclear Information System (INIS)

    Kim, Yong-Hae; Chung, Choong-Heui; Yun, Sun Jin; Moon, Jaehyun; Park, Dong-Jin; Kim, Dae-Won; Lim, Jung Wook; Song, Yoon-Ho; Lee, Jin Ho

    2005-01-01

    A large-grain polycrystalline silicon film was obtained on a plastic substrate by sequential lateral solidification. With various combinations of sputtering powers and Ar working gas pressures, the conditions for producing dense amorphous silicon (a-Si) and SiO 2 films were optimized. The successful crystallization of the a-Si film is attributed to the production of a dense a-Si film that has low argon content and can endure high-intensity laser irradiation

  5. Glow discharge-deposited amorphous silicon films for low-cost solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Grabmaier, J G; Plaettner, R D; Stetter, W [Siemens A.G., Muenchen (Germany, F.R.). Forschungslaboratorien

    1980-01-01

    Due to their high absorption constant, glow discharge-deposited amorphous silicon (a-Si) films are of great interest for low-cost solar cells. Using SiH/sub 4/ and SiX/sub 4//H/sub 2/ (X = Cl or F) gas mixtures in an inductively or capacitively excited reactor, a-Si films with thicknesses up to several micrometers were deposited on substrates of glass, silica and silicon. The optical and electrical properties of the films were determined by measuring the IR absorption spectra, dark conductivity, photoconductivity, and photoluminescence. Hydrogen, chlorine, or fluorine were incorporated in the films in order to passivate dangling bonds in the amorphous network.

  6. Solar cell fabricated on welded thin flexible silicon

    Directory of Open Access Journals (Sweden)

    Hessmann Maik Thomas

    2015-01-01

    Full Text Available We present a thin-film crystalline silicon solar cell with an AM1.5 efficiency of 11.5% fabricated on welded 50 μm thin silicon foils. The aperture area of the cell is 1.00 cm2. The cell has an open-circuit voltage of 570 mV, a short-circuit current density of 29.9 mA cm-2 and a fill factor of 67.6%. These are the first results ever presented for solar cells on welded silicon foils. The foils were welded together in order to create the first thin flexible monocrystalline band substrate. A flexible band substrate offers the possibility to overcome the area restriction of ingot-based monocrystalline silicon wafers and the feasibility of a roll-to-roll manufacturing. In combination with an epitaxial and layer transfer process a decrease in production costs can be achieved.

  7. Epitaxial growth and control of the sodium content in Na{sub x}CoO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Sandra; Komissinskiy, Philipp [Institute for Materials Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); Major, Marton [Institute for Materials Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); WIGNER RCP, RMKI, H-1525 Budapest, P.O.B. 49 (Hungary); Donner, Wolfgang [Institute for Materials Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); Alff, Lambert, E-mail: alff@oxide.tu-darmstadt.de [Institute for Materials Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany)

    2013-10-31

    Single-phase c-axis oriented Na{sub x}CoO{sub 2} thin films were grown on (001) SrTiO{sub 3} single-crystal substrates, using pulsed laser deposition. X-ray diffraction analysis indicates the epitaxial growth of Na{sub x}CoO{sub 2} thin films in two domains, rotated in-plane by 15 and 45 degrees relative to [100] SrTiO{sub 3}. The sodium stoichiometry x of the films can be controlled in a range of 0.38 < x < 0.84 by in-situ post-deposition annealing the Na{sub x}CoO{sub 2} films at 720 – 760 °C in oxygen for 10 – 30 min. γ - Na{sub x}CoO{sub 2} films are obtained with a full width at half maximum of the (002) Na{sub x}CoO{sub 2} rocking curve below 0.2 degrees. The post-deposition annealing can substitute commonly used chemical deintercalation of Na which is typically associated with a loss in crystallinity. - Highlights: • Single phase Na{sub x}CoO{sub 2} thin films grown by pulsed laser deposition • Epitaxial relations of Na{sub x}CoO{sub 2} thin films on (001) SrTiO{sub 3} substrates • Multi-domain thin films • Control of sodium content by in-situ annealing of Na{sub x}CoO{sub 2} thin films.

  8. Research Update: Enhanced energy storage density and energy efficiency of epitaxial Pb0.9La0.1(Zr0.52Ti0.48O3 relaxor-ferroelectric thin-films deposited on silicon by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    Minh D. Nguyen

    2016-08-01

    Full Text Available Pb0.9La0.1(Zr0.52Ti0.48O3 (PLZT relaxor-ferroelectric thin films were grown on SrRuO3/SrTiO3/Si substrates by pulsed laser deposition. A large recoverable storage density (Ureco of 13.7 J/cm3 together with a high energy efficiency (η of 88.2% under an applied electric field of 1000 kV/cm and at 1 kHz frequency was obtained in 300-nm-thick epitaxial PLZT thin films. These high values are due to the slim and asymmetric hysteresis loop when compared to the values in the reference undoped epitaxial lead zirconate titanate Pb(Zr0.52Ti0.48O3 ferroelectric thin films (Ureco = 9.2 J/cm3 and η = 56.4% which have a high remanent polarization and a small shift in the hysteresis loop, under the same electric field.

  9. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    International Nuclear Information System (INIS)

    Díaz-Becerril, T.; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A.; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-01-01

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta 2 O 5 and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta 2 O 5- SiO 2 -Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si + and Ta + states respectively. Ta 2 O 5 and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta 2 O 5 /Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  10. Deposition of magnetoelectric hexaferrite thin films on substrates of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Saba; Izadkhah, Hessam; Vittoria, Carmine

    2016-12-15

    Magnetoelectric M-type hexaferrite thin films (SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19}) were deposited using Pulsed Laser Deposition (PLD) technique on Silicon substrate. A conductive oxide layer of Indium-Tin Oxide (ITO) was deposited as a buffer layer with the dual purposes of 1) to reduce lattice mismatch between the film and silicon and 2) to lower applied voltages to observe magnetoelectric effects at room temperature on Silicon based devices. The film exhibited magnetoelectric effects as confirmed by vibrating sample magnetometer (VSM) techniques in voltages as low as 0.5 V. Without the oxide conductive layer the required voltages to observe magnetoelectric effects was typically about 1000 times larger. The magnetoelectric thin films were characterized by X-ray diffractometer, scanning electron microscope, energy-dispersive spectroscopy, vibrating sample magnetometer, and ferromagnetic resonance techniques. We measured saturation magnetization of 650 G, and coercive field of about 150 Oe for these thin films. The change in remanence magnetization was measured in the presence of DC voltages and the changes in remanence were in the order of 15% with the application of only 0.5 V (DC voltage). We deduced a magnetoelectric coupling, α, of 1.36×10{sup −9} s m{sup −1} in SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19} thin films.

  11. A clean measurement of the hydrogen retardation of the rate of solid phase epitaxy in silicon

    International Nuclear Information System (INIS)

    Liu, A.C.Y.; McCallum, J.C.

    1999-01-01

    The rate retarding effects of the impurity hydrogen on solid phase epitaxy (SPE) in silicon have yet to be completely understood. Existing measurements of this behaviour do not coincide exactly, however, several features have attained prominence. Firstly, a linear decrease in the SPE rate is detected up until a certain concentration of hydrogen. Subsequent to this point the rate remains almost constant at around half the intrinsic rate. It is conjectured that the hydrogen bonds to and passivates the defects whose agency enables the incorporation of atoms from the amorphous phase to the crystalline. This rate reduction increases until the defect population is saturated. At this point the reduction in rate ceases. Secondly, a dependence on temperature has not been consolidated, in contrast with the trends observed with the doping species. Here a method is proposed for producing a controlled concentration of hydrogen for the advancing amorphous/crystalline interface to encounter during epitaxy. A bubble layer is formed in crystalline silicon approximately 0.6μm beneath the surface through the implantation of hydrogen at 65 keV with fluences of 4 x 10 16 /cm 2 and 3 x 10 16 /cm 2 and annealing for 1 hour at 850 deg C in dry argon. The anneal doesn't out gas all the introduced hydrogen, leaving a remnant gas pressure in the bubbles. The hydrogen implants at the two fluences should yield two samples with different amounts of hydrogen trapped in the bubbles. A buried amorphous layer is created to encompass the bubble layer containing this residual contaminant through silicon self implantation at appropriate energies and fluences. The progress of the front interface of the buried amorphous layer is monitored by time resolved reflectivity (TRR) as SPE is effected at various temperatures

  12. Modeling the transport properties of epitaxially grown thermoelectric oxide thin films using spectroscopic ellipsometry

    KAUST Repository

    Sarath Kumar, S. R.

    2012-02-01

    The influence of oxygen vacancies on the transport properties of epitaxial thermoelectric (Sr,La)TiO3 thin films is determined using electrical and spectroscopic ellipsometry (SE) measurements. Oxygen vacancy concentration was varied by ex-situ annealing in Ar and Ar/H2. All films exhibited degenerate semiconducting behavior, and electrical conductivity decreased (258–133 S cm−1) with increasing oxygen content. Similar decrease in the Seebeck coefficient is observed and attributed to a decrease in effective mass (7.8–3.2 me ), as determined by SE. Excellent agreement between transport properties deduced from SE and direct electrical measurements suggests that SE is an effective tool for studying oxide thin film thermoelectrics.

  13. Modeling the transport properties of epitaxially grown thermoelectric oxide thin films using spectroscopic ellipsometry

    KAUST Repository

    Sarath Kumar, S. R.; Abutaha, Anas I.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2012-01-01

    The influence of oxygen vacancies on the transport properties of epitaxial thermoelectric (Sr,La)TiO3 thin films is determined using electrical and spectroscopic ellipsometry (SE) measurements. Oxygen vacancy concentration was varied by ex-situ annealing in Ar and Ar/H2. All films exhibited degenerate semiconducting behavior, and electrical conductivity decreased (258–133 S cm−1) with increasing oxygen content. Similar decrease in the Seebeck coefficient is observed and attributed to a decrease in effective mass (7.8–3.2 me ), as determined by SE. Excellent agreement between transport properties deduced from SE and direct electrical measurements suggests that SE is an effective tool for studying oxide thin film thermoelectrics.

  14. Silicon-Light: a European FP7 Project Aiming at High Efficiency Thin Film Silicon Solar Cells on Foil

    DEFF Research Database (Denmark)

    Soppe, W.; Haug, F.-J.; Couty, P.

    2011-01-01

    Silicon-Light is a European FP7 project, which started January 1st, 2010 and aims at development of low cost, high-efficiency thin film silicon solar cells on foil. Three main routes are explored to achieve these goals: a) advanced light trapping by implementing nanotexturization through UV Nano...... calculations of ideal nanotextures for light trapping in thin film silicon solar cells; the fabrication of masters and the replication and roll-to-roll fabrication of these nanotextures. Further, results on ITO variants with improved work function are presented. Finally, the status of cell fabrication on foils...

  15. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  16. Effect of point disorder on superconducting properties of ultrathin epitaxial NbN films

    International Nuclear Information System (INIS)

    Jesudasan, John; Bagwe, Vivas; Mondal, Mintu; Chand, Madhavi; Kamlapure, Anand; Pai, S.P.; Raychaudhuri, Pratap; Mishra, Archana

    2009-01-01

    We synthesized homogeneously disordered epitaxial NbN films on MgO(100) substrates using reactive dc magnetron sputtering. The disorder of the films is characterized by the Loffe-Regel parameter k F I. The superconducting properties are studied through transport, ac-susceptibility measurements and electron tunneling. The superconducting transition temperature is studied as a function of thickness for films of different disorder. In the case of the less disordered film, there is a smooth decrease in T c with decreasing thickness but for the more disordered film, the T c shows a sharp decrease to zero at a threshold thickness. The superconducting energy gap is studied via planar tunnel junctions. It is found that for the less disordered films, the temperature dependence of the gap follows the BCS variation but for the more disordered ones, there is a significant deviation from the BCS curve, and the gap remains finite at T c indicating that the superconducting transition is either governed by phase fluctuations or a first order phase transition. (author)

  17. Epitaxially grown strained pentacene thin film on graphene membrane.

    Science.gov (United States)

    Kim, Kwanpyo; Santos, Elton J G; Lee, Tae Hoon; Nishi, Yoshio; Bao, Zhenan

    2015-05-06

    Organic-graphene system has emerged as a new platform for various applications such as flexible organic photovoltaics and organic light emitting diodes. Due to its important implication in charge transport, the study and reliable control of molecular packing structures at the graphene-molecule interface are of great importance for successful incorporation of graphene in related organic devices. Here, an ideal membrane of suspended graphene as a molecular assembly template is utilized to investigate thin-film epitaxial behaviors. Using transmission electron microscopy, two distinct molecular packing structures of pentacene on graphene are found. One observed packing structure is similar to the well-known bulk-phase, which adapts a face-on molecular orientation on graphene substrate. On the other hand, a rare polymorph of pentacene crystal, which shows significant strain along the c-axis, is identified. In particular, the strained film exhibits a specific molecular orientation and a strong azimuthal correlation with underlying graphene. Through ab initio electronic structure calculations, including van der Waals interactions, the unusual polymorph is attributed to the strong graphene-pentacene interaction. The observed strained organic film growth on graphene demonstrates the possibility to tune molecular packing via graphene-molecule interactions. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Investigation of carbon nanotube-containing film on silicon substrates and its tribological behavior

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Zhiyong [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Cheng, Xianhua, E-mail: xhcheng@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2015-11-15

    Highlights: • CNT-containing film was self-assembled on silicon substrates. • CNTs are strongly bonded with the substrates by chemical combination between La and oxygen-containing functional groups. • CNT-containing film has excellent friction reduction, load-carrying capacity and anti-wear ability. - Abstract: Carbon nanotubes (CNTs) were functionalized with Lanthanum (La) modifier and appropriate acid-treatment methods. CNT-containing film was deposited on silicon substrates via a self-assembly process. The formation and microstructure of La treated CNTs and CNT-containing film were characterized by high-resolution transmission electron microscopy (HRTEM), scanning electron microscopy (SEM), X-ray photoelectron spectrometry (XPS) and water contact angle (WCA). Its tribological properties were evaluated with a UMT-2MT reciprocating friction tester. The results show that CNTs were adsorbed on silicon substrates by means of chemically bonding between La and oxygen-containing functional groups. The friction coefficient of the silicon substrates is reduced from 0.87 to 0.12 after the deposition of CNT-containing film on its surface. CNT-containing film shows excellent antiwear, friction reducing ability and load-carrying capacity due to excellent mechanical and self-lubrication properties of CNTs.

  19. INFLUENCE OF THE SILICON INTERLAYER ON DIAMOND-LIKE CARBON FILMS DEPOSITED ON GLASS SUBSTRATES

    Directory of Open Access Journals (Sweden)

    Deiler Antonio Lima Oliveira

    2012-06-01

    Full Text Available Diamond-like carbon (DLC films as a hard protective coating have achieved great success in a diversity of technological applications. However, adhesion of DLC films to substrates can restrict their applications. The influence of a silicon interlayer in order to improve DLC adhesion on glass substrates was investigated. Amorphous silicon interlayer and DLC films were deposited using plasma enhanced chemical vapor deposition from silane and methane, respectively. The bonding structure, transmittance, refraction index, and adherence of the films were also evaluated regarding the thickness of the silicon interlayer. Raman scattering spectroscopy did not show any substantial difference in DLC structure due to the interlayer thickness of the silicon. Optical measurements showed a sharp decrease of transmittance in the ultra-violet region caused by the fundamental absorption of the light. In addition, the absorption edge of transmittance shifted toward longer wavelength side in the ultra-violet region as the thickness of the silicon interlayer increased. The tribological results showed an increase of DLC adherence as the silicon interlayer increased, which was characterized by less cracks around the grooves.

  20. Defect formation and carrier doping in epitaxial films of the ''parent'' compound SrCuO2: Synthesis of two superconductors descendants

    International Nuclear Information System (INIS)

    Feenstra, R.; Norton, D.P.; Budai, J.D.; Jones, E.C.; Christen, D.K.; Kawai, T.

    1995-04-01

    The infinite layer or parent compounds ACuO 2 (A: Ca-Sr-Ba) constitute the simplest copper oxygen perovskites that contain the CuO 2 sheets essential for superconductivity. The stabilization of these basic ''building blocks'' as epitaxial films, therefore, provides alluring opportunities towards the search for new superconducting compounds and elucidation of the underlying mechanisms. In this work, general trends of the defect formation and carrier doping for epitaxial films of the intermediate endmember SrCuO 2 are reviewed. First results are presented from successful attempts to induce hole-doped superconductivity via the processing-controlled incorporation of charge reservoir layers

  1. The effect of a thin silver layer on the critical current of epitaxial YBCO films

    International Nuclear Information System (INIS)

    Polturak, E.; Koren, G.; Cohen, D.; Cohen, D.; Snapiro, I.

    1992-01-01

    We compare measurements of the critical current density of an epitaxial YBCO film with that of an identical film overlaid by a thin silver layer. We find that the presence of the silver lowers Tc of the film by about 1.5 K, which is two orders of magnitude larger than predicted by the theory of the proximity effect for our experimental conditions. In addition, J c of the Ag/YBCO film near Tc is also significantly lower than that of the bare YBCO film. We propose two alternate interpretations of this effect, one in terms of destabilization of the flux distribution in the film and the other making use of the effect of the silver on the Bean-Livingston surface barrier for the initial penetration of flux. The latter seems the more plausible explanation of our results. (orig.)

  2. Morphological and optical properties of silicon thin films by PLD

    International Nuclear Information System (INIS)

    Ayouchi, R.; Schwarz, R.; Melo, L.V.; Ramalho, R.; Alves, E.; Marques, C.P.; Santos, L.; Almeida, R.; Conde, O.

    2009-01-01

    Silicon thin films have been prepared on sapphire substrates by pulsed laser deposition (PLD) technique. The films were deposited in vacuum from a silicon target at a base pressure of 10 -6 mbar in the temperature range from 400 to 800 deg. C. A Q-switched Nd:YAG laser (1064 nm, 5 ns duration, 10 Hz) at a constant energy density of 2 J x cm -2 has been used. The influence of the substrate temperature on the structural, morphological and optical properties of the Si thin films was investigated. Spectral ellipsometry and atomic force microscopy (AFM) were used to study the thickness and the surface roughness of the deposited films. Surface roughness values measured by AFM and ellipsometry show the same tendency of increasing roughness with increased deposition temperature

  3. Growth, structural, and electrical properties of germanium-on-silicon heterostructure by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Aheli Ghosh

    2017-09-01

    Full Text Available The growth, morphological, and electrical properties of thin-film Ge grown by molecular beam epitaxy on Si using a two-step growth process were investigated. High-resolution x-ray diffraction analysis demonstrated ∼0.10% tensile-strained Ge epilayer, owing to the thermal expansion coefficient mismatch between Ge and Si, and negligible epilayer lattice tilt. Micro-Raman spectroscopic analysis corroborated the strain-state of the Ge thin-film. Cross-sectional transmission electron microscopy revealed the formation of 90  ° Lomer dislocation network at Ge/Si heterointerface, suggesting the rapid and complete relaxation of Ge epilayer during growth. Atomic force micrographs exhibited smooth surface morphology with surface roughness < 2 nm. Temperature dependent Hall mobility measurements and the modelling thereof indicated that ionized impurity scattering limited carrier mobility in Ge layer. Capacitance- and conductance-voltage measurements were performed to determine the effect of epilayer dislocation density on interfacial defect states (Dit and their energy distribution. Finally, extracted Dit values were benchmarked against published Dit data for Ge MOS devices, as a function of threading dislocation density within the Ge layer. The results obtained were comparable with Ge MOS devices integrated on Si via alternative buffer schemes. This comprehensive study of directly-grown epitaxial Ge-on-Si provides a pathway for the development of Ge-based electronic devices on Si.

  4. Electronic transport in mixed-phase hydrogenated amorphous/nanocrystalline silicon thin films

    Science.gov (United States)

    Wienkes, Lee Raymond

    Interest in mixed-phase silicon thin film materials, composed of an amorphous semiconductor matrix in which nanocrystalline inclusions are embedded, stems in part from potential technological applications, including photovoltaic and thin film transistor technologies. Conventional mixed-phase silicon films are produced in a single plasma reactor, where the conditions of the plasma must be precisely tuned, limiting the ability to adjust the film and nanoparticle parameters independently. The films presented in this thesis are deposited using a novel dual-plasma co-deposition approach in which the nanoparticles are produced separately in an upstream reactor and then injected into a secondary reactor where an amorphous silicon film is being grown. The degree of crystallinity and grain sizes of the films are evaluated using Raman spectroscopy and X-ray diffraction respectively. I describe detailed electronic measurements which reveal three distinct conduction mechanisms in n-type doped mixed-phase amorphous/nanocrystalline silicon thin films over a range of nanocrystallite concentrations and temperatures, covering the transition from fully amorphous to ~30% nanocrystalline. As the temperature is varied from 470 to 10 K, we observe activated conduction, multiphonon hopping (MPH) and Mott variable range hopping (VRH) as the nanocrystal content is increased. The transition from MPH to Mott-VRH hopping around 100K is ascribed to the freeze out of the phonon modes. A conduction model involving the parallel contributions of these three distinct conduction mechanisms is shown to describe both the conductivity and the reduced activation energy data to a high accuracy. Additional support is provided by measurements of thermal equilibration effects and noise spectroscopy, both done above room temperature (>300 K). This thesis provides a clear link between measurement and theory in these complex materials.

  5. Development of Doped Microcrystalline Silicon Oxide and its Application to Thin‑Film Silicon Solar Cells

    NARCIS (Netherlands)

    Lambertz, A.

    2015-01-01

    The aim of the present study is the development of doped microcrystalline silicon oxide (µc‑SiOx:H) alloys and its application in thin‑film silicon solar cells. The doped µc‑SiOx:H material was prepared from carbon dioxide (CO2), silane (SiH4), hydrogen (H2) gas mixtures using plasma enhanced

  6. Topotactic reductive synthesis of A-site cation-ordered perovskite YBaCo2O x (x = 4.5-5.5) epitaxial thin films

    Science.gov (United States)

    Katayama, Tsukasa; Chikamatsu, Akira; Fukumura, Tomoteru; Hasegawa, Tetsuya

    2016-04-01

    A-site cation-ordered perovskite YBaCo2O x epitaxial films were synthesized by combining pulsed-laser deposition and topotactic reduction using CaH2. The oxygen contents (x) of the films could be controlled in a range of 4.5-5.5 by adjusting the reaction temperature. The c-axis length of the YBaCo2O x films decreased with decreasing x when x ≥ 5.3 but drastically increased when x ˜ 4.5. In contrast, the in-plane lattice constants remained locked-in by the substrate after the reaction. The metal insulator transition observed in bulk YBaCo2O5.5 was substantially suppressed in the present film, likely because of the epitaxial strain effect. The resistivity of the films was significantly enhanced by changing the x value from ˜5.5 to ˜4.5, reflecting the distortion of the CoO x layers.

  7. Nanocomposites Based on Polyethylene and Nanocrystalline Silicon Films

    Directory of Open Access Journals (Sweden)

    Olkhov Anatoliy Aleksandrovich

    2014-12-01

    Full Text Available High-strength polyethylene films containing 0.5-1.0 wt. % of nanocrystalline silicon (nc-Si were synthesized. Samples of nc-Si with an average core diameter of 7-10 nm were produced by plasmochemical method and by laser-induced decomposition of monosilane. Spectral studies revealed almost complete (up to ~95 % absorption of UV radiation in 200- 400 nm spectral region by 85 micron thick film if the nc-Si content approaches to 1.0 wt. %. The density function of particle size in the starting powders and polymer films containing immobilized silicon nanocrystallites were obtained using the modeling a complete profile of X-ray diffraction patterns, assuming spherical grains and the lognormal distribution. The results of X-ray analysis shown that the crystallite size distribution function remains almost unchanged and the crystallinity of the original polymer increases to about 10 % with the implantation of the initial nc-Si samples in the polymer matrix.

  8. Structural and dielectric properties of (001) and (111)-oriented BaZr0.2Ti0.8O3 epitaxial thin films

    International Nuclear Information System (INIS)

    Ventura, J.; Fina, I.; Ferrater, C.; Langenberg, E.; Coy, L.E.; Polo, M.C.; Garcia-Cuenca, M.V.; Fabrega, L.; Varela, M.

    2010-01-01

    We have grown and characterized BaZr 0.2 Ti 0.8 O 3 (BZT) epitaxial thin films deposited on (001) and (111)-oriented SrRuO 3 -buffered SrTiO 3 substrates by pulsed laser deposition. Structural and morphological characterizations were performed using X-ray diffractometry and atomic force microscopy, respectively. A cube-on-cube epitaxial relationship was ascertained from the θ-2θ and φ diffractograms in both (001) and (111)-oriented films. The (001)-oriented films showed a smooth granular morphology, whereas the faceted pyramid-like crystallites of the (111)-oriented films led to a rough surface. The dielectric response of BZT at room temperature was measured along the growth direction. The films were found to be ferroelectric, although a well-saturated hysteresis loop was obtained only for the (001)-oriented films. High leakage currents were observed for the (111) orientation, likely associated to charge transport along the boundaries of its crystallites. The remanent polarization, coercive field, dielectric constant, and relative change of dielectric permittivity (tunability) of (111)-oriented BZT were higher than those of (001)-oriented BZT.

  9. Trends in heteroepitaxy of III-Vs on silicon for photonic and photovoltaic applications

    Science.gov (United States)

    Lourdudoss, Sebastian; Junesand, Carl; Kataria, Himanshu; Metaferia, Wondwosen; Omanakuttan, Giriprasanth; Sun, Yan-Ting; Wang, Zhechao; Olsson, Fredrik

    2017-02-01

    We present and compare the existing methods of heteroepitaxy of III-Vs on silicon and their trends. We focus on the epitaxial lateral overgrowth (ELOG) method as a means of achieving good quality III-Vs on silicon. Initially conducted primarily by near-equilibrium epitaxial methods such as liquid phase epitaxy and hydride vapour phase epitaxy, nowadays ELOG is being carried out even by non-equilibrium methods such as metal organic vapour phase epitaxy. In the ELOG method, the intermediate defective seed and the mask layers still exist between the laterally grown purer III-V layer and silicon. In a modified ELOG method called corrugated epitaxial lateral overgrowth (CELOG) method, it is possible to obtain direct interface between the III-V layer and silicon. In this presentation we exemplify some recent results obtained by these techniques. We assess the potentials of these methods along with the other existing methods for realizing truly monolithic photonic integration on silicon and III-V/Si heterojunction solar cells.

  10. Epitaxial growth and magnetic properties of Fe4-xMnxN thin films grown on MgO(0 0 1) substrates by molecular beam epitaxy

    Science.gov (United States)

    Anzai, Akihito; Takata, Fumiya; Gushi, Toshiki; Toko, Kaoru; Suemasu, Takashi

    2018-05-01

    Epitaxial Fe4-xMnxN (x = 0, 1, 2, 3, and 4) thin films were successfully grown on MgO(0 0 1) single-crystal substrates by molecular beam epitaxy, and their crystalline qualities and magnetic properties were investigated. It was found that the lattice constants of Fe4-xMnxN obtained from X-ray diffraction measurement increased with the Mn content. The ratio of the perpendicular lattice constant c to the in-plane lattice constant a of Fe4-xMnxN was found to be about 0.99 at x ⩾ 2. The magnetic properties evaluated using a vibrating sample magnetometer at room temperature revealed that all of the Fe4-xMnxN films exhibited ferromagnetic behavior regardless of the value of x. In addition, the saturation magnetization decreased non-linearly as the Mn content increased. Finally, FeMn3N and Mn4N exhibited perpendicular anisotropy and their uniaxial magnetic anisotropy energies were 2.2 × 105 and 7.5 × 105 erg/cm3, respectively.

  11. Low-relaxation spin waves in laser-molecular-beam epitaxy grown nanosized yttrium iron garnet films

    Energy Technology Data Exchange (ETDEWEB)

    Lutsev, L. V., E-mail: l-lutsev@mail.ru; Korovin, A. M.; Bursian, V. E.; Gastev, S. V.; Fedorov, V. V.; Suturin, S. M.; Sokolov, N. S. [Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation)

    2016-05-02

    Synthesis of nanosized yttrium iron garnet (Y{sub 3}Fe{sub 5}O{sub 12}, YIG) films followed by the study of ferromagnetic resonance (FMR) and spin wave propagation in these films is reported. The YIG films were grown on gadolinium gallium garnet substrates by laser molecular beam epitaxy. It has been shown that spin waves propagating in YIG deposited at 700 °C have low damping. At the frequency of 3.29 GHz, the spin-wave damping parameter is less than 3.6 × 10{sup −5}. Magnetic inhomogeneities of the YIG films give the main contribution to the FMR linewidth. The contribution of the relaxation processes to the FMR linewidth is as low as 1.2%.

  12. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  13. Polycystalline silicon thin films for electronic applications

    Energy Technology Data Exchange (ETDEWEB)

    Jaeger, Christian Claus

    2012-01-15

    For the thin polycrystalline Si films fabricated with the aluminium-induced-layer-exchange (ALILE) process a good structural quality up to a layer-thickness value of 10 nm was determined. For 5 nm thick layers however after the layer exchange no closes poly-silicon film was present. In this case the substrate was covered with spherically arranged semiconductor material. Furthermore amorphous contributions in the layer could be determined. The electrical characterization of the samples at room temperature proved a high hole concentration in the range 10{sup 18} cm{sup -3} up to 9.10{sup 19} cm{sup -3}, which is influenced by the process temperature and the layer thickness. Hereby higher hole concentrations at higher process temperatures and thinner films were observed. Furthermore above 150-200 K a thermically activated behaviour of the electrical conductivity was observed. At lower temperatures a deviation of the measured characteristic from the exponential Arrhenius behaviour was determined. For low temperatures (below 20 K) the conductivity follows the behaviour {sigma}{proportional_to}[-(T{sub 0}/T){sup 1/4}]. The hole mobility in the layers was lowered by a passivation step, which can be explained by defect states at the grain boundaries. The for these very thin layers present situation was simulated in the framework of the model of Seto, whereby both the defect states at the grain boundaries (with an area density Q{sub t}) and the defect states at the interfaces (with an area density Q{sub it}) were regarded. By this the values Q{sub t}{approx}(3-4).10{sup 12} cm{sup -2} and Q{sub it}{approx}(2-5).10{sup 12} cm{sup -2} could be determined for these thin ALILE layers on quartz substrates. Additionally th R-ALILE process was studied, which uses the reverse precursor-layer sequence substrate/amorphous silicon/oxide/aluminium. Hereby two steps in the crystallization process of the R-ALILE process were found. First a substrate/Al-Si mixture/poly-Si layer structure

  14. Laser energy tuning of carrier effective mass and thermopower in epitaxial oxide thin films

    KAUST Repository

    Abutaha, Anas I.

    2012-04-18

    The effect of the laser fluence on high temperature thermoelectric properties of the La doped SrTiO3 (SLTO) thin films epitaxially grown on LaAlO3 〈100〉 substrates by pulsed laser deposition is clarified. It is shown that oxygen vacancies that influence the effective mass of carriers in SLTO films can be tuned by varying the laser energy. The highest power factor of 0.433 W K−1 m−1 has been achieved at 636 K for a filmdeposited using the highest laser fluence of 7 J cm−2 pulse−1.

  15. Visible light carrier generation in co-doped epitaxial titanate films

    Energy Technology Data Exchange (ETDEWEB)

    Comes, Ryan B., E-mail: ryan.comes@pnnl.gov; Kaspar, Tiffany C.; Chambers, Scott A. [Fundamental and Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99354 (United States); Smolin, Sergey Y.; Baxter, Jason B. [Department of Chemical and Biological Engineering, Drexel University, Philadelphia, Pennsylvania 19104 (United States); Gao, Ran [Department of Materials Science and Engineering, University of California-Berkeley, Berkeley, California 94720 (United States); Apgar, Brent A. [Department of Materials Science and Engineering, University of California-Berkeley, Berkeley, California 94720 (United States); Department of Materials Science and Engineering, University of Illinois at Urbana-Champaign, Champaign, Illinois 61801 (United States); Martin, Lane W. [Department of Materials Science and Engineering, University of California-Berkeley, Berkeley, California 94720 (United States); Materials Science Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Bowden, Mark E. [Environmental Molecular Sciences Laboratory, Pacific Northwest National Laboratory, Richland, Washington 99354 (United States)

    2015-03-02

    Perovskite titanates such as SrTiO{sub 3} (STO) exhibit a wide range of important functional properties, including ferroelectricity and excellent photocatalytic performance. The wide optical band gap of titanates limits their use in these applications; however, making them ill-suited for integration into solar energy harvesting technologies. Our recent work has shown that by doping STO with equal concentrations of La and Cr, we can enhance visible light absorption in epitaxial thin films while avoiding any compensating defects. In this work, we explore the optical properties of photoexcited carriers in these films. Using spectroscopic ellipsometry, we show that the Cr{sup 3+} dopants, which produce electronic states immediately above the top of the O 2p valence band in STO reduce the direct band gap of the material from 3.75 eV to 2.4–2.7 eV depending on doping levels. Transient reflectance spectroscopy measurements are in agreement with the observations from ellipsometry and confirm that optically generated carriers are present for longer than 2 ns. Finally, through photoelectrochemical methylene blue degradation measurements, we show that these co-doped films exhibit enhanced visible light photocatalysis when compared to pure STO.

  16. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    Energy Technology Data Exchange (ETDEWEB)

    Díaz-Becerril, T., E-mail: tomas.diaz.be@gmail.com; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A., E-mail: acoyopol@gmail.com; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-04-15

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta{sub 2}O{sub 5} and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta{sub 2}O{sub 5-}SiO{sub 2}-Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si{sup +} and Ta{sup +} states respectively. Ta{sub 2}O{sub 5} and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta{sub 2}O{sub 5}/Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  17. Superconducting thin films of As-free pnictide LaPd{sub 1-x}Sb{sub 2} grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2013-07-01

    We use reactive molecular beam epitaxy as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaPd{sub 1-x}Sb{sub 2} were grown on (100) MgO substrates from elemental sources by simultaneous evaporation of high purity La, Pd and Sb metals by e-gun. LaPd{sub 1-x}Sb{sub 2} belongs to a novel class of pnictide superconductors with a peculiar pnictide square net layer. Previously, we have reported epitaxial growth of isostructural Bi based compounds. The substitution of Bi by Sb leads to thin films with metallic behavior and room temperature resistivity of about 85 μΩ cm. The highest observed transition temperature T{sub c} inLaPd{sub 1-x}Sb{sub 2} is 3.1 K and does not depend on x. We discuss strategies to increase T{sub c} in this pnictide subfamily.

  18. Spin Filtering in Epitaxial Spinel Films with Nanoscale Phase Separation

    KAUST Repository

    Li, Peng

    2017-05-08

    The coexistence of ferromagnetic metallic phase and antiferromagnetic insulating phase in nanoscaled inhomogeneous perovskite oxides accounts for the colossal magnetoresistance. Although the model of spin-polarized electron transport across antiphase boundaries has been commonly employed to account for large magnetoresistance (MR) in ferrites, the magnetic anomalies, the two magnetic phases and enhanced molecular moment, are still unresolved. We observed a sizable MR in epitaxial spinel films (NiCo2O4-δ) that is much larger than that commonly observed in spinel ferrites. Detailed analysis reveals that this MR can be attributed to phase separation, in which the perfect ferrimagnetic metallic phase and ferrimagnetic insulating phase coexist. The magnetic insulating phase plays an important role in spin filtering in these phase separated spinel oxides, leading to a sizable MR effect. A spin filtering model based on Zeeman effect and direct tunneling is developed to account for MR of the phase separated films.

  19. Orientation-dependent physical properties of layered perovskite La{sub 1.3}Sr{sub 1.7}Mn{sub 2}O{sub 7} epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Niu, Li-Wei; Guo, Bing; Chen, Chang-Le, E-mail: chenchl@nwpu.edu.cn; Luo, Bing-Cheng; Dong, Xiang-Lei; Jin, Ke-Xin

    2017-04-01

    In this paper, the resistivity and magnetization of orientation-engineered layered perovskite La{sub 1.3}Sr{sub 1.7}Mn{sub 2}O{sub 7} epitaxial thin films have been investigated. Epitaxial thin films were deposited on single-crystalline LaAlO{sub 3} (LAO) (001), (110) and (111) substrates by pulse laser deposition (PLD) technique. It is found that only the (100)-oriented thin film performs insulator behavior, whereas the (110) and (111)-oriented thin films exhibit obvious metal-insulator transition at 70 K and between 85 and 120 K, respectively. Moreover, the same spin freezing temperature and different spin-glass-like transition temperatures have been observed in various oriented films. The observed experimental results were discussed according to the electron-transport mechanism and spin dynamics.

  20. Microstructural and domain effects in epitaxial CoFe2O4 films on MgO with perpendicular magnetic anisotropy

    International Nuclear Information System (INIS)

    Comes, Ryan; Gu Man; Khokhlov, Mikhail; Lu Jiwei; Wolf, Stuart A.

    2012-01-01

    CoFe 2 O 4 (CFO) epitaxial thin films of various thicknesses were grown on MgO substrates using the pulsed electron-beam deposition technique. The films have excellent in-plane coherence with the substrate, exhibit layer-by-layer growth and have well-defined thickness fringes in x-ray diffraction measurements. Atomic force microscopy (AFM) measurements indicate that misfit dislocations form in thicker films and the critical thickness for the dislocation formation is estimated. Perpendicular magnetic anisotropy in CFO due to epitaxial in-plane tensile strain from the substrate was found. A stripe-like domain structure in the demagnetized state is demonstrated using magnetic force microscopy (MFM), in agreement with previous predictions. Coercivity increased in thicker films, which is explained by domain wall pinning due to misfit dislocations at the CFO/MgO interface. - Highlights: → X-ray diffraction and rocking curves indicate films are amongst highest quality in the literature. → Domain structure of CoFe 2 O 4 films on MgO was found to be stripe-like using MFM. → Critical thickness for misfit dislocations estimated and agrees with experiment. → Effect of misfit dislocations on surface morphology explained. → Role of dislocations and antiphase boundaries in domain wall formation and motion explained.