WorldWideScience

Sample records for epitaxial graphene grown

  1. EDITORIAL: Epitaxial graphene Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire

    2012-04-01

    Graphene is widely regarded as an important new electronic material with interesting two-dimensional electron gas properties. Not only that, but graphene is widely considered to be an important new material for large-scale integrated electronic devices that may eventually even succeed silicon. In fact, there are countless publications that demonstrate the amazing applications potential of graphene. In order to realize graphene electronics, a platform is required that is compatible with large-scale electronics processing methods. It was clear from the outset that graphene grown epitaxially on silicon carbide substrates was exceptionally well suited as a platform for graphene-based electronics, not only because the graphene sheets are grown directly on electronics-grade silicon carbide (an important semiconductor in its own right), but also because these sheets are oriented with respect to the semiconductor. Moreover, the extremely high temperatures involved in production assure essentially defect-free and contamination-free materials with well-defined interfaces. Epitaxial graphene on silicon carbide is not a unique material, but actually a class of materials. It is a complex structure consisting of a reconstructed silicon carbide surface, which, for planar hexagonal silicon carbide, is either the silicon- or the carbon-terminated face, an interfacial carbon rich layer, followed by one or more graphene layers. Consequently, the structure of graphene films on silicon carbide turns out to be a rich surface-science puzzle that has been intensively studied and systematically unravelled with a wide variety of surface science probes. Moreover, the graphene films produced on the carbon-terminated face turn out to be rotationally stacked, resulting in unique and important structural and electronic properties. Finally, in contrast to essentially all other graphene production methods, epitaxial graphene can be grown on structured silicon carbide surfaces to produce graphene

  2. Multilayer epitaxial graphene grown on the (SiC 000 1-bar ) surface; structure and electronic properties

    International Nuclear Information System (INIS)

    Sprinkle, M; Hicks, J; Tinkey, H; Clark, M C; Hass, J; Conrad, E H; Tejeda, A; Taleb-Ibrahimi, A; Le Fevre, P; Bertran, F; Soukiassian, P; Martinotti, D

    2010-01-01

    We review the progress towards developing epitaxial graphene as a material for carbon electronics. In particular, we discuss improvements in epitaxial graphene growth, interface control and the understanding of multilayer epitaxial graphene's (MEG's) electronic properties. Although graphene grown on both polar faces of SiC will be discussed, our discussions will focus on graphene grown on the (0 0 0 1-bar ) C-face of SiC. The unique properties of C-face MEG have become apparent. These films behave electronically like a stack of nearly independent graphene sheets rather than a thin Bernal stacked graphite sample. The origins of multilayer graphene's electronic behaviour are its unique highly ordered stacking of non-Bernal rotated graphene planes. While these rotations do not significantly affect the inter-layer interactions, they do break the stacking symmetry of graphite. It is this broken symmetry that leads to each sheet behaving like isolated graphene planes.

  3. Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  4. Epitaxial graphene electronic structure and transport

    International Nuclear Information System (INIS)

    De Heer, Walt A; Berger, Claire; Wu Xiaosong; Sprinkle, Mike; Hu Yike; Ruan Ming; First, Phillip N; Stroscio, Joseph A; Haddon, Robert; Piot, Benjamin; Faugeras, Clement; Potemski, Marek; Moon, Jeong-Sun

    2010-01-01

    Since its inception in 2001, the science and technology of epitaxial graphene on hexagonal silicon carbide has matured into a major international effort and is poised to become the first carbon electronics platform. A historical perspective is presented and the unique electronic properties of single and multilayered epitaxial graphenes on electronics grade silicon carbide are reviewed. Early results on transport and the field effect in Si-face grown graphene monolayers provided proof-of-principle demonstrations. Besides monolayer epitaxial graphene, attention is given to C-face grown multilayer graphene, which consists of electronically decoupled graphene sheets. Production, structure and electronic structure are reviewed. The electronic properties, interrogated using a wide variety of surface, electrical and optical probes, are discussed. An overview is given of recent developments of several device prototypes including resistance standards based on epitaxial graphene quantum Hall devices and new ultrahigh frequency analogue epitaxial graphene amplifiers.

  5. Epitaxial Graphene: A New Material for Electronics

    Science.gov (United States)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  6. Successful Fabrication of GaN Epitaxial Layer on Non-Catalytically grown Graphene

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Sung Won [Konkuk University, Chungju (Korea, Republic of); Choi, Suk-Ho [Kyung Hee University, Yongin (Korea, Republic of)

    2016-07-15

    Sapphire is widely used as a substrate for the growth of GaN epitaxial layer (EPI), but has several drawbacks such as high cost, large lattice mismatch, non-flexibility, and so on. Here, we first employ graphene directly grown on Si or sapphire substrate as a platform for the growth and lift-off of GaN-light-emitting diode (LED) EPI, useful for not only recycling the substrate but also transferring the GaN-LED EPI to other flexible substrates. Sequential standard processes of nucleation/recrystallization of GaN seeds and deposition of undoped (u-) GaN/AlN buffer layer were done on graphene/substrate before the growth of GaN-LED EPI, accompanied by taping and lift-off of u-GaN/AlN or GaN-LED EPI. This approach can overcome the limitations by the catalytic growth and transfer of graphene, and make the oxygen-plasma treatment of graphene for the growth of GaN EPI unnecessary.

  7. New synthesis method for the growth of epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Yu, X.Z. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Laboratory of Condensed Matter Spectroscopy and Opto-Electronic Physics, Department of Physics, Shanghai Jiao Tong University, 1954 Hua Shan Road, Shanghai 200030 (China); Hwang, C.G.; Jozwiak, C.M.; Koehl, A. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Schmid, A.K. [National Center for Electron Microscopy, Lawrence Berkeley National Laboratory, Berkeley, CA 94709 (United States); Lanzara, A., E-mail: ALanzara@lbl.gov [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Department of Physics, University of California, Berkeley, CA 94720 (United States)

    2011-04-15

    Highlights: {yields} We report a new straightforward method for the synthesis of micrometer scale graphene sheets. {yields} The process is based on a face to face mehtod in which two SiC substrates are placed one on top of the other and are heated simultaneously, leading to highly homogeneous samples. {yields} The number of graphene layers is determined by the annealing temperature. - Abstract: As a viable candidate for an all-carbon post-CMOS electronics revolution, epitaxial graphene has attracted significant attention. To realize its application potential, reliable methods for fabricating large-area single-crystalline graphene domains are required. A new way to synthesize high quality epitaxial graphene, namely 'face-to-face' method, has been reported in this paper. The structure and morphologies of the samples are characterized by low-energy electron diffraction, atomic force microscopy, angle-resolved photoemission spectroscopy and Raman spectroscopy. The grown samples show better quality and larger length scales than samples grown through conventional thermal desorption. Moreover, the graphene thickness can be easily controlled by changing annealing temperature.

  8. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  9. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  10. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    Science.gov (United States)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  11. Transfer-free electrical insulation of epitaxial graphene from its metal substrate.

    Science.gov (United States)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo; Dalmiglio, Matteo; Orlando, Fabrizio; Baraldi, Alessandro; Gammelgaard, Lauge; Barreto, Lucas; Bianchi, Marco; Perkins, Edward; Hofmann, Philip

    2012-09-12

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen, and the eventual formation of a SiO(2) layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique.

  12. Transfer-Free Electrical Insulation of Epitaxial Graphene from its Metal Substrate

    DEFF Research Database (Denmark)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo

    2012-01-01

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen......, and the eventual formation of a SiO2 layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique....

  13. Epitaxial-graphene/graphene-oxide junction: an essential step towards epitaxial graphene electronics.

    Science.gov (United States)

    Wu, Xiaosong; Sprinkle, Mike; Li, Xuebin; Ming, Fan; Berger, Claire; de Heer, Walt A

    2008-07-11

    Graphene-oxide (GO) flakes have been deposited to bridge the gap between two epitaxial-graphene electrodes to produce all-graphene devices. Electrical measurements indicate the presence of Schottky barriers at the graphene/graphene-oxide junctions, as a consequence of the band gap in GO. The barrier height is found to be about 0.7 eV, and is reduced after annealing at 180 degrees C, implying that the gap can be tuned by changing the degree of oxidation. A lower limit of the GO mobility was found to be 850 cm2/V s, rivaling silicon. In situ local oxidation of patterned epitaxial graphene has been achieved.

  14. The physics of epitaxial graphene on SiC(0001)

    International Nuclear Information System (INIS)

    Kageshima, H; Hibino, H; Tanabe, S

    2012-01-01

    Various physical properties of epitaxial graphene grown on SiC(0001) are studied. First, the electronic transport in epitaxial bilayer graphene on SiC(0001) and quasi-free-standing bilayer graphene on SiC(0001) is investigated. The dependences of the resistance and the polarity of the Hall resistance at zero gate voltage on the top-gate voltage show that the carrier types are electron and hole, respectively. The mobility evaluated at various carrier densities indicates that the quasi-free-standing bilayer graphene shows higher mobility than the epitaxial bilayer graphene when they are compared at the same carrier density. The difference in mobility is thought to come from the domain size of the graphene sheet formed. To clarify a guiding principle for controlling graphene quality, the mechanism of epitaxial graphene growth is also studied theoretically. It is found that a new graphene sheet grows from the interface between the old graphene sheets and the SiC substrate. Further studies on the energetics reveal the importance of the role of the step on the SiC surface. A first-principles calculation unequivocally shows that the C prefers to release from the step edge and to aggregate as graphene nuclei along the step edge rather than be left on the terrace. It is also shown that the edges of the existing graphene more preferentially absorb the isolated C atoms. For some annealing conditions, experiments can also provide graphene islands on SiC(0001) surfaces. The atomic structures are studied theoretically together with their growth mechanism. The proposed embedded island structures actually act as a graphene island electronically, and those with zigzag edges have a magnetoelectric effect. Finally, the thermoelectric properties of graphene are theoretically examined. The results indicate that reducing the carrier scattering suppresses the thermoelectric power and enhances the thermoelectric figure of merit. The fine control of the Fermi energy position is thought to

  15. Epitaxially grown strained pentacene thin film on graphene membrane.

    Science.gov (United States)

    Kim, Kwanpyo; Santos, Elton J G; Lee, Tae Hoon; Nishi, Yoshio; Bao, Zhenan

    2015-05-06

    Organic-graphene system has emerged as a new platform for various applications such as flexible organic photovoltaics and organic light emitting diodes. Due to its important implication in charge transport, the study and reliable control of molecular packing structures at the graphene-molecule interface are of great importance for successful incorporation of graphene in related organic devices. Here, an ideal membrane of suspended graphene as a molecular assembly template is utilized to investigate thin-film epitaxial behaviors. Using transmission electron microscopy, two distinct molecular packing structures of pentacene on graphene are found. One observed packing structure is similar to the well-known bulk-phase, which adapts a face-on molecular orientation on graphene substrate. On the other hand, a rare polymorph of pentacene crystal, which shows significant strain along the c-axis, is identified. In particular, the strained film exhibits a specific molecular orientation and a strong azimuthal correlation with underlying graphene. Through ab initio electronic structure calculations, including van der Waals interactions, the unusual polymorph is attributed to the strong graphene-pentacene interaction. The observed strained organic film growth on graphene demonstrates the possibility to tune molecular packing via graphene-molecule interactions. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Quantum Hall effect in epitaxial graphene with permanent magnets.

    Science.gov (United States)

    Parmentier, F D; Cazimajou, T; Sekine, Y; Hibino, H; Irie, H; Glattli, D C; Kumada, N; Roulleau, P

    2016-12-06

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  17. Quantum Hall effect in epitaxial graphene with permanent magnets

    Science.gov (United States)

    Parmentier, F. D.; Cazimajou, T.; Sekine, Y.; Hibino, H.; Irie, H.; Glattli, D. C.; Kumada, N.; Roulleau, P.

    2016-12-01

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  18. Morphological and electronic properties of epitaxial graphene on SiC

    International Nuclear Information System (INIS)

    Yakimova, R.; Iakimov, T.; Yazdi, G.R.; Bouhafs, C.; Eriksson, J.; Zakharov, A.; Boosalis, A.; Schubert, M.; Darakchieva, V.

    2014-01-01

    We report on the structural and electronic properties of graphene grown on SiC by high-temperature sublimation. We have studied thickness uniformity of graphene grown on 4H–SiC (0 0 0 1), 6H–SiC (0 0 0 1), and 3C–SiC (1 1 1) substrates and investigated in detail graphene surface morphology and electronic properties. Differences in the thickness uniformity of the graphene layers on different SiC polytypes is related mainly to the minimization of the terrace surface energy during the step bunching process. It is also shown that a lower substrate surface roughness results in more uniform step bunching and consequently better quality of the grown graphene. We have compared the three SiC polytypes with a clear conclusion in favor of 3C–SiC. Localized lateral variations in the Fermi energy of graphene are mapped by scanning Kelvin probe microscopy. It is found that the overall single-layer graphene coverage depends strongly on the surface terrace width, where a more homogeneous coverage is favored by wider terraces. It is observed that the step distance is a dominating, factor in determining the unintentional doping of graphene from the SiC substrate. Microfocal spectroscopic ellipsometry mapping of the electronic properties and thickness of epitaxial graphene on 3C–SiC (1 1 1) is also reported. Growth of one monolayer graphene is demonstrated on both Si- and C-polarity of the 3C–SiC substrates and it is shown that large area homogeneous single monolayer graphene can be achieved on the Si-face substrates. Correlations between the number of graphene monolayers on one hand and the main transition associated with an exciton enhanced van Hove singularity at ∼4.5 eV and the free-charge carrier scattering time, on the other are established. It is shown that the interface structure on the Si- and C-polarity of the 3C–SiC (1 1 1) differs and has a determining role for the thickness and electronic properties homogeneity of the epitaxial graphene.

  19. Single orientation graphene synthesized on iridium thin films grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Dangwal Pandey, A., E-mail: arti.pandey@desy.de; Grånäs, E.; Shayduk, R.; Noei, H.; Vonk, V. [Deutsches Elektronen-Synchrotron (DESY), D-22607 Hamburg (Germany); Krausert, K.; Franz, D.; Müller, P.; Keller, T. F.; Stierle, A., E-mail: andreas.stierle@desy.de [Deutsches Elektronen-Synchrotron (DESY), D-22607 Hamburg (Germany); Fachbereich Physik, Universität Hamburg, D-22607 Hamburg (Germany)

    2016-08-21

    Heteroepitaxial iridium thin films were deposited on (0001) sapphire substrates by means of molecular beam epitaxy, and subsequently, one monolayer of graphene was synthesized by chemical vapor deposition. The influence of the growth parameters on the quality of the Ir films, as well as of graphene, was investigated systematically by means of low energy electron diffraction, x-ray reflectivity, x-ray diffraction, Auger electron spectroscopy, scanning electron microscopy, and atomic force microscopy. Our study reveals (111) oriented iridium films with high crystalline quality and extremely low surface roughness, on which the formation of large-area epitaxial graphene is achieved. The presence of defects, like dislocations, twins, and 30° rotated domains in the iridium films is also discussed. The coverage of graphene was found to be influenced by the presence of 30° rotated domains in the Ir films. Low iridium deposition rates suppress these rotated domains and an almost complete coverage of graphene was obtained. This synthesis route yields inexpensive, air-stable, and large-area graphene with a well-defined orientation, making it accessible to a wider community of researchers for numerous experiments or applications, including those which use destructive analysis techniques or irreversible processes. Moreover, this approach can be used to tune the structural quality of graphene, allowing a systematic study of the influence of defects in various processes like intercalation below graphene.

  20. Tuning electronic transport in epitaxial graphene-based van der Waals heterostructures

    Science.gov (United States)

    Lin, Yu-Chuan; Li, Jun; de La Barrera, Sergio C.; Eichfeld, Sarah M.; Nie, Yifan; Addou, Rafik; Mende, Patrick C.; Wallace, Robert M.; Cho, Kyeongjae; Feenstra, Randall M.; Robinson, Joshua A.

    2016-04-01

    Two-dimensional tungsten diselenide (WSe2) has been used as a component in atomically thin photovoltaic devices, field effect transistors, and tunneling diodes in tandem with graphene. In some applications it is necessary to achieve efficient charge transport across the interface of layered WSe2-graphene, a semiconductor to semimetal junction with a van der Waals (vdW) gap. In such cases, band alignment engineering is required to ensure a low-resistance, ohmic contact. In this work, we investigate the impact of graphene electronic properties on the transport at the WSe2-graphene interface. Electrical transport measurements reveal a lower resistance between WSe2 and fully hydrogenated epitaxial graphene (EGFH) compared to WSe2 grown on partially hydrogenated epitaxial graphene (EGPH). Using low-energy electron microscopy and reflectivity on these samples, we extract the work function difference between the WSe2 and graphene and employ a charge transfer model to determine the WSe2 carrier density in both cases. The results indicate that WSe2-EGFH displays ohmic behavior at small biases due to a large hole density in the WSe2, whereas WSe2-EGPH forms a Schottky barrier junction.Two-dimensional tungsten diselenide (WSe2) has been used as a component in atomically thin photovoltaic devices, field effect transistors, and tunneling diodes in tandem with graphene. In some applications it is necessary to achieve efficient charge transport across the interface of layered WSe2-graphene, a semiconductor to semimetal junction with a van der Waals (vdW) gap. In such cases, band alignment engineering is required to ensure a low-resistance, ohmic contact. In this work, we investigate the impact of graphene electronic properties on the transport at the WSe2-graphene interface. Electrical transport measurements reveal a lower resistance between WSe2 and fully hydrogenated epitaxial graphene (EGFH) compared to WSe2 grown on partially hydrogenated epitaxial graphene (EGPH). Using low

  1. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  2. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    Science.gov (United States)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  3. Looking behind the scenes: Raman spectroscopy of top-gated epitaxial graphene through the substrate

    International Nuclear Information System (INIS)

    Fromm, F; Wehrfritz, P; Seyller, Th; Hundhausen, M

    2013-01-01

    Raman spectroscopy is frequently used to study the properties of epitaxial graphene grown on silicon carbide (SiC). In this work, we present a confocal micro-Raman study of epitaxial graphene on SiC(0001) in top-down geometry, i.e. in a geometry where both the primary laser light beam as well as the back-scattered light is guided through the SiC substrate. Compared to the conventional top-up configuration, in which confocal micro-Raman spectra are measured from the air side, we observe a significant intensity enhancement in top-down configuration, indicating that most of the Raman-scattered light is emitted into the SiC substrate. The intensity enhancement is explained in terms of dipole radiation at a dielectric surface. The new technique opens the possibility to probe graphene layers in devices where the graphene layer is covered by non-transparent materials. We demonstrate this by measuring gate-modulated Raman spectra of a top-gated epitaxial graphene field effect device. Moreover, we show that these measurements enable us to disentangle the effects of strain and charge on the positions of the prominent Raman lines in epitaxial graphene on SiC. (paper)

  4. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  5. Investigation of structural and electronic properties of epitaxial graphene on 3C–SiC(100/Si(100 substrates

    Directory of Open Access Journals (Sweden)

    Gogneau N

    2014-09-01

    Full Text Available Noelle Gogneau,1 Amira Ben Gouider Trabelsi,2 Mathieu G Silly,3 Mohamed Ridene,1 Marc Portail,4 Adrien Michon,4 Mehrezi Oueslati,2 Rachid Belkhou,3 Fausto Sirotti,3 Abdelkarim Ouerghi1 1Laboratoire de Photonique et de Nanostructures, Centre National de la Recherche Scientifique, Marcoussis, France; 2Unité des Nanomatériaux et Photonique, Faculté des Sciences de Tunis, Université de Tunis El Manar Campus Universitaire, Tunis, Tunisia; 3Synchrotron-SOLEIL, Saint-Aubin, BP48, F91192 Gif sur Yvette Cedex, France; 4Centre de Recherche sur l'HétéroEpitaxie et Ses Application, Centre National de la Recherche Scientifique, Valbonne, France Abstract: Graphene has been intensively studied in recent years in order to take advantage of its unique properties. Its synthesis on SiC substrates by solid-state graphitization appears a suitable option for graphene-based electronics. However, before developing devices based on epitaxial graphene, it is desirable to understand and finely control the synthesis of material with the most promising properties. To achieve these prerequisites, many studies are being conducted on various SiC substrates. Here, we review 3C–SiC(100 epilayers grown by chemical vapor deposition on Si(100 substrates for producing graphene by solid state graphitization under ultrahigh-vacuum conditions. Based on various characterization techniques, the structural and electrical properties of epitaxial graphene layer grown on 3C–SiC(100/Si(100 are discussed. We establish that epitaxial graphene presents properties similar to those obtained using hexagonal SiC substrates, with the advantage of being compatible with current Si-processing technology. Keywords: epitaxial graphene, electronic properties, structural properties, silicon carbide 

  6. Surface functionalization of epitaxial graphene on SiC by ion irradiation for gas sensing application

    Energy Technology Data Exchange (ETDEWEB)

    Kaushik, Priya Darshni, E-mail: kaushik.priyadarshni@gmail.com [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Department of Physics, Jamia Millia Islamia, New Delhi, 110025 (India); Ivanov, Ivan G.; Lin, Pin-Cheng [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Kaur, Gurpreet [Department of Physics and Astrophysics, University of Delhi, Delhi, 110007 (India); Eriksson, Jens [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Lakshmi, G.B.V.S. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi, 110067 (India); Avasthi, D.K. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi, 110067 (India); Amity Institute of Nanotechnology, Noida 201313 (India); Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi, 110007 (India); Aziz, Anver; Siddiqui, Azher M. [Department of Physics, Jamia Millia Islamia, New Delhi, 110025 (India); Syväjärvi, Mikael [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Yazdi, G. Reza, E-mail: yazdi@ifm.liu.se [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden)

    2017-05-01

    Highlights: • For the first time the gas sensing application of SHI irradiated epitaxial graphene on SiC is explored. • Surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles. • Existence of an optimal fluence which maximize the gas sensing response towards NO{sub 2} and NH{sub 3} gases. - Abstract: In this work, surface functionalization of epitaxial graphene grown on silicon carbide was performed by ion irradiation to investigate their gas sensing capabilities. Swift heavy ion irradiation using 100 MeV silver ions at four varying fluences was implemented on epitaxial graphene to investigate morphological and structural changes and their effects on the gas sensing capabilities of graphene. Sensing devices are expected as one of the first electronic applications using graphene and most of them use functionalized surfaces to tailor a certain function. In our case, we have studied irradiation as a tool to achieve functionalization. Morphological and structural changes on epitaxial graphene layers were investigated by atomic force microscopy, Raman spectroscopy, Raman mapping and reflectance mapping. The surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles at highest fluence (2 × 10{sup 13} ions/cm{sup 2}). Raman spectra analysis shows that the graphene defect density is increased with increasing fluence, while Raman mapping and reflectance mapping show that there is also a reduction of monolayer graphene coverage. The samples were investigated for ammonia and nitrogen dioxide gas sensing applications. Sensors fabricated on pristine and irradiated samples showed highest gas sensing response at an optimal fluence. Our work provides new pathways for introducing defects in controlled manner in epitaxial graphene, which can be used not only for gas sensing application but also for other applications, such as electrochemical, biosensing, magnetosensing and

  7. Surface functionalization of epitaxial graphene on SiC by ion irradiation for gas sensing application

    International Nuclear Information System (INIS)

    Kaushik, Priya Darshni; Ivanov, Ivan G.; Lin, Pin-Cheng; Kaur, Gurpreet; Eriksson, Jens; Lakshmi, G.B.V.S.; Avasthi, D.K.; Gupta, Vinay; Aziz, Anver; Siddiqui, Azher M.; Syväjärvi, Mikael; Yazdi, G. Reza

    2017-01-01

    Highlights: • For the first time the gas sensing application of SHI irradiated epitaxial graphene on SiC is explored. • Surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles. • Existence of an optimal fluence which maximize the gas sensing response towards NO_2 and NH_3 gases. - Abstract: In this work, surface functionalization of epitaxial graphene grown on silicon carbide was performed by ion irradiation to investigate their gas sensing capabilities. Swift heavy ion irradiation using 100 MeV silver ions at four varying fluences was implemented on epitaxial graphene to investigate morphological and structural changes and their effects on the gas sensing capabilities of graphene. Sensing devices are expected as one of the first electronic applications using graphene and most of them use functionalized surfaces to tailor a certain function. In our case, we have studied irradiation as a tool to achieve functionalization. Morphological and structural changes on epitaxial graphene layers were investigated by atomic force microscopy, Raman spectroscopy, Raman mapping and reflectance mapping. The surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles at highest fluence (2 × 10"1"3 ions/cm"2). Raman spectra analysis shows that the graphene defect density is increased with increasing fluence, while Raman mapping and reflectance mapping show that there is also a reduction of monolayer graphene coverage. The samples were investigated for ammonia and nitrogen dioxide gas sensing applications. Sensors fabricated on pristine and irradiated samples showed highest gas sensing response at an optimal fluence. Our work provides new pathways for introducing defects in controlled manner in epitaxial graphene, which can be used not only for gas sensing application but also for other applications, such as electrochemical, biosensing, magnetosensing and spintronic

  8. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    Science.gov (United States)

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  9. Molecular beam epitaxial growth of graphene and ridge-structure networks of graphene

    International Nuclear Information System (INIS)

    Maeda, Fumihiko; Hibino, Hiroki

    2011-01-01

    By gas-source molecular beam epitaxy (MBE) using cracked ethanol, we grew graphene at substrate temperatures between 600 and 915 °C on graphene formed on SiC(0 0 0 1) by thermal decomposition. To investigate the substrate temperature dependence of graphene growth we analysed the MBE-grown graphene by Raman spectroscopy and in situ x-ray photoelectron spectroscopy (XPS) and observed it by atomic force microscopy (AFM) and cross-sectional transmission electron microscopy (TEM). Analyses using the G-band peak and the peak intensity ratio between D- and G-band peaks in the Raman spectra revealed that growth at higher temperatures improved the crystallinity and increased the domain size. Although the growth rate decreased at higher temperatures, as revealed by XPS, these results indicated that growth at a higher temperature is effective in obtaining graphene of higher quality. Furthermore, the AFM and TEM observations revealed a network of fin-like ridge structures of graphene sticking out from the surface. The presence of these 'graphene nanofins' indicated that two-dimensional islands of graphene are surrounded by the nanofins, and the island size was estimated to be 67 nm using the average distance between the nanofins.

  10. Low-temperature ballistic transport in nanoscale epitaxial graphene cross junctions

    OpenAIRE

    Weingart, S.; Bock, C.; Kunze, U.; Speck, F.; Seyller, Th.; Ley, L.

    2009-01-01

    We report on the observation of inertial-ballistic transport in nanoscale cross junctions fabricated from epitaxial graphene grown on SiC(0001). Ballistic transport is indicated by a negative bend resistance of R12,43 ~ 170 ohm which is measured in a non-local, four-terminal configuration at 4.2 K and which vanishes as the temperature is increased above 80 K.

  11. In situ observation of stress relaxation in epitaxial graphene

    International Nuclear Information System (INIS)

    N'Diaye, Alpha T; Coraux, Johann; Busse, Carsten; Michely, Thomas; Gastel, Raoul van; Poelsema, Bene; MartInez-Galera, Antonio J; Gomez-RodrIguez, Jose M; Hattab, Hichem; Wall, Dirk; Heringdorf, Frank-J Meyer zu; Hoegen, Michael Horn-von

    2009-01-01

    Upon cooling, branched line defects develop in epitaxial graphene grown at high temperature on Pt(111) and Ir(111). Using atomically resolved scanning tunneling microscopy, we demonstrate that these defects are wrinkles in the graphene layer, i.e. stripes of partially delaminated graphene. With low energy electron microscopy (LEEM), we investigate the wrinkling phenomenon in situ. Upon temperature cycling, we observe hysteresis in the appearance and disappearance of the wrinkles. Simultaneously with wrinkle formation a change in bright field imaging intensity of adjacent areas and a shift in the moire spot positions for micro diffraction of such areas takes place. The stress relieved by wrinkle formation results from the mismatch in thermal expansion coefficients of graphene and the substrate. A simple one-dimensional model taking into account the energies related to strain, delamination and bending of graphene is in qualitative agreement with our observations.

  12. Manipulation of Dirac cones in metal-intercalated epitaxial graphene

    Science.gov (United States)

    Wang, Cai-Zhuang; Kim, Minsung; Tringides, Michael; Ho, Kai-Ming

    Graphene is one of the most attractive materials from both fundamental and practical points of view due to its characteristic Dirac cones. The electronic property of graphene can be modified through the interaction with substrate or another graphene layer as illustrated in few-layer epitaxial graphene. Recently, metal intercalation became an effective method to manipulate the electronic structure of graphene by modifying the coupling between the constituent layers. In this work, we show that the Dirac cones of epitaxial graphene can be manipulated by intercalating rare-earth metals. We demonstrate that rare-earth metal intercalated epitaxial graphene has tunable band structures and the energy levels of Dirac cones as well as the linear or quadratic band dispersion can be controlled depending on the location of the intercalation layer and density. Our results could be important for applications and characterizations of the intercalated epitaxial graphene. Supported by the U.S. DOE-BES under Contract No. DE-AC02-07CH11358.

  13. Improvement of Metal-Graphene Ohmic Contact Resistance in Bilayer Epitaxial Graphene Devices

    International Nuclear Information System (INIS)

    He Ze-Zhao; Yang Ke-Wu; Yu Cui; Li Jia; Liu Qing-Bin; Lu Wei-Li; Feng Zhi-Hong; Cai Shu-Jun

    2015-01-01

    We report on an improved metal-graphene ohmic contact in bilayer epitaxial graphene on a SiC substrate with contact resistance below 0.1 ω·mm. Monolayer and bilayer epitaxial graphenes are prepared on a 4H-SiC substrate in this work. Their contact resistances are measured by a transfer length method. An improved photoresist-free device fabrication method is used and is compared with the conventional device fabrication method. Compared with the monolayer graphene, the contact resistance R c of bilayer graphene improves from an average of 0.24 ω·mm to 0.1 ω·mm. Ohmic contact formation mechanism analysis by Landauer's approach reveals that the obtained low ohmic contact resistance in bilayer epitaxial graphene is due to their high carrier density, high carrier transmission probability, and p-type doping introduced by contact metal Au. (paper)

  14. Influence of defects in SiC (0001) on epitaxial graphene

    International Nuclear Information System (INIS)

    Guo Yu; Guo Li-Wei; Lu Wei; Huang Jiao; Jia Yu-Ping; Sun Wei; Li Zhi-Lin; Wang Yi-Fei

    2014-01-01

    Defects in silicon carbide (SiC) substrate are crucial to the properties of the epitaxial graphene (EG) grown on it. Here we report the effect of defects in SiC on the crystalline quality of EGs through comparative studies of the characteristics of the EGs grown on SiC (0001) substrates with different defect densities. It is found that EGs on high quality SiC possess regular steps on the surface of the SiC and there is no discernible D peak in its Raman spectrum. Conversely, the EG on the SiC with a high density of defects has a strong D peak, irregular stepped morphology and poor uniformity in graphene layer numbers. It is the defects in the SiC that are responsible for the irregular stepped morphology and lead to the small domain size in the EG. (rapid communication)

  15. On the density of states of disordered epitaxial graphene

    International Nuclear Information System (INIS)

    Davydov, S. Yu.

    2015-01-01

    The study is concerned with two types of disordered epitaxial graphene: (i) graphene with randomly located carbon vacancies and (ii) structurally amorphous graphene. The former type is considered in the coherent potential approximation, and for the latter type, a model of the density of states is proposed. The effects of two types of substrates, specifically, metal and semiconductor substrates are taken into account. The specific features of the density of states of epitaxial graphene at the Dirac point and the edges of the continuous spectrum are analyzed. It is shown that vacancies in epitaxial graphene formed on the metal substrate bring about logarithmic nulling of the density of states of graphene at the Dirac point and the edges of the continuous spectrum. If the Dirac point corresponds to the middle of the band gap of the semiconductor substrate, the linear trend of the density of states to zero in the vicinity of the Dirac point in defect-free graphene transforms into a logarithmic decrease in the presence of vacancies. In both cases, the graphene-substrate interaction is assumed to be weak (quasi-free graphene). In the study of amorphous epitaxial graphene, a simple model of free amorphous graphene is proposed as the initial model, in which account is taken of the nonzero density of states at the Dirac point, and then the interaction of the graphene sheet with the substrate is taken into consideration. It is shown that, near the Dirac point, the quadratic behavior of the density of states of free amorphous graphene transforms into a linear dependence for amorphous epitaxial graphene. In the study, the density of states of free graphene corresponds to the low-energy approximation of the electron spectrum

  16. The crystal orientation relation and macroscopic surface roughness in hetero-epitaxial graphene grown on Cu/mica

    International Nuclear Information System (INIS)

    Qi, J L; Nagashio, K; Nishimura, T; Toriumi, A

    2014-01-01

    Clean, flat and orientation-identified graphene on a substrate is in high demand for graphene electronics. In this study, the hetero-epitaxial graphene growth on Cu(111)/mica(001) by chemical vapor deposition is investigated to check the applicability for top-gate insulator research on graphene, as well as graphene channel research, by transferring graphene on to SiO 2 /Si substrates. After adjusting the graphene growth conditions, the surface roughness of the graphene/Cu/mica substrate and the average smoothed areas are ∼0.34 nm and ∼100 μm 2 , respectively. The orientation of graphene in the graphene/Cu/mica substrate can be identified by the hexagonal void morphology of Cu. Moreover, we demonstrate a relatively high mobility of ∼4500 cm 2 V −1 s −1 in graphene transferred on the SiO 2 /Si substrate. These results suggest that the present graphene/Cu/mica substrate can be used for top-gate insulator research on graphene. (papers)

  17. Improvement of thermoelectric properties of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films grown on graphene substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Choi, Ji Woon; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kim, Jin-Sang [Center for Electronic Materials, Korea Institute of Science and Technology, Seoul (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-06-15

    A study of substrate effect on the thermoelectric (TE) properties of Bi{sub 2}Te{sub 3} (BT) and Sb{sub 2}Te{sub 3} (ST) thin films grown by plasma-enhanced chemical vapor deposition (PECVD) was performed. Graphene substrates which have small lattice mismatch with BT and ST were used for the preparation of highly oriented BT and ST thin films. Carrier mobility of the epitaxial BT and ST films grown on the graphene substrates increased as the deposition temperature increased, which was not observed in that of SiO{sub 2}/Si substrates. Seebeck coefficients of the as-grown BT and ST films were observed to be maintained even though carrier concentration increased in the epitaxial BT and ST films on graphene substrate. Although Seebeck coefficient was not improved, power factor of the as-grown BT and ST films was considerably enhanced due to the increase of electrical conductivity resulting from the high carrier mobility and moderate carrier concentration in the epitaxial BT and ST films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. High sensitive quasi freestanding epitaxial graphene gas sensor on 6H-SiC

    NARCIS (Netherlands)

    Iezhokin, I.; Offermans, P.; Brongersma, S.H.; Giesbers, A.J.M.; Flipse, C.F.J.

    2013-01-01

    We have measured the electrical response to NO2, N2, NH3, and CO for epitaxial graphene and quasi freestanding epitaxial graphene on 6H-SiC substrates. Quasi freestanding epitaxial graphene shows a 6 fold increase in NO2 sensitivity compared to epitaxial graphene. Both samples show a sensitivity

  19. Graphene nanoribbons epitaxy on boron nitride

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Xiaobo; Wang, Shuopei; Wu, Shuang; Chen, Peng; Zhang, Jing; Zhao, Jing; Meng, Jianling; Xie, Guibai; Wang, Duoming; Wang, Guole; Zhang, Ting Ting; Yang, Rong; Shi, Dongxia [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Yang, Wei [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Laboratoire Pierre Aigrain, ENS-CNRS UMR 8551, Universités Pierre et Marie Curie and Paris-Diderot, 24 rue Lhomond, 75231 Paris Cedex 05 (France); Watanabe, Kenji; Taniguchi, Takashi [National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan); Zhang, Guangyu, E-mail: gyzhang@aphy.iphy.ac.cn [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100190 (China)

    2016-03-14

    In this letter, we report a pilot study on epitaxy of monolayer graphene nanoribbons (GNRs) on hexagonal boron nitride (h-BN). We found that GNRs grow preferentially from the atomic steps of h-BN, forming in-plane heterostructures. GNRs with well-defined widths ranging from ∼15 nm to ∼150 nm can be obtained reliably. As-grown GNRs on h-BN have high quality with a carrier mobility of ∼20 000 cm{sup 2} V{sup −1} s{sup −1} for ∼100-nm-wide GNRs at a temperature of 1.7 K. Besides, a moiré pattern induced quasi-one-dimensional superlattice with a periodicity of ∼15 nm for GNR/h-BN was also observed, indicating zero crystallographic twisting angle between GNRs and h-BN substrate. The superlattice induced band structure modification is confirmed by our transport results. These epitaxial GNRs/h-BN with clean surfaces/interfaces and tailored widths provide an ideal platform for high-performance GNR devices.

  20. Tunneling Spectroscopy Studies of Epitaxial Graphene on Silicon Carbide(0001) and Its Interfaces

    Science.gov (United States)

    Sandin, Andreas Axel Tomas

    A two dimensional network of sp2 bonded carbon atoms is defined as graphene. This novel material possesses remarkable electronic properties due to its unique band structure at the vicinity of the Fermi energy. The toughest challenge to bring use of graphene electronic properties in device geometries is that graphene is exceptionally sensitive to its electrical environment for integration into macroscopic system of electrical contacts and substrates. One of the most promising substrates for graphene is the polar surfaces of SiC for the reason it can be grown epitaxially by sublimating Si from the top-most SiC atomic layers. In this work, the interfaces of graphene grown on the Si-terminated polar surface SiC(0001) is studied in UHV using scanning tunneling microscopy (STM), scanning tunneling spectroscopy (STS), low energy electron diffraction (LEED) and auger electron Spectroscopy (AES). STM is used image the graphene surface and interfaces with the capability of atomic resolution. LEED is used to study surface atomic reciprocal ordering and AES is used to determine surface atomic composition during the graphene formation. Interfacial layer (Buffer layer), Single layer graphene and bilayer graphene are identified electronically by means of probing the first member of the image potential derived state. This state is found by dZ/dV spectroscopy in the high energy unoccupied states and is exceptionally sensitive to electrostatic changes to the surface which is detected by energy shifts of image potential states (IPS). This sensitivity is utilized to probe the graphene screening of external electric fields by varying the electric field in the tunneling junction and addresses the fact that charged impurity scattering is likely to be crucial for epitaxial graphene on SiC(0001) when it comes to transport parameters. Shifts of IPS energy position has also been used verify work function changes for identification of several Sodium Intercalation structures of epitaxial

  1. Van der Waals epitaxy of GaN-based light-emitting diodes on wet-transferred multilayer graphene film

    Science.gov (United States)

    Li, Yang; Zhao, Yun; Wei, Tongbo; Liu, Zhiqiang; Duan, Ruifei; Wang, Yunyu; Zhang, Xiang; Wu, QingQing; Yan, Jianchang; Yi, Xiaoyao; Yuan, Guodong; Wang, Junxi; Li, Jimin

    2017-08-01

    We experimentally investigated the possibility of using multilayer graphene to solve large mismatch problems between sapphire and nitride and further studied the effects of a multilayer graphene interlayer on the optical and electrical properties of LEDs. For the subsequent growth of 3-µm-thick GaN on AlN, multilayer graphene helps release stress and effectively removes cracks. In addition, multilayer graphene increases the diffraction of the substrate surface as determined from the increase in optical transmittance spectra in the wavelength range of 400-900 nm. Although the crystalline quality of GaN with multilayer graphene is slightly decreased, LEDs grown on multilayer graphene still show a higher output power than those grown on conventional sapphire. The present findings showed that the multilayer graphene layer is attractive as a potential substrate for the epitaxial growth of III-nitride to reduce stress and it could improve back light extraction as a rough layer to increase external quantum efficiency.

  2. Epitaxial graphene-encapsulated surface reconstruction of Ge(110)

    Science.gov (United States)

    Campbell, Gavin P.; Kiraly, Brian; Jacobberger, Robert M.; Mannix, Andrew J.; Arnold, Michael S.; Hersam, Mark C.; Guisinger, Nathan P.; Bedzyk, Michael J.

    2018-04-01

    Understanding and engineering the properties of crystalline surfaces has been critical in achieving functional electronics at the nanoscale. Employing scanning tunneling microscopy, surface x-ray diffraction, and high-resolution x-ray reflectivity experiments, we present a thorough study of epitaxial graphene (EG)/Ge(110) and report a Ge(110) "6 × 2" reconstruction stabilized by the presence of epitaxial graphene unseen in group-IV semiconductor surfaces. X-ray studies reveal that graphene resides atop the surface reconstruction with a 0.34 nm van der Waals (vdW) gap and provides protection from ambient degradation.

  3. Controlling the growth of epitaxial graphene on metalized diamond (111) surface

    International Nuclear Information System (INIS)

    Cooil, S. P.; Wells, J. W.; Hu, D.; Evans, D. A.; Niu, Y. R.; Zakharov, A. A.; Bianchi, M.

    2015-01-01

    The 2-dimensional transformation of the diamond (111) surface to graphene has been demonstrated using ultrathin Fe films that catalytically reduce the reaction temperature needed for the conversion of sp 3 to sp 2 carbon. An epitaxial system is formed, which involves the re-crystallization of carbon at the Fe/vacuum interface and that enables the controlled growth of monolayer and multilayer graphene films. In order to study the initial stages of single and multilayer graphene growth, real time monitoring of the system was preformed within a photoemission and low energy electron microscope. It was found that the initial graphene growth occurred at temperatures as low as 500 °C, whilst increasing the temperature to 560 °C was required to produce multi-layer graphene of high structural quality. Angle resolved photoelectron spectroscopy was used to study the electronic properties of the grown material, where a graphene-like energy momentum dispersion was observed. The Dirac point for the first layer is located at 2.5 eV below the Fermi level, indicating an n-type doping of the graphene due to substrate interactions, while that of the second graphene layer lies close to the Fermi level

  4. On the kinetic barriers of graphene homo-epitaxy

    International Nuclear Information System (INIS)

    Zhang, Wei; Yu, Xinke; Xie, Ya-Hong; Cahyadi, Erica; Ratsch, Christian

    2014-01-01

    The diffusion processes and kinetic barriers of individual carbon adatoms and clusters on graphene surfaces are investigated to provide fundamental understanding of the physics governing epitaxial growth of multilayer graphene. It is found that individual carbon adatoms form bonds with the underlying graphene whereas the interaction between graphene and carbon clusters, consisting of 6 atoms or more, is very weak being van der Waals in nature. Therefore, small carbon clusters are quite mobile on the graphene surfaces and the diffusion barrier is negligibly small (∼6 meV). This suggests the feasibility of high-quality graphene epitaxial growth at very low growth temperatures with small carbon clusters (e.g., hexagons) as carbon source. We propose that the growth mode is totally different from 3-dimensional bulk materials with the surface mobility of carbon hexagons being the highest over graphene surfaces that gradually decreases with further increase in cluster size

  5. Correlation between (in)commensurate domains of multilayer epitaxial graphene grown on SiC(0 0 0 1-bar ) and single layer electronic behavior

    International Nuclear Information System (INIS)

    Mendes-de-Sa, T G; Goncalves, A M B; Matos, M J S; Coelho, P M; Magalhaes-Paniago, R; Lacerda, R G

    2012-01-01

    A systematic study of the evolution of the electronic behavior and atomic structure of multilayer epitaxial graphene (MEG) as a function of growth time was performed. MEG was obtained by sublimation of a 4H-SiC(0 0 0 1-bar ) substrate in an argon atmosphere. Raman spectroscopy and x-ray diffraction were carried out in samples grown for different times. For 30 min of growth the sample Raman signal is similar to that of graphite, while for 60 min the spectrum becomes equivalent to that of exfoliated graphene. Conventional x-ray diffraction reveals that all the samples have two different (0001) lattice spacings. Grazing incidence x-ray diffraction shows that thin films are composed of rotated (commensurate) structures formed by adjacent graphene layers. Thick films are almost completely disordered. This result can be directly correlated to the single layer electronic behavior of the films as observed by Raman spectroscopy. Finally, to understand the change in lattice spacings as a result of layer rotation, we have carried out first principles calculations (using density functional theory) of the observed commensurate structures. (paper)

  6. Planar edge Schottky barrier-tunneling transistors using epitaxial graphene/SiC junctions.

    Science.gov (United States)

    Kunc, Jan; Hu, Yike; Palmer, James; Guo, Zelei; Hankinson, John; Gamal, Salah H; Berger, Claire; de Heer, Walt A

    2014-09-10

    A purely planar graphene/SiC field effect transistor is presented here. The horizontal current flow over one-dimensional tunneling barrier between planar graphene contact and coplanar two-dimensional SiC channel exhibits superior on/off ratio compared to conventional transistors employing vertical electron transport. Multilayer epitaxial graphene (MEG) grown on SiC(0001̅) was adopted as the transistor source and drain. The channel is formed by the accumulation layer at the interface of semi-insulating SiC and a surface silicate that forms after high vacuum high temperature annealing. Electronic bands between the graphene edge and SiC accumulation layer form a thin Schottky barrier, which is dominated by tunneling at low temperatures. A thermionic emission prevails over tunneling at high temperatures. We show that neglecting tunneling effectively causes the temperature dependence of the Schottky barrier height. The channel can support current densities up to 35 A/m.

  7. The invention of graphene electronics and the physics of epitaxial graphene on silicon carbide

    International Nuclear Information System (INIS)

    De Heer, Walt A

    2012-01-01

    Graphene electronics was officially invented at the Georgia Institute of Technology in 2003 after experimental and theoretical research on graphene properties starting from 2001. This paper focuses on the motivation and events leading to the invention of graphene electronics, as well as on recent developments. Graphene electronics was originally conceived as a new electronics paradigm to incorporate the room-temperature ballistic and coherent properties of carbon nanotubes in a patternable electronic material. Graphene on silicon carbide was chosen as the most suitable material. Other electronics schemes, involving transferred (exfoliated and chemical vapor deposition-produced) graphitic materials, that operate in the diffusive regime may not be competitive with standard methods and may therefore not significantly impact electronics. In recent years, epitaxial graphene has improved to the point where graphene electronics according to the original concept appears to be within reach. Beyond electronics, epitaxial graphene research has led to important developments in graphene physics in general and has become a leading platform for graphene science as well.

  8. Top-gate dielectric induced doping and scattering of charge carriers in epitaxial graphene

    Science.gov (United States)

    Puls, Conor P.; Staley, Neal E.; Moon, Jeong-Sun; Robinson, Joshua A.; Campbell, Paul M.; Tedesco, Joseph L.; Myers-Ward, Rachael L.; Eddy, Charles R.; Gaskill, D. Kurt; Liu, Ying

    2011-07-01

    We show that an e-gun deposited dielectric impose severe limits on epitaxial graphene-based device performance based on Raman spectroscopy and low-temperature transport measurements. Specifically, we show from studies of epitaxial graphene Hall bars covered by SiO2 that the measured carrier density is strongly inhomogenous and predominantly induced by charged impurities at the grapheme/dielectric interface that limit mobility via Coulomb interactions. Our work emphasizes that material integration of epitaxial graphene and a gate dielectric is the next major road block towards the realization of graphene-based electronics.

  9. Structural and electronic properties of epitaxial graphene on SiC(0 0 0 1): a review of growth, characterization, transfer doping and hydrogen intercalation

    International Nuclear Information System (INIS)

    Riedl, C; Coletti, C; Starke, U

    2010-01-01

    Graphene, a monoatomic layer of graphite, hosts a two-dimensional electron gas system with large electron mobilities which makes it a prospective candidate for future carbon nanodevices. Grown epitaxially on silicon carbide (SiC) wafers, large area graphene samples appear feasible and integration in existing device technology can be envisioned. This paper reviews the controlled growth of epitaxial graphene layers on SiC(0 0 0 1) and the manipulation of their electronic structure. We show that epitaxial graphene on SiC grows on top of a carbon interface layer that-although it has a graphite-like atomic structure-does not display the linear π-bands typical for graphene due to a strong covalent bonding to the substrate. Only the second carbon layer on top of this interface acts like monolayer graphene. With a further carbon layer, a graphene bilayer system develops. During the growth of epitaxial graphene on SiC(0 0 0 1) the number of graphene layers can be precisely controlled by monitoring the π-band structure. Experimental fingerprints for in situ growth control could be established. However, due to the influence of the interface layer, epitaxial graphene on SiC(0 0 0 1) is intrinsically n-doped and the layers have a long-range corrugation in their density of states. As a result, the Dirac point energy where the π-bands cross is shifted away from the Fermi energy, so that the ambipolar properties of graphene cannot be exploited. We demonstrate methods to compensate and eliminate this structural and electronic influence of the interface. We show that the band structure of epitaxial graphene on SiC(0 0 0 1) can be precisely tailored by functionalizing the graphene surface with tetrafluoro-tetracyanoquinodimethane (F4-TCNQ) molecules. Charge neutrality can be achieved for mono- and bilayer graphene. On epitaxial bilayer graphene, where a band gap opens due to the asymmetric electric field across the layers imposed by the interface, the magnitude of this band gap

  10. Extraordinary epitaxial alignment of graphene islands on Au(111)

    International Nuclear Information System (INIS)

    Wofford, Joseph M; Dubon, Oscar D; Starodub, Elena; Nie Shu; Bartelt, Norman C; Thürmer, Konrad; McCarty, Kevin F; Walter, Andrew L; Bostwick, Aaron; Rotenberg, Eli

    2012-01-01

    Pristine, single-crystalline graphene displays a unique collection of remarkable electronic properties that arise from its two-dimensional, honeycomb structure. Using in situ low-energy electron microscopy, we show that when deposited on the (111) surface of Au carbon forms such a structure. The resulting monolayer, epitaxial film is formed by the coalescence of dendritic graphene islands that nucleate at a high density. Over 95% of these islands can be identically aligned with respect to each other and to the Au substrate. Remarkably, the dominant island orientation is not the better lattice-matched 30° rotated orientation but instead one in which the graphene [01] and Au [011] in-plane directions are parallel. The epitaxial graphene film is only weakly coupled to the Au surface, which maintains its reconstruction under the slightly p-type doped graphene. The linear electronic dispersion characteristic of free-standing graphene is retained regardless of orientation. That a weakly interacting, non-lattice matched substrate is able to lock graphene into a particular orientation is surprising. This ability, however, makes Au(111) a promising substrate for the growth of single crystalline graphene films. (paper)

  11. Transfer of Graphene Layers Grown on SiC Wafers to Other Substrates and Their Integration into Field Effect Transistors

    Science.gov (United States)

    Unarunotai, Sakulsuk; Murata, Yuya; Chialvo, Cesar; Kim, Hoon-Sik; MacLaren, Scott; Mason, Nadya; Petrov, Ivan; Rogers, John

    2010-03-01

    An approach to produce graphene films by epitaxial growth on silicon carbide substrate is promising, but its current implementation requires the use of SiC as the device substrate. We present a simple method for transferring epitaxial sheets of graphene on SiC to other substrates. The graphene was grown on the (0001) face of 6H-SiC by thermal annealing in a hydrogen atmosphere. Transfer was accomplished using a peeling process with a bilayer film of Gold/polyimide, to yield graphene with square millimeters of coverage on the target substrate. Back gated field-effect transistors fabricated on oxidized silicon substrates with Cr/Au as source-drain electrodes exhibited ambipolar characteristics with hole mobilities of ˜100 cm^2/V-s, and negligible influence of resistance at the contacts. This work was supported by the U.S. DOE, under Award No. DE-FG02-07ER46471, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  12. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    Science.gov (United States)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  13. Step edge influence on barrier height and contact area in vertical heterojunctions between epitaxial graphene and n-type 4H-SiC

    International Nuclear Information System (INIS)

    Tadjer, M. J.; Nyakiti, L. O.; Robinson, Z.; Anderson, T. J.; Myers-Ward, R. L.; Wheeler, V. D.; Eddy, C. R.; Gaskill, D. K.; Koehler, A. D.; Hobart, K. D.; Kub, F. J.

    2014-01-01

    Vertical rectifying contacts of epitaxial graphene grown by Si sublimation on the Si-face of 4H-SiC epilayers were investigated. Forward bias preferential conduction through the step edges was correlated by linear current density normalization. This phenomenon was observed on samples with 2.7–5.8 monolayers of epitaxial graphene as determined by X-ray photoelectron spectroscopy. A modified Richardson plot was implemented to extract the barrier height (0.81 eV at 290 K, 0.99 eV at 30 K) and the electrically dominant SiC step length of a Ti/Al contact overlapping a known region of approximately 0.52 μm wide SiC terraces

  14. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  15. Nickel enhanced graphene growth directly on dielectric substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Wofford, Joseph M., E-mail: joewofford@gmail.com, E-mail: lopes@pdi-berlin.de; Lopes, Joao Marcelo J., E-mail: joewofford@gmail.com, E-mail: lopes@pdi-berlin.de; Riechert, Henning [Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany); Speck, Florian; Seyller, Thomas [Technische Universität Chemnitz, Institut für Physik, Reichenhainer Str. 70, 09126 Chemnitz (Germany)

    2016-07-28

    The efficacy of Ni as a surfactant to improve the crystalline quality of graphene grown directly on dielectric Al{sub 2}O{sub 3}(0001) substrates by molecular beam epitaxy is examined. Simultaneously exposing the substrate to a Ni flux throughout C deposition at 950 °C led to improved charge carrier mobility and a Raman spectrum indicating less structural disorder in the resulting nanocrystalline graphene film. X-ray photoelectron spectroscopy confirmed that no residual Ni could be detected in the film and showed a decrease in the intensity of the defect-related component of the C1s level. Similar improvements were not observed when a lower substrate temperature (850 °C) was used. A close examination of the Raman spectra suggests that Ni reduces the concentration of lattice vacancies in the film, possibly by catalytically assisting adatom incorporation.

  16. Detachment of CVD-grown graphene from single crystalline Ni films by a pure gas phase reaction

    Science.gov (United States)

    Zeller, Patrick; Henß, Ann-Kathrin; Weinl, Michael; Diehl, Leo; Keefer, Daniel; Lippmann, Judith; Schulz, Anne; Kraus, Jürgen; Schreck, Matthias; Wintterlin, Joost

    2016-11-01

    Despite great previous efforts there is still a high need for a simple, clean, and upscalable method for detaching epitaxial graphene from the metal support on which it was grown. We present a method based on a pure gas phase reaction that is free of solvents and polymer supports and avoids mechanical transfer steps. The graphene was grown on 150 nm thick, single crystalline Ni(111) films on Si(111) wafers with YSZ buffer layers. Its quality was monitored by using low energy electron diffraction and scanning tunneling microscopy. The gas phase etching uses a chemical transport reaction, the so-called Mond process, based on the formation of gaseous nickel tetracarbonyl in 1 bar of CO at 75 °C and by adding small amounts of sulfide catalysts. X-ray photoelectron spectroscopy, Raman spectroscopy and scanning electron microscopy were used to characterize the detached graphene. It was found that the method successfully removes the nickel from underneath the graphene layer, so that the graphene lies on the insulating oxide buffer layer. Small residual particles of nickel sulfide and cracks in the obtained graphene layer were identified. The defect concentrations were comparable to graphene samples obtained by wet chemical etching and by the bubbling transfer.

  17. Counting molecular-beam grown graphene layers

    Energy Technology Data Exchange (ETDEWEB)

    Plaut, Annette S. [School of Physics, University of Exeter, Exeter EX4 4QL (United Kingdom); Wurstbauer, Ulrich [Department of Physics, Columbia University, New York, New York 10027 (United States); Pinczuk, Aron [Department of Physics, Columbia University, New York, New York 10027 (United States); Department of Applied Physics and Applied Mathematics, Columbia University, New York, New York 10027 (United States); Garcia, Jorge M. [MBE Lab, IMM-Instituto de Microelectronica de Madrid (CNM-CSIC), Madrid, E-28760 (Spain); Pfeiffer, Loren N. [Electrical Engineering Department, Princeton University, New Jersey 08544 (United States)

    2013-06-17

    We have used the ratio of the integrated intensity of graphene's Raman G peak to that of the silicon substrate's first-order optical phonon peak, accurately to determine the number of graphene layers across our molecular-beam (MB) grown graphene films. We find that these results agree well both, with those from our own exfoliated single and few-layer graphene flakes, and with the results of Koh et al.[ACS Nano 5, 269 (2011)]. We hence distinguish regions of single-, bi-, tri-, four-layer, etc., graphene, consecutively, as we scan coarsely across our MB-grown graphene. This is the first, but crucial, step to being able to grow, by such molecular-beam-techniques, a specified number of large-area graphene layers, to order.

  18. Conductivity of epitaxial and CVD graphene with correlated line defects

    DEFF Research Database (Denmark)

    Radchenko, T. M.; Shylau, Artsem; Zozoulenko, I. V.

    2014-01-01

    Transport properties of single-layer graphene with correlated one-dimensional defects are studied theoretically using the computational model within the time-dependent real-space Kubo-Greenwood formalism. Such defects are present in epitaxial graphene, comprising atomic terraces and steps due...

  19. Substrate-induced magnetism in epitaxial graphene buffer layers.

    Science.gov (United States)

    Ramasubramaniam, A; Medhekar, N V; Shenoy, V B

    2009-07-08

    Magnetism in graphene is of fundamental as well as technological interest, with potential applications in molecular magnets and spintronic devices. While defects and/or adsorbates in freestanding graphene nanoribbons and graphene sheets have been shown to cause itinerant magnetism, controlling the density and distribution of defects and adsorbates is in general difficult. We show from first principles calculations that graphene buffer layers on SiC(0001) can also show intrinsic magnetism. The formation of graphene-substrate chemical bonds disrupts the graphene pi-bonds and causes localization of graphene states near the Fermi level. Exchange interactions between these states lead to itinerant magnetism in the graphene buffer layer. We demonstrate the occurrence of magnetism in graphene buffer layers on both bulk-terminated as well as more realistic adatom-terminated SiC(0001) surfaces. Our calculations show that adatom density has a profound effect on the spin distribution in the graphene buffer layer, thereby providing a means of engineering magnetism in epitaxial graphene.

  20. Epitaxial growth mechanisms of graphene and effects of substrates

    OpenAIRE

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-01-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-hepta...

  1. Epitaxial growth mechanisms of graphene and effects of substrates

    Science.gov (United States)

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-06-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-heptagon defects. The activation barriers for the healing of these growth induced defects on various substrates are calculated using the climbing image nudge elastic band method and compared with that of the Stone-Wales defect. It is found that the healing of pentagon-heptagon defects occurring near the edge in the course of growth is much easier than that of Stone-Wales defect. The role of the substrate in the epitaxial growth and in the healing of defects are also investigated in detail, along with the effects of using carbon dimers as the building blocks of graphene growth.

  2. Giant inelastic tunneling in epitaxial graphene mediated by localized states

    NARCIS (Netherlands)

    Cervenka, J.; Ruit, van de K.; Flipse, C.F.J.

    2010-01-01

    Local electronic structures of nanometer-sized patches of epitaxial graphene and its interface layer with SiC(0001) have been studied by atomically resolved scanning tunneling microscopy and spectroscopy. Localized states belonging to the interface layer of a graphene/SiC system show to have

  3. Graphene-Based Flexible and Transparent Tunable Capacitors

    OpenAIRE

    Man, Baoyuan; Xu, Shicai; Jiang, Shouzheng; Liu, Aihua; Gao, Shoubao; Zhang, Chao; Qiu, Hengwei; Li, Zhen

    2015-01-01

    We report a kind of electric field tunable transparent and flexible capacitor with the structure of graphene-Bi1.5MgNb1.5O7 (BMN)-graphene. The graphene films with low sheet resistance were grown by chemical vapor deposition. The BMN thin films were fabricated on graphene by using laser molecular beam epitaxy technology. Compared to BMN films grown on Au, the samples on graphene substrates show better quality in terms of crystallinity, surface morphology, leakage current, and loss tangent. By...

  4. Effect of Growth Pressure on Epitaxial Graphene Grown on 4H-SiC Substrates by Using Ethene Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shuxian Cai

    2015-08-01

    Full Text Available The Si(0001 face and C(000-1 face dependences on growth pressure of epitaxial graphene (EG grown on 4H-SiC substrates by ethene chemical vapor deposition (CVD was studied using atomic force microscopy (AFM and micro-Raman spectroscopy (μ-Raman. AFM revealed that EGs on Si-faced substrates had clear stepped morphologies due to surface step bunching. However, This EG formation did not occur on C-faced substrates. It was shown by μ-Raman that the properties of EG on both polar faces were different. EGs on Si-faced substrates were relatively thinner and more uniform than on C-faced substrates at low growth pressure. On the other hand, D band related defects always appeared in EGs on Si-faced substrates, but they did not appear in EG on C-faced substrate at an appropriate growth pressure. This was due to the μ-Raman covering the step edges when measurements were performed on Si-faced substrates. The results of this study are useful for optimized growth of EG on polar surfaces of SiC substrates.

  5. Spin transport in epitaxial graphene

    Science.gov (United States)

    Tbd, -

    2014-03-01

    Spintronics is a paradigm focusing on spin as the information vector in fast and ultra-low-power non volatile devices such as the new STT-MRAM. Beyond its widely distributed application in data storage it aims at providing more complex architectures and a powerful beyond CMOS solution for information processing. The recent discovery of graphene has opened novel exciting opportunities in terms of functionalities and performances for spintronics devices. We will present experimental results allowing us to assess the potential of graphene for spintronics. We will show that unprecedented highly efficient spin information transport can occur in epitaxial graphene leading to large spin signals and macroscopic spin diffusion lengths (~ 100 microns), a key enabler for the advent of envisioned beyond-CMOS spin-based logic architectures. We will also show that how the device behavior is well explained within the framework of the Valet-Fert drift-diffusion equations. Furthermore, we will show that a thin graphene passivation layer can prevent the oxidation of a ferromagnet, enabling its use in novel humide/ambient low-cost processes for spintronics devices, while keeping its highly surface sensitive spin current polarizer/analyzer behavior and adding new enhanced spin filtering property. These different experiments unveil promising uses of graphene for spintronics.

  6. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  7. Crystal-phase intergradation in InAs nanostructures grown by van der Waals heteroepitaxy on graphene

    Science.gov (United States)

    Choi, Ji Eun; Yoo, Jinkyoung; Lee, Donghwa; Hong, Young Joon; Fukui, Takashi

    2018-04-01

    This study demonstrates the crystal-phase intergradation of InAs nanostructures grown on graphene via van der Waals epitaxy. InAs nanostructures with diverse diameters are yielded on graphene. High-resolution transmission electron microscopy (HR-TEM) reveals two crystallographic features of (i) wurtzite (WZ)-to-zinc blende (ZB) intergradation along the growth direction of InAs nanostructures and (ii) an increased mean fraction of ZB according to diameter increment. Based on the HR-TEM observations, a crystal-phase intergradation diagram is depicted. We discuss how the formation of a WZ-rich phase during the initial growth stage is an effective way of releasing heterointerfacial stress endowed by the lattice mismatch of InAs/graphene for energy minimization in terms of less in-plane lattice mismatching between WZ-InAs and graphene. The WZ-to-ZB evolution is responsible for the attenuation of the bottom-to-top surface charge interaction as growth proceeds.

  8. Evolution of interfacial intercalation chemistry on epitaxial graphene/SiC by surface enhanced Raman spectroscopy

    International Nuclear Information System (INIS)

    Ferralis, Nicola; Carraro, Carlo

    2014-01-01

    Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm −1 corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching

  9. Evolution of interfacial intercalation chemistry on epitaxial graphene/SiC by surface enhanced Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Ferralis, Nicola, E-mail: ferralis@mit.edu [Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Carraro, Carlo [Department of Chemical and Biomolecular Engineering, University of California, Berkeley, CA 94720 (United States)

    2014-11-30

    Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm{sup −1} corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching.

  10. Surface Chemistry Involved in Epitaxy of Graphene on 3C-SiC(111/Si(111

    Directory of Open Access Journals (Sweden)

    Abe Shunsuke

    2010-01-01

    Full Text Available Abstract Surface chemistry involved in the epitaxy of graphene by sublimating Si atoms from the surface of epitaxial 3C-SiC(111 thin films on Si(111 has been studied. The change in the surface composition during graphene epitaxy is monitored by in situ temperature-programmed desorption spectroscopy using deuterium as a probe (D2-TPD and complementarily by ex situ Raman and C1s core-level spectroscopies. The surface of the 3C-SiC(111/Si(111 is Si-terminated before the graphitization, and it becomes C-terminated via the formation of C-rich (6√3 × 6√3R30° reconstruction as the graphitization proceeds, in a similar manner as the epitaxy of graphene on Si-terminated 6H-SiC(0001 proceeds.

  11. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  12. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    Science.gov (United States)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  13. Changes in work function due to NO2 adsorption on monolayer and bilayer epitaxial graphene on SiC(0001)

    Science.gov (United States)

    Caffrey, Nuala M.; Armiento, Rickard; Yakimova, Rositsa; Abrikosov, Igor A.

    2016-11-01

    The electronic properties of monolayer graphene grown epitaxially on SiC(0001) are known to be highly sensitive to the presence of NO2 molecules. The presence of small areas of bilayer graphene, on the other hand, considerably reduces the overall sensitivity of the surface. We investigate how NO2 molecules interact with monolayer and bilayer graphene, both free-standing and on a SiC(0001) substrate. We show that it is necessary to explicitly include the effect of the substrate in order to reproduce the experimental results. When monolayer graphene is present on SiC, there is a large charge transfer from the interface between the buffer layer and the SiC substrate to the molecule. As a result, the surface work function increases by 0.9 eV after molecular adsorption. A graphene bilayer is more effective at screening this interfacial charge, and so the charge transfer and change in work function after NO2 adsorption is much smaller.

  14. Spin-injection into epitaxial graphene on silicon carbide

    Science.gov (United States)

    Konishi, Keita; Cui, Zhixin; Hiraki, Takahiro; Yoh, Kanji

    2013-09-01

    We have studied the spin-injection properties in epitaxial graphene on SiC. The ferromagnetic metal (FM) electrodes were composed of a tunnel barrier layer AlOx (14 Å) and a ferromagnetic Co (600 Å) layer. We have successfully observed the clear resistance peaks indicating spin-injection both in the "local" and "non-local" spin measurement set-ups at low temperatures. We estimate spin-injection rate of 1% based on "non-local" measurement and 1.6% based on local measurements. Spin-injection rate of multilayer graphene by mechanical exfoliation method was twice as high as single layer graphene on SiC based on "local" measurement.

  15. Sub-monolayer growth of titanium, cobalt, and palladium on epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Sokolova, Anastasia; Kilchert, Franziska; Schneider, M. Alexander [Lehrstuhl fuer Festkoerperphysik, Friedrich-Alexander Universitaet Erlangen-Nuernberg (FAU), Erlangen (Germany); Link, Stefan; Stoehr, Alexander; Starke, Ulrich [Max-Planck-Institut fuer Festkoerperforschung, Stuttgart (Germany)

    2017-11-15

    We deposited metals (Ti, Co, Pd) typically used as seed layers for contacts on epitaxial graphene on SiC(0001) and studied the early stages of growth in the sub-monolayer regime by Scanning Tunneling Microscopy (STM). All three metals do not wet the substrate and Ostwalt ripening occurs at temperatures below 400 K. The analysis of the epitaxial orientation of the metal adislands revealed their specific alignment to the graphene lattice. It is found that the apparent height of the islands as measured by STM strongly deviates from their true topographic height. This is interpreted as an indication of the presence of scattering processes within the metal particles that increase the transparency of the metal-graphene interface for electrons. Even large islands are easily picked up by the tip of the STM allowing insight into the bonding between metal island and graphene surface and into mechanisms leading to metal intercalation. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Molecular Beam Epitaxial Growth and Characterization of Graphene and Hexagonal Boron Nitride Two-Dimensional Layers

    Science.gov (United States)

    Zheng, Renjing

    Van der Waals (vdW) materials (also called as two-dimensional (2D) material in some literature) systems have received extensive attention recently due to their potential applications in next-generation electronics platform. Exciting properties have been discovered in this field, however, the performance and properties of the systems rely on the materials' quality and interface significantly, leading to the urgent need for scalable synthesis of high-quality vdW crystals and heterostructures. Toward this direction, this dissertation is devoted on the study of Molecular Beam Epitaxy (MBE) growth and various characterization of vdW materials and heterostructures, especially graphene and hexagonal boron nitride (h-BN). The goal is to achieve high-quality vdW materials and related heterostructures. There are mainly four projects discussed in this dissertation. The first project (Chapter 2) is about MBE growth of large-area h-BN on copper foil. After the growth, the film was transferred onto SiO2 substrate for characterization. It is observed that as-grown film gives evident h-BN Raman spectrum; what's more, h-BN peak intensity and position is dependent on film thickness. N-1s and B-1s XPS peaks further suggest the formation of h-BN. AFM and SEM images show the film is flat and continuous over large area. Our synthesis method shows it's possible to use MBE to achieve h-BN growth and could also pave a way for some unique structure, such as h-BN/graphene heterostructures and doped h-BN films by MBE. The second project (Chapter 3) is focused on establishment of grapehene/h-BN heterostructure on cobalt (Co) film. In-situ epitaxial growth of graphene/h-BN heterostructures on Co film substrate was achieved by using plasma-assisted MBE. The direct graphene/h-BN vertical stacking structures were demonstrated and further confirmed by various characterizations, such as Raman spectroscopy, SEM, XPS and TEM. Large area heterostructures consisting of single- /bilayer graphene and

  17. Structured epitaxial graphene: growth and properties

    International Nuclear Information System (INIS)

    Hu Yike; Ruan Ming; Guo Zelei; Dong Rui; Palmer, James; Hankinson, John; Berger, Claire; Heer, Walt A de

    2012-01-01

    Graphene is generally considered to be a strong candidate to succeed silicon as an electronic material. However, to date, it actually has not yet demonstrated capabilities that exceed standard semiconducting materials. Currently demonstrated viable graphene devices are essentially limited to micrometre-sized ultrahigh-frequency analogue field effect transistors and quantum Hall effect devices for metrology. Nanoscopically patterned graphene tends to have disordered edges that severely reduce mobilities thereby obviating its advantage over other materials. Here we show that graphene grown on structured silicon carbide surfaces overcomes the edge roughness and promises to provide an inroad into nanoscale patterning of graphene. We show that high-quality ribbons and rings can be made using this technique. We also report on the progress towards high-mobility graphene monolayers on silicon carbide for device applications. (paper)

  18. Emergence of an Out-of-Plane Optical Phonon (ZO) Kohn Anomaly in Quasifreestanding Epitaxial Graphene.

    Science.gov (United States)

    Politano, Antonio; de Juan, Fernando; Chiarello, Gennaro; Fertig, Herbert A

    2015-08-14

    In neutral graphene, two prominent cusps known as Kohn anomalies are found in the phonon dispersion of the highest optical phonon at q=Γ (LO branch) and q=K (TO branch), reflecting a significant electron-phonon coupling (EPC) to undoped Dirac electrons. In this work, high-resolution electron energy loss spectroscopy is used to measure the phonon dispersion around the Γ point in quasifreestanding graphene epitaxially grown on Pt(111). The Kohn anomaly for the LO phonon is observed at finite momentum q~2k_{F} from Γ, with a shape in excellent agreement with the theory and consistent with known values of the EPC and the Fermi level. More strikingly, we also observe a Kohn anomaly at the same momentum for the out-of-plane optical phonon (ZO) branch. This observation is the first direct evidence of the coupling of the ZO mode with Dirac electrons, which is forbidden for freestanding graphene but becomes allowed in the presence of a substrate. Moreover, we estimate the EPC to be even greater than that of the LO mode, making graphene on Pt(111) an optimal system to explore the effects of this new coupling in the electronic properties.

  19. State memory in solution gated epitaxial graphene

    Science.gov (United States)

    Butko, A. V.; Butko, V. Y.; Lebedev, S. P.; Lebedev, A. A.; Davydov, V. Y.; Smirnov, A. N.; Eliseyev, I. A.; Dunaevskiy, M. S.; Kumzerov, Y. A.

    2018-06-01

    We studied electrical transport in transistors fabricated on a surface of high quality epitaxial graphene with density of defects as low as 5·1010 cm-2 and observed quasistatic hysteresis with a time constant in a scale of hours. This constant is in a few orders of magnitude greater than the constant previously reported in CVD graphene. The hysteresis observed here can be described as a shift of ∼+2V of the Dirac point measured during a gate voltage increase from the position of the Dirac point measured during a gate voltage decrease. This hysteresis can be characterized as a nonvolatile quasistatic state memory effect in which the state of the gated graphene is determined by its initial state prior to entering the hysteretic region. Due to this effect the difference in resistance of the gated graphene measured in the hysteretic region at the same applied voltages can be as high as 70%. The observed effect can be explained by assuming that charge carriers in graphene and oppositely charged molecular ions from the solution form quasistable interfacial complexes at the graphene interface. These complexes likely preserve the initial state by preventing charge carriers in graphene from discharging in the hysteretic region.

  20. Intercalation of metals and silicon at the interface of epitaxial graphene and its substrates

    International Nuclear Information System (INIS)

    Huang Li; Xu Wen-Yan; Que Yan-De; Mao Jin-Hai; Meng Lei; Pan Li-Da; Li Geng; Wang Ye-Liang; Du Shi-Xuan; Gao Hong-Jun; Liu Yun-Qi

    2013-01-01

    Intercalations of metals and silicon between epitaxial graphene and its substrates are reviewed. For metal intercalation, seven different metals have been successfully intercalated at the interface of graphene/Ru(0001) and form different intercalated structures. Meanwhile, graphene maintains its original high quality after the intercalation and shows features of weakened interaction with the substrate. For silicon intercalation, two systems, graphene on Ru(0001) and on Ir(111), have been investigated. In both cases, graphene preserves its high quality and regains its original superlative properties after the silicon intercalation. More importantly, we demonstrate that thicker silicon layers can be intercalated at the interface, which allows the atomic control of the distance between graphene and the metal substrates. These results show the great potential of the intercalation method as a non-damaging approach to decouple epitaxial graphene from its substrates and even form a dielectric layer for future electronic applications. (topical review - low-dimensional nanostructures and devices)

  1. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  2. Molecular beam epitaxy of graphene on mica

    International Nuclear Information System (INIS)

    Lippert, G.; Dabrowski, J.; Yamamoto, Y.; Mehr, W.; Lupina, G.; Herziger, F.; Maultzsch, J.; Baringhaus, J.; Tegenkamp, C.; Lemme, M.C.

    2012-01-01

    Realization of graphene devices is often hindered by the fact that the known layer growth methods do not meet the requirements of the device fabrication in silicon mainstream technology. For example, the relatively straightforward method of decomposition of hexagonal SiC is not CMOS-compatible due to the high-thermal budget it requires [Moon et al., IEEE Electron Device Lett. 31, 260 (2010)]. Techniques based on layer transfer are restricted because of the uncertainty of residual metal contaminants, particles, and structural defects. Of interest is thus a method that would allow one to grow a graphene film directly in the device area where graphene is needed. Production of large area graphene is not necessarily required in this case, but high quality of the film and metal-free growth on an insulating substrate at temperatures below 1000 C are important requirements. We demonstrate direct growth of defect-free graphene on insulators at moderate temperatures by molecular beam epitaxy. The quality of the graphene was probed by high-resolution Raman spectroscopy, indicating a negligible density of defects. The spectra are compared with those from graphene flakes mechanically exfoliated from native graphite onto mica. These results are combined with insights from density functional theory calculations. A model of graphene growth on mica and similar substrates is proposed. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Single crystalline metal films as substrates for graphene growth

    Energy Technology Data Exchange (ETDEWEB)

    Zeller, Patrick; Henss, Ann-Kathrin; Wintterlin, Joost [Department Chemie, Ludwig-Maximilians-Universitaet Muenchen (Germany); Weinl, Michael; Schreck, Matthias [Institut fuer Physik, Universitaet Augsburg (Germany); Speck, Florian; Ostler, Markus [Lehrstuhl fuer Technische Physik, Universitaet Erlangen-Nuernberg, Erlangen (Germany); Institut fuer Physik, Technische Universitaet Chemnitz (Germany); Seyller, Thomas [Institut fuer Physik, Technische Universitaet Chemnitz (Germany)

    2017-11-15

    Single crystalline metal films deposited on YSZ-buffered Si(111) wafers were investigated with respect to their suitability as substrates for epitaxial graphene. Graphene was grown by CVD of ethylene on Ru(0001), Ir(111), and Ni(111) films in UHV. For analysis a variety of surface science methods were used. By an initial annealing step the surface quality of the films was strongly improved. The temperature treatments of the metal films caused a pattern of slip lines, formed by thermal stress in the films, which, however, did not affect the graphene quality and even prevented wrinkle formation. Graphene was successfully grown on all three types of metal films in a quality comparable to graphene grown on bulk single crystals of the same metals. In the case of the Ni(111) films the originally obtained domain structure of rotational graphene phases could be transformed into a single domain by annealing. This healing process is based on the control of the equilibrium between graphene and dissolved carbon in the film. For the system graphene/Ni(111) the metal, after graphene growth, could be removed from underneath the epitaxial graphene layer by a pure gas phase reaction, using the reaction of CO with Ni to give gaseous Ni(CO){sub 4}. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Porphyrin molecules boost the sensitivity of epitaxial graphene for NH3 detection

    Science.gov (United States)

    Iezhokin, I.; den Boer, D.; Offermans, P.; Ridene, M.; Elemans, J. A. A. W.; Adriaans, G. P.; Flipse, C. F. J.

    2017-02-01

    The sensitivity of quasi-free standing epitaxial graphene for NH3 detection is strongly enhanced by chemical functionalization with cobalt porphyrins resulting in a detection limit well below 100 ppb. Hybridization between NH3 and cobalt porphyrins induces a charge transfer to graphene and results in a shift of the graphene Fermi-level as detected by Hall measurements and theoretically explained by electronic structure calculations.

  5. Fabrication of in-situ grown graphene reinforced Cu matrix composites

    Science.gov (United States)

    Chen, Yakun; Zhang, Xiang; Liu, Enzuo; He, Chunnian; Shi, Chunsheng; Li, Jiajun; Nash, Philip; Zhao, Naiqin

    2016-01-01

    Graphene/Cu composites were fabricated through a graphene in-situ grown approach, which involved ball-milling of Cu powders with PMMA as solid carbon source, in-situ growth of graphene on flaky Cu powders and vacuum hot-press sintering. SEM and TEM characterization results indicated that graphene in-situ grown on Cu powders guaranteed a homogeneous dispersion and a good combination between graphene and Cu matrix, as well as the intact structure of graphene, which was beneficial to its strengthening effect. The yield strength of 244 MPa and tensile strength of 274 MPa were achieved in the composite with 0.95 wt.% graphene, which were separately 177% and 27.4% enhancement over pure Cu. Strengthening effect of in-situ grown graphene in the matrix was contributed to load transfer and dislocation strengthening. PMID:26763313

  6. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  7. Hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Cai, Tuocheng; Jia, Zhenzhao; Yan, Baoming; Yu, Dapeng; Wu, Xiaosong, E-mail: xswu@pku.edu.cn [State Key Laboratory for Artificial Microstructure and Mesoscopic Physics, Peking University, Beijing 100871 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100871 (China)

    2015-01-05

    We demonstrate hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC. Compared with the conventional thermal decomposition technique, the size of the growth domain by this method is substantially increased and the thickness variation is reduced. Based on the morphology of epitaxial graphene, the role of hydrogen is revealed. It is found that hydrogen acts as a carbon etchant. It suppresses the defect formation and nucleation of graphene. It also improves the kinetics of carbon atoms via hydrocarbon species. These effects lead to increase of the domain size and the structure quality. The consequent capping effect results in smooth surface morphology and suppression of multilayer growth. Our method provides a viable route to fine tune the growth kinetics of epitaxial graphene on SiC.

  8. Anisotropic Friction of Wrinkled Graphene Grown by Chemical Vapor Deposition.

    Science.gov (United States)

    Long, Fei; Yasaei, Poya; Yao, Wentao; Salehi-Khojin, Amin; Shahbazian-Yassar, Reza

    2017-06-21

    Wrinkle structures are commonly seen on graphene grown by the chemical vapor deposition (CVD) method due to the different thermal expansion coefficient between graphene and its substrate. Despite the intensive investigations focusing on the electrical properties, the nanotribological properties of wrinkles and the influence of wrinkle structures on the wrinkle-free graphene remain less understood. Here, we report the observation of anisotropic nanoscale frictional characteristics depending on the orientation of wrinkles in CVD-grown graphene. Using friction force microscopy, we found that the coefficient of friction perpendicular to the wrinkle direction was ∼194% compare to that of the parallel direction. Our systematic investigation shows that the ripples and "puckering" mechanism, which dominates the friction of exfoliated graphene, plays even a more significant role in the friction of wrinkled graphene grown by CVD. The anisotropic friction of wrinkled graphene suggests a new way to tune the graphene friction property by nano/microstructure engineering such as introducing wrinkles.

  9. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  10. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Kalam, Amir Abul; Bae, Joon Ho [Dept. of Nano-physics, Gachon University, Seongnam (Korea, Republic of); Park, Soo Bin; Seo, Yong Ho [Nanotechnology and Advanced Material Engineering, HMC, and GRI, Sejong University, Seoul (Korea, Republic of)

    2015-08-15

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs.

  11. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    International Nuclear Information System (INIS)

    Kalam, Amir Abul; Bae, Joon Ho; Park, Soo Bin; Seo, Yong Ho

    2015-01-01

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs

  12. Wrinkle-Free Single-Crystal Graphene Wafer Grown on Strain-Engineered Substrates.

    Science.gov (United States)

    Deng, Bing; Pang, Zhenqian; Chen, Shulin; Li, Xin; Meng, Caixia; Li, Jiayu; Liu, Mengxi; Wu, Juanxia; Qi, Yue; Dang, Wenhui; Yang, Hao; Zhang, Yanfeng; Zhang, Jin; Kang, Ning; Xu, Hongqi; Fu, Qiang; Qiu, Xiaohui; Gao, Peng; Wei, Yujie; Liu, Zhongfan; Peng, Hailin

    2017-12-26

    Wrinkles are ubiquitous for graphene films grown on various substrates by chemical vapor deposition at high temperature due to the strain induced by thermal mismatch between the graphene and substrates, which greatly degrades the extraordinary properties of graphene. Here we show that the wrinkle formation of graphene grown on Cu substrates is strongly dependent on the crystallographic orientations. Wrinkle-free single-crystal graphene was grown on a wafer-scale twin-boundary-free single-crystal Cu(111) thin film fabricated on sapphire substrate through strain engineering. The wrinkle-free feature of graphene originated from the relatively small thermal expansion of the Cu(111) thin film substrate and the relatively strong interfacial coupling between Cu(111) and graphene, based on the strain analyses as well as molecular dynamics simulations. Moreover, we demonstrated the transfer of an ultraflat graphene film onto target substrates from the reusable single-crystal Cu(111)/sapphire growth substrate. The wrinkle-free graphene shows enhanced electrical mobility compared to graphene with wrinkles.

  13. Determination of the thickness distribution of a graphene layer grown on a 2″ SiC wafer by means of Auger electron spectroscopy depth profiling

    International Nuclear Information System (INIS)

    Kotis, L.; Gurban, S.; Pecz, B.; Menyhard, M.; Yakimova, R.

    2014-01-01

    Highlights: • The thickness of graphene grown on SiC was determined by AES depth profiling. • The AES depth profiling verified the presence of buffer layer on SiC. • The presence of unsaturated Si bonds in the buffer layer has been shown. • Using multipoint analysis thickness distribution of the graphene on the wafer was determined. - Abstract: Auger electron spectroscopy (AES) depth profiling was applied for determination of the thickness of a macroscopic size graphene sheet grown on 2 in. 6H-SiC (0 0 0 1) by sublimation epitaxy. The measured depth profile deviated from the expected exponential form showing the presence of an additional, buffer layer. The measured depth profile was compared to the simulated one which allowed the derivation of the thicknesses of the graphene and buffer layers and the Si concentration of buffer layer. It has been shown that the graphene-like buffer layer contains about 30% unsaturated Si. The depth profiling was carried out in several points (diameter 50 μm), which permitted the constructing of a thickness distribution characterizing the uniformity of the graphene sheet

  14. Transfer printing of graphene strip from the graphene grown on copper wires

    International Nuclear Information System (INIS)

    Su, Ching-Yuan; Fu Dongliang; Lu, Ang-Yu; Liu, Keng-Ku; Xu Yanping; Juang, Zhen-Yu; Li, Lain-Jong

    2011-01-01

    A simple, cost-effective and lithography-free fabrication of graphene strips for device applications is demonstrated. The graphene thin layers were directly grown on Cu wires, followed by Cu etching and transfer printing to arbitrary substrates by a PDMS stamp. The Cu wires can be arranged on the PDMS stamp in a desired pattern; hence, the substrates can receive graphene strips with the same pattern. Moreover, the preparation of graphene strips does not involve conventional lithography; therefore, the surface of the graphene strip is free of residual photoresists, which may be useful for studies requiring clean graphene surfaces.

  15. High-quality AlN films grown on chemical vapor-deposited graphene films

    Directory of Open Access Journals (Sweden)

    Chen Bin-Hao

    2016-01-01

    Full Text Available We report the growth of high-quality AlN films on graphene. The graphene films were synthesized by CVD and then transferred onto silicon substrates. Epitaxial aluminum nitride films were deposited by DC magnetron sputtering on both graphene as an intermediate layer and silicon as a substrate. The structural characteristics of the AlN films and graphene were investigated. Highly c-axis-oriented AlN crystal structures are investigated based on the XRDpatterns observations.

  16. Graphene nanoribbon field-effect transistors on wafer-scale epitaxial graphene on SiC substrates

    Directory of Open Access Journals (Sweden)

    Wan Sik Hwang

    2015-01-01

    Full Text Available We report the realization of top-gated graphene nanoribbon field effect transistors (GNRFETs of ∼10 nm width on large-area epitaxial graphene exhibiting the opening of a band gap of ∼0.14 eV. Contrary to prior observations of disordered transport and severe edge-roughness effects of graphene nanoribbons (GNRs, the experimental results presented here clearly show that the transport mechanism in carefully fabricated GNRFETs is conventional band-transport at room temperature and inter-band tunneling at low temperature. The entire space of temperature, size, and geometry dependent transport properties and electrostatics of the GNRFETs are explained by a conventional thermionic emission and tunneling current model. Our combined experimental and modeling work proves that carefully fabricated narrow GNRs behave as conventional semiconductors and remain potential candidates for electronic switching devices.

  17. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  18. Step driven competitive epitaxial and self-limited growth of graphene on copper surface

    Directory of Open Access Journals (Sweden)

    Lili Fan

    2011-09-01

    Full Text Available The existence of surface steps was found to have significant function and influence on the growth of graphene on copper via chemical vapor deposition. The two typical growth modes involved were found to be influenced by the step morphologies on copper surface, which led to our proposed step driven competitive growth mechanism. We also discovered a protective role of graphene in preserving steps on copper surface. Our results showed that wide and high steps promoted epitaxial growth and yielded multilayer graphene domains with regular shape, while dense and low steps favored self-limited growth and led to large-area monolayer graphene films. We have demonstrated that controllable growth of graphene domains of specific shape and large-area continuous graphene films are feasible.

  19. Growth behavior of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films on graphene substrate grown by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Kang, Min A.; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kang, Seong Gu [School of Electrical Engineering and Computer Science, Gwangju Institute of Science and Technology, Gwangju (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-03-15

    A comparative study of the substrate effect on the growth mechanism of chalcogenide Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was carried out. Obvious microstructural discrepancy in both the as-deposited Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was observed when grown on graphene or SiO{sub 2}/Si substrate. Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films deposited on the graphene substrate were observed to be grown epitaxially along c-axis and show very smooth surface compared to that on SiO{sub 2}/Si substrate. Based on the experimental results of this study, the initial adsorption sites on graphene substrate during deposition process, which had been discussed theoretically, could be demonstrated empirically. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Experimental Methods for Implementing Graphene Contacts to Finite Bandgap Semiconductors

    DEFF Research Database (Denmark)

    Meyer-Holdt, Jakob

    Present Ph.D. thesis describes my work on implanting graphene as electrical contact to finite bandgap semiconductors. Different transistor architectures, types of graphene and finite bandgap semiconductors have been employed. The device planned from the beginning of my Ph.D. fellowship...... contacts to semiconductor nanowires, more specifically, epitaxially grown InAs nanowires. First, we tried a top down method where CVD graphene was deposited on substrate supported InAs nanowires followed by selective graphene ashing to define graphene electrodes. While electrical contact between...

  1. Selective exfoliation of single-layer graphene from non-uniform graphene grown on Cu

    International Nuclear Information System (INIS)

    Lim, Jae-Young; Lee, Jae-Hyun; Jang, Hyeon-Sik; Whang, Dongmok; Joo, Won-Jae; Hwang, SungWoo

    2015-01-01

    Graphene growth on a copper surface via metal-catalyzed chemical vapor deposition has several advantages in terms of providing high-quality graphene with the potential for scale-up, but the product is usually inhomogeneous due to the inability to control the graphene layer growth. The non-uniform regions strongly affect the reliability of the graphene in practical electronic applications. Herein, we report a novel graphene transfer method that allows for the selective exfoliation of single-layer graphene from non-uniform graphene grown on a Cu foil. Differences in the interlayer bonding energy are exploited to mechanically separate only the top single-layer graphene and transfer this to an arbitrary substrate. The dry-transferred single-layer graphene showed electrical characteristics that were more uniform than those of graphene transferred using conventional wet-etching transfer steps. (paper)

  2. TEM EDS analysis of epitaxially-grown self-assembled indium islands

    Directory of Open Access Journals (Sweden)

    Jasmine Sears

    2017-05-01

    Full Text Available Epitaxially-grown self-assembled indium nanostructures, or islands, show promise as nanoantennas. The elemental composition and internal structure of indium islands grown on gallium arsenide are explored using Transmission Electron Microscopy (TEM Energy Dispersive Spectroscopy (EDS. Several sizes of islands are examined, with larger islands exhibiting high (>94% average indium purity and smaller islands containing inhomogeneous gallium and arsenic contamination. These results enable more accurate predictions of indium nanoantenna behavior as a function of growth parameters.

  3. Approaching the Dirac point in high-mobility multilayer epitaxial graphene

    Czech Academy of Sciences Publication Activity Database

    Orlita, Milan; Faugeras, C.; Plochocka, P.; Neugebauer, P.; Martinez, G.; Maude, D. K.; Barra, A. L.; Sprinkle, M.; Berger, C.; de Heer, W.A.; Potemski, M.

    2008-01-01

    Roč. 101, č. 26 (2008), 267601/1-267601/4 ISSN 0031-9007 R&D Projects: GA AV ČR KAN400100652 Grant - others:EU(XE) RITA -CT-2003-505474 Institutional research plan: CEZ:AV0Z10100521 Keywords : multilayer epitaxial graphene * Dirac fermions * magnetic field Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 7.180, year: 2008

  4. Mechanical exfoliation of epitaxial graphene on Ir(111) enabled by Br2 intercalation.

    Science.gov (United States)

    Herbig, Charlotte; Kaiser, Markus; Bendiab, Nedjma; Schumacher, Stefan; Förster, Daniel F; Coraux, Johann; Meerholz, Klaus; Michely, Thomas; Busse, Carsten

    2012-08-08

    We show here that Br(2) intercalation is an efficient method to enable exfoliation of epitaxial graphene on metals by adhesive tape. We exemplify this method for high-quality graphene of macroscopic extension on Ir(111). The sample quality and the transfer process are monitored using low-energy electron diffraction (LEED), scanning tunneling microscopy (STM), scanning electron microscopy (SEM) and Raman spectroscopy. The developed process provides an opportunity for preparing graphene of strictly monatomic thickness and well-defined orientation including the transfer to poly(ethylene terephthalate) (PET) foil.

  5. Photoemission electronic states of epitaxially grown magnetite films

    International Nuclear Information System (INIS)

    Zalecki, R.; Kolodziejczyk, A.; Korecki, J.; Spiridis, N.; Zajac, M.; Kozlowski, A.; Kakol, Z.; Antolak, D.

    2007-01-01

    The valence band photoemission spectra of epitaxially grown 300 A single crystalline magnetite films were measured by the angle-resolved ultraviolet photoemission spectroscopy (ARUPS) at 300 K. The samples were grown either on MgO(0 0 1) (B termination) or on (0 0 1) Fe (iron-rich A termination), thus intentionally presenting different surface stoichiometry, i.e. also different surface electronic states. Four main features of the electron photoemission at about -1.0, -3.0, -5.5 and -10.0 eV below a chemical potential show systematic differences for two terminations; this difference depends on the electron outgoing angle. Our studies confirm sensitivity of angle resolved PES technique on subtleties of surface states

  6. Influence of structural properties on ballistic transport in nanoscale epitaxial graphene cross junctions

    International Nuclear Information System (INIS)

    Bock, Claudia; Weingart, Sonja; Karaissaridis, Epaminondas; Kunze, Ulrich; Speck, Florian; Seyller, Thomas

    2012-01-01

    In this paper we investigate the influence of material and device properties on the ballistic transport in epitaxial monolayer graphene and epitaxial quasi-free-standing monolayer graphene. Our studies comprise (a) magneto-transport in two-dimensional (2D) Hall bars, (b) temperature- and magnetic-field-dependent bend resistance of unaligned and step-edge-aligned orthogonal cross junctions, and (c) the influence of the lead width of the cross junctions on ballistic transport. We found that ballistic transport is highly sensitive to scattering at the step edges of the silicon carbide substrate. A suppression of the ballistic transport is observed if the lead width of the cross junction is reduced from 50 nm to 30 nm. In a 50 nm wide device prepared on quasi-free-standing graphene we observe a gradual transition from the ballistic into the diffusive transport regime if the temperature is increased from 4.2 to about 50 K, although 2D Hall bars show a temperature-independent mobility. Thus, in 1D devices additional temperature-dependent scattering mechanisms play a pivotal role. (paper)

  7. Weak localization behavior observed in graphene grown on germanium substrate

    Directory of Open Access Journals (Sweden)

    Yinbo Sun

    2018-04-01

    Full Text Available Two dimensional electron systems (2DES usually show the weak localization behavior in consequence of electron interaction in the limited dimension. Distinct from other 2DES, the monolayer graphene, due to the chirality, exhibits unique weak localization behavior sensitive to not only inelastic but also elastic carrier scattering. Grain boundaries, which usually exist in monolayer graphene, are apparently related to the elastic carrier scattering process, thus affecting the weak localization behavior. However, their effect is scarcely studied due to the lack of an ideal platform. Here, a complementary system consisting of both single-crystalline graphene grown on Ge (110 and poly-crystalline graphene grown on Ge (111 is constructed. From the comparison of magnetoresistivity measurements, the weak localization effect is found to be greatly enhanced for the poly-crystalline graphene on Ge(111 compared to the single-crystalline graphene on Ge(110. The degraded transport performance in graphene/Ge(111 is due to the presence of grain boundary in poly-crystalline graphene, which results in the enhanced elastic intervalley scattering. In addition, the inelastic scattering originating from the strong electron-electron interaction at low temperature also contributes to weak localization of poly-crystalline graphene/Ge(111.

  8. Effects of UV light intensity on electrochemical wet etching of SiC for the fabrication of suspended graphene

    Science.gov (United States)

    O, Ryong-Sok; Takamura, Makoto; Furukawa, Kazuaki; Nagase, Masao; Hibino, Hiroki

    2015-03-01

    We report on the effects of UV light intensity on the photo assisted electrochemical wet etching of SiC(0001) underneath an epitaxially grown graphene for the fabrication of suspended structures. The maximum etching rate of SiC(0001) was 2.5 µm/h under UV light irradiation in 1 wt % KOH at a constant current of 0.5 mA/cm2. The successful formation of suspended structures depended on the etching rate of SiC. In the Raman spectra of the suspended structures, we did not observe a significant increase in the intensity of the D peak, which originates from defects in graphene sheets. This is most likely explained by the high quality of the single-crystalline graphene epitaxially grown on SiC.

  9. Van der Waals Epitaxy of GaSe/Graphene Heterostructure: Electronic and Interfacial Properties.

    Science.gov (United States)

    Ben Aziza, Zeineb; Henck, Hugo; Pierucci, Debora; Silly, Mathieu G; Lhuillier, Emmanuel; Patriarche, Gilles; Sirotti, Fausto; Eddrief, Mahmoud; Ouerghi, Abdelkarim

    2016-10-07

    Stacking two-dimensional materials in so-called van der Waals (vdW) heterostructures, like the combination of GaSe and graphene, provides the ability to obtain hybrid systems which are suitable to design optoelectronic devices. Here, we report the structural and electronic properties of the direct growth of multilayered GaSe by Molecular beam Epitaxy (MBE) on graphene. Reflection high-energy electron diffraction (RHEED) images exhibited sharp streaky features indicative of high quality GaSe layer produced via a vdW epitaxy. Micro-Raman spectroscopy showed that, after the vdW hetero-interface formation, the Raman signature of pristine graphene is preserved. However, the GaSe film tuned the charge density of graphene layer by shifting the Dirac point by about 80 meV toward lower binding energies, attesting an electron transfer from graphene to GaSe. Angle-resolved photoemission spectroscopy (ARPES) measurements showed that the maximum of the valence band of few layers of GaSe are located at the Γ point at a binding energy of about -0.73 eV relatively to the Fermi level (p-type doping). From the ARPES measurements, a hole effective mass defined along the ΓM direction and equal to about m*/m0 = -1.1 was determined. By coupling the ARPES data with high resolution X-ray photoemission spectroscopy (HR-XPS) measurements, the Schottky interface barrier height was estimated to be 1.2 eV. These findings allow deeper understanding of the interlayer interactions and the electronic structure of GaSe/graphene vdW heterostructure.

  10. Direct growth of hexagonal boron nitride/graphene heterostructures on cobalt foil substrates by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Zhongguang; Khanaki, Alireza; Tian, Hao; Zheng, Renjing; Suja, Mohammad; Liu, Jianlin, E-mail: jianlin@ece.ucr.edu [Quantum Structures Laboratory, Department of Electrical and Computer Engineering, University of California, Riverside, California 92521 (United States); Zheng, Jian-Guo [Irvine Materials Research Institute, University of California, Irvine, California 92697-2800 (United States)

    2016-07-25

    Graphene/hexagonal boron nitride (G/h-BN) heterostructures have attracted a great deal of attention because of their exceptional properties and wide variety of potential applications in nanoelectronics. However, direct growth of large-area, high-quality, and stacked structures in a controllable and scalable way remains challenging. In this work, we demonstrate the synthesis of h-BN/graphene (h-BN/G) heterostructures on cobalt (Co) foil by sequential deposition of graphene and h-BN layers using plasma-assisted molecular beam epitaxy. It is found that the coverage of h-BN layers can be readily controlled on the epitaxial graphene by growth time. Large-area, uniform-quality, and multi-layer h-BN films on thin graphite layers were achieved. Based on an h-BN (5–6 nm)/G (26–27 nm) heterostructure, capacitor devices with Co(foil)/G/h-BN/Co(contact) configuration were fabricated to evaluate the dielectric properties of h-BN. The measured breakdown electric field showed a high value of ∼2.5–3.2 MV/cm. Both I-V and C-V characteristics indicate that the epitaxial h-BN film has good insulating characteristics.

  11. Graphene-Based Flexible and Transparent Tunable Capacitors.

    Science.gov (United States)

    Man, Baoyuan; Xu, Shicai; Jiang, Shouzheng; Liu, Aihua; Gao, Shoubao; Zhang, Chao; Qiu, Hengwei; Li, Zhen

    2015-12-01

    We report a kind of electric field tunable transparent and flexible capacitor with the structure of graphene-Bi1.5MgNb1.5O7 (BMN)-graphene. The graphene films with low sheet resistance were grown by chemical vapor deposition. The BMN thin films were fabricated on graphene by using laser molecular beam epitaxy technology. Compared to BMN films grown on Au, the samples on graphene substrates show better quality in terms of crystallinity, surface morphology, leakage current, and loss tangent. By transferring another graphene layer, we fabricated flexible and transparent capacitors with the structure of graphene-BMN-graphene. The capacitors show a large dielectric constant of 113 with high dielectric tunability of ~40.7 % at a bias field of 1.0 MV/cm. Also, the capacitor can work stably in the high bending condition with curvature radii as low as 10 mm. This flexible film capacitor has a high optical transparency of ~90 % in the visible light region, demonstrating their potential application for a wide range of flexible electronic devices.

  12. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  13. Graphene: from functionalization to devices

    Science.gov (United States)

    Tejeda, Antonio; Soukiassian, Patrick G.

    2014-03-01

    electric field to operate logic gates. Plaçais et al then show the realization of graphene microwave nano-transistors that are especially suitable for fast charge detectors. Matsumoto et al describe next some interesting graphene-based biosensor applications, while the following article by Otsuji et al shows recent advances in plasmonics in terahertz device applications. This section ends with the Dollfus et al article dealing with non-linear effects in graphene devices investigated by simulation methods. The second section concerns the electronic and transport properties and includes four articles. The first one by Gurzadyan et al provides an investigation of graphene oxide in water by femtosecond pump-probe spectroscopy to study its transient absorption properties. Jouault et al then review the quantum Hall effect of self-organized graphene monolayers epitaxially grown on the C-face of SiC. Next, Petkovic et al report on the observation of edge magneto-plasmons in graphene. Finally, Roche and Valenzuela focus on the limits of conventional views in graphene spin transport and offer novel perspectives for further progress. The third section addresses graphene tailoring and functionalization as studied by Genorio and Znidarsic for graphene nanoribbons, or by atomic intercalation as shown by the two articles from Starke and Forti, and from Bisson et al. The last section is devoted to graphene growth and morphology. Ogino et al first describe a method to grow graphene on insulating substrates using polymer films as a carbon source. Then, Suemitsu et al show the recent progresses in epitaxial graphene formation on cubic silicon carbide thin films. Finally, Norimatsu and Kusunoki investigate the structural properties and morphology of epitaxial graphene grown on hexagonal silicon carbide substrates by using a high-resolution transmission electron microscope, their article closing this Special Issue .

  14. Epitaxially Grown Ultra-Flat Self-Assembling Monolayers with Dendrimers

    Directory of Open Access Journals (Sweden)

    Takane Imaoka

    2018-02-01

    Full Text Available Mono-molecular films formed by physical adsorption and dendrimer self-assembly were prepared on various substrate surfaces. It was demonstrated that a uniform dendrimer-based monolayer on the subnanometer scale can be easily constructed via simple dip coating. Furthermore, it was shown that an epitaxially grown monolayer film reflecting the crystal structure of the substrate (highly ordered pyrolytic graphite (HOPG can also be formed by aligning specific conditions.

  15. Near-field microwave microscopy of high-κ oxides grown on graphene with an organic seeding layer

    Energy Technology Data Exchange (ETDEWEB)

    Tselev, Alexander, E-mail: tseleva@ornl.gov; Kalinin, Sergei V. [Oak Ridge National Laboratory, Center for Nanophase Materials Sciences, Oak Ridge, Tennessee 37831 (United States); Sangwan, Vinod K.; Jariwala, Deep; Lauhon, Lincoln J. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Marks, Tobin J.; Hersam, Mark C. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Department of Chemistry, Northwestern University, Evanston, Illinois 60208 (United States)

    2013-12-09

    Near-field scanning microwave microscopy (SMM) is used for non-destructive nanoscale characterization of Al{sub 2}O{sub 3} and HfO{sub 2} films grown on epitaxial graphene on SiC by atomic layer deposition using a self-assembled perylene-3,4,9,10-tetracarboxylic dianhydride seeding layer. SMM allows imaging of buried inhomogeneities in the dielectric layer with a spatial resolution close to 100 nm. The results indicate that, while topographic features on the substrate surface cannot be eliminated as possible sites of defect nucleation, the use of a vertically heterogeneous Al{sub 2}O{sub 3}/HfO{sub 2} stack suppresses formation of large outgrowth defects in the oxide film, ultimately improving lateral uniformity of the dielectric film.

  16. Characterization of GaN/AlGaN epitaxial layers grown by ...

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical ... reported by introducing annealing of the GaN layer in nitrogen [5], Fe doping [6], .... [2] Y F Wu, S M Wood, R P Smith, S Sheppard, S T Allen, P Parikh and J Milligan,.

  17. Isolation of high quality graphene from Ru by solution phase intercalation

    Science.gov (United States)

    Koren, E.; Sutter, E.; Bliznakov, S.; Ivars-Barcelo, F.; Sutter, P.

    2013-09-01

    We introduce a method for isolating graphene grown on epitaxial Ru(0001)/α-Al2O3. The strong graphene/Ru(0001) coupling is weakened by electrochemically driven intercalation of hydrogen underpotentially deposited in aqueous KOH solution, which allows the penetration of water molecules at the graphene/Ru(0001) interface. Following these electrochemically driven processes, the graphene can be isolated by electrochemical hydrogen evolution and transferred to arbitrary supports. Raman and transport measurements demonstrate the high quality of the transferred graphene. Our results show that intercalation, typically carried out in vacuum, can be extended to solution environments for graphene processing under ambient conditions.

  18. Growth and intercalation of graphene on silicon carbide studied by low-energy electron microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Speck, Florian; Ostler, Markus; Wanke, Martina; Seyller, Thomas [Universitaet Erlangen-Nuernberg, Lehrstuhl fuer Technische Physik, Erlangen (Germany); Technische Universitaet Chemnitz, Institut fuer Physik (Germany); Besendoerfer, Sven [Universitaet Erlangen-Nuernberg, Lehrstuhl fuer Technische Physik, Erlangen (Germany); Krone, Julia [Technische Universitaet Chemnitz, Institut fuer Physik (Germany)

    2017-11-15

    Based on its electronic, structural, chemical, and mechanical properties, many potential applications have been proposed for graphene. In order to realize these visions, graphene has to be synthesized, grown, or exfoliated with properties that are determined by the targeted application. Growth of so-called epitaxial graphene on silicon carbide by sublimation of silicon in an argon atmosphere is one particular method that could potentially lead to electronic applications. In this contribution we summarize our recent work on different aspects of epitaxial graphene growth and interface manipulation by intercalation, which was performed by a combination of low-energy electron microscopy, low-energy electron diffraction, atomic force microscopy and photoelectron spectroscopy. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Large local lattice expansion in graphene adlayers grown on copper

    Science.gov (United States)

    Chen, Chaoyu; Avila, José; Arezki, Hakim; Nguyen, Van Luan; Shen, Jiahong; Mucha-Kruczyński, Marcin; Yao, Fei; Boutchich, Mohamed; Chen, Yue; Lee, Young Hee; Asensio, Maria C.

    2018-05-01

    Variations of the lattice parameter can significantly change the properties of a material, and, in particular, its electronic behaviour. In the case of graphene, however, variations of the lattice constant with respect to graphite have been limited to less than 2.5% due to its well-established high in-plane stiffness. Here, through systematic electronic and lattice structure studies, we report regions where the lattice constant of graphene monolayers grown on copper by chemical vapour deposition increases up to 7.5% of its relaxed value. Density functional theory calculations confirm that this expanded phase is energetically metastable and driven by the enhanced interaction between the substrate and the graphene adlayer. We also prove that this phase possesses distinctive chemical and electronic properties. The inherent phase complexity of graphene grown on copper foils revealed in this study may inspire the investigation of possible metastable phases in other seemingly simple heterostructure systems.

  20. Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)

    2017-02-28

    Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.

  1. Direct transfer of multilayer graphene grown on a rough metal surface using PDMS adhesion engineering

    Science.gov (United States)

    Jang, Heejun; Kang, Il-Suk; Lee, Youngbok; Cha, Yun Jeong; Yoon, Dong Ki; Ahn, Chi Won; Lee, Wonhee

    2016-09-01

    The direct transfer of graphene using polydimethylsiloxane (PDMS) stamping has advantages such as a ‘pick-and-place’ capability and no chemical residue problems. However, it is not easy to apply direct PDMS stamping to graphene grown via chemical vapor deposition on rough, grainy metal surfaces due to poor contact between the PDMS and graphene. In this study, graphene consisting of a mixture of monolayers and multiple layers grown on a rough Ni surface was directly transferred without the use of an adhesive layer. Liquid PDMS was cured on graphene to effect a conformal contact with the graphene. A fast release of graphene from substrate was achieved by carrying out wet-etching-assisted mechanical peeling. We also carried out a thermal post-curing of PDMS to control the level of adhesion between PDMS and graphene and hence facilitate a damage-free release of the graphene. Characterization of the transferred graphene by micro-Raman spectroscopy, SEM/EDS and optical microscopy showed neither cracks nor contamination from the transfer. This technique allows a fast and simple transfer of graphene, even for multilayer graphene grown on a rough surface.

  2. Voltage-controlled inversion of tunnel magnetoresistance in epitaxial nickel/graphene/MgO/cobalt junctions

    Energy Technology Data Exchange (ETDEWEB)

    Godel, F.; Doudin, B.; Henry, Y.; Halley, D., E-mail: halley@ipcms.unistra.fr, E-mail: dayen@ipcms.unistra.fr; Dayen, J.-F., E-mail: halley@ipcms.unistra.fr, E-mail: dayen@ipcms.unistra.fr [Institut de Physique et Chimie des Matériaux de Strasbourg (IPCMS), UMR 7504 CNRS-UdS, 23 rue du Loess, 67034 Strasbourg (France); Venkata Kamalakar, M. [Institut de Physique et Chimie des Matériaux de Strasbourg (IPCMS), UMR 7504 CNRS-UdS, 23 rue du Loess, 67034 Strasbourg (France); Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-41296 Göteborg (Sweden)

    2014-10-13

    We report on the fabrication and characterization of vertical spin-valve structures using a thick epitaxial MgO barrier as spacer layer and a graphene-passivated Ni film as bottom ferromagnetic electrode. The devices show robust and scalable tunnel magnetoresistance, with several changes of sign upon varying the applied bias voltage. These findings are explained by a model of phonon-assisted transport mechanisms that relies on the peculiarity of the band structure and spin density of states at the hybrid graphene|Ni interface.

  3. Detecting the local transport properties and the dimensionality of transport of epitaxial graphene by a multi-point probe approach

    DEFF Research Database (Denmark)

    Barreto, Lucas; Perkins, Edward; Johannsen, Jens

    2013-01-01

    The electronic transport properties of epitaxial monolayer graphene (MLG) and hydrogen-intercalated quasi free-standing bilayer graphene (QFBLG) on SiC(0001) are investigated by micro multi-point probes. Using a probe with 12 contacts, we perform four-point probe measurements with the possibility...

  4. Water on graphene: review of recent progress

    Science.gov (United States)

    Melios, C.; Giusca, C. E.; Panchal, V.; Kazakova, O.

    2018-04-01

    The sensitivity of graphene to the surrounding environment is given by its π electrons, which are directly exposed to molecules in the ambient air. The high sensitivity of graphene to the local environment has shown to be both advantageous and problematic for graphene-based devices, such as transistors and sensors, where the graphene carrier concentration and mobility changes due to ambient humidity variations. In this review, recent progress is presented in understanding the effects of water on different types of graphene: epitaxially grown and quasi-free standing on SiC(0 0 0 1), grown by chemical vapour deposition and transfered on SiO2, and exfoliated flakes. It is demonstrated that water withdraws electrons from graphene, but the graphene-water interaction highly depends on the thickness, layer stacking, underlying substrate and substrate-induced doping. Moreover, we highlight the importance of clear and unambiguous description of the environmental conditions (i.e. relative humidity) whenever a routine characterisation for carrier concentration and mobility is reported (often presented as a simple figure-of-merit), as these electrical characteristics are highly dependent on the adsorbed molecules and the surrounding environment.

  5. Spatially resolved mapping of electrical conductivity across individual domain (grain) boundaries in graphene.

    Science.gov (United States)

    Clark, Kendal W; Zhang, X-G; Vlassiouk, Ivan V; He, Guowei; Feenstra, Randall M; Li, An-Ping

    2013-09-24

    All large-scale graphene films contain extended topological defects dividing graphene into domains or grains. Here, we spatially map electronic transport near specific domain and grain boundaries in both epitaxial graphene grown on SiC and CVD graphene on Cu subsequently transferred to a SiO2 substrate, with one-to-one correspondence to boundary structures. Boundaries coinciding with the substrate step on SiC exhibit a significant potential barrier for electron transport of epitaxial graphene due to the reduced charge transfer from the substrate near the step edge. Moreover, monolayer-bilayer boundaries exhibit a high resistance that can change depending on the height of substrate step coinciding at the boundary. In CVD graphene, the resistance of a grain boundary changes with the width of the disordered transition region between adjacent grains. A quantitative modeling of boundary resistance reveals the increased electron Fermi wave vector within the boundary region, possibly due to boundary induced charge density variation. Understanding how resistance change with domain (grain) boundary structure in graphene is a crucial first step for controlled engineering of defects in large-scale graphene films.

  6. Anomalous magnetic behavior at the graphene/Co interface

    Energy Technology Data Exchange (ETDEWEB)

    Mandal, Sumit; Saha, Shyamal K., E-mail: cnssks@iacs.res.in [Department of Materials Science, Indian Association for the Cultivation of Science, Jadavpur, Kolkata 700032 (India)

    2014-07-14

    An intensive theoretical study on the interaction between graphene and transition metal atom has been carried out; however, its experimental verification is still lacking. To explore the theoretical prediction of antiferromagnetic coupling due to charge transfer between graphene and cobalt, epitaxial layer of cobalt is grown on graphene surface. Predicted antiferromagnetic interaction with Neel temperature (T{sub N} ∼ 32 K) which anomalously shifts to higher temperature (34 K) and becomes more prominent under application of magnetic field of 1 T is reported. Lowering of magnetoresistance as a consequence of this antiferromagnetic coupling at the interface is also observed.

  7. X-ray radiation effects in multilayer epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Hicks, Jeremy; Tinkey, Holly; Hankinson, John; Heer, Walt A. de; Conrad, Edward H. [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Arora, Rajan; Kenyon, Eleazar; Chakraborty, Partha S.; Cressler, John D. [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS-Institut Neel, BP 166, 38042 Grenoble Cedex 9 (France)

    2011-12-05

    We characterize multilayer graphene grown on C-face SiC before and after exposure to a total ionizing dose of 12 Mrad(SiO{sub 2}) using a 10 keV x-ray source. While we observe the partial peeling of the top graphene layers and the appearance of a modest Raman D-peak, we find that the electrical characteristics (mobility, sheet resistivity, free carrier concentration) of the material are mostly unaffected by radiation exposure. Combined with x-ray photoelectron spectroscopy data showing numerous carbon-oxygen bonds after irradiation, we conclude that the primary damage mechanism is through surface etching from reactive oxygen species created by the x-rays.

  8. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  9. Low temperature synthesis and field emission characteristics of single to few layered graphene grown using PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Khan, Sunny; Zulfequar, M.; Harsh; Husain, Mushahid, E-mail: mush_reslab@rediffmail.com

    2017-04-30

    Highlights: • Graphene was synthesized by PECVD system at a low temperature of 600 °C. • From different characterization techniques, the presence of single and few layered graphene was confirmed. • X-ray diffraction pattern of the graphene showed single crystalline nature of the film. • The as-grown graphene films were observed extremely good field emitters with long term emission current stability. - Abstract: In this work, high-quality graphene has successfully been synthesized on copper (Cu) coated Silicon (Si) substrate at very large-area by plasma enhanced chemical vapor deposition system. This method is low cost and highly effective for synthesizing graphene relatively at low temperature of 600 °C. Electron microscopy images have shown that surface morphology of the grown samples is quite uniform consisting of single layered graphene (SLG) to few layered graphene (FLG). Raman spectra reveal that graphene has been grown with high-quality having negligible defects and the observation of G and G' peaks is also an indicative of stokes phonon energy shift caused due to laser excitation. Scanning probe microscopy image also depicts the synthesis of single to few layered graphene. The field emission characteristics of as-grown graphene samples were studied in a planar diode configuration at room temperature. The graphene samples were observed to be a good field emitter having low turn-on field, higher field amplification factor and long term emission current stability.

  10. Topographic and electronic contrast of the graphene moir´e on Ir(111) probed by scanning tunneling microscopy and noncontact atomic force microscopy

    NARCIS (Netherlands)

    Sun, Z.; Hämäläinen, K.; Sainio, K.; Lahtinen, J.; Vanmaekelbergh, D.A.M.; Liljeroth, P.

    2011-01-01

    Epitaxial graphene grown on transition-metal surfaces typically exhibits a moir´e pattern due to the lattice mismatch between graphene and the underlying metal surface. We use both scanning tunneling microscopy (STM) and atomic force microscopy (AFM) to probe the electronic and topographic contrast

  11. Fabry-Perot enhanced Faraday rotation in graphene.

    Science.gov (United States)

    Ubrig, Nicolas; Crassee, Iris; Levallois, Julien; Nedoliuk, Ievgeniia O; Fromm, Felix; Kaiser, Michl; Seyller, Thomas; Kuzmenko, Alexey B

    2013-10-21

    We demonstrate that giant Faraday rotation in graphene in the terahertz range due to the cyclotron resonance is further increased by constructive Fabry-Perot interference in the supporting substrate. Simultaneously, an enhanced total transmission is achieved, making this effect doubly advantageous for graphene-based magneto-optical applications. As an example, we present far-infrared spectra of epitaxial multilayer graphene grown on the C-face of 6H-SiC, where the interference fringes are spectrally resolved and a Faraday rotation up to 0.15 radians (9°) is attained. Further, we discuss and compare other ways to increase the Faraday rotation using the principle of an optical cavity.

  12. Optical transparency of graphene layers grown on metal surfaces

    International Nuclear Information System (INIS)

    Rut’kov, E. V.; Lavrovskaya, N. P.; Sheshenya, E. S.; Gall, N. R.

    2017-01-01

    It is shown that, in contradiction with the fundamental results obtained for free graphene, graphene films grown on the Rh(111) surface to thicknesses from one to ~(12–15) single layers do not absorb visible electromagnetic radiation emitted from the surface and influence neither the brightness nor true temperature of the sample. At larger thicknesses, such absorption occurs. This effect is observed for the surfaces of other metals, specifically, Pt(111), Re(1010), and Ni(111) and, thus, can be considered as being universal. It is thought that the effect is due to changes in the electronic properties of thin graphene layers because of electron transfer between graphene and the metal substrate.

  13. Optical transparency of graphene layers grown on metal surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Rut’kov, E. V. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Lavrovskaya, N. P. [State University of Aerospace Instrumentation (Russian Federation); Sheshenya, E. S., E-mail: sheshenayket@gmail.ru; Gall, N. R. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-04-15

    It is shown that, in contradiction with the fundamental results obtained for free graphene, graphene films grown on the Rh(111) surface to thicknesses from one to ~(12–15) single layers do not absorb visible electromagnetic radiation emitted from the surface and influence neither the brightness nor true temperature of the sample. At larger thicknesses, such absorption occurs. This effect is observed for the surfaces of other metals, specifically, Pt(111), Re(1010), and Ni(111) and, thus, can be considered as being universal. It is thought that the effect is due to changes in the electronic properties of thin graphene layers because of electron transfer between graphene and the metal substrate.

  14. Epitaxially grown polycrystalline silicon thin-film solar cells on solid-phase crystallised seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei

    2014-09-30

    Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.

  15. Effect of copper surface pre-treatment on the properties of CVD grown graphene

    Directory of Open Access Journals (Sweden)

    Min-Sik Kim

    2014-12-01

    Full Text Available Here, we report the synthesis of high quality monolayer graphene on the pre-treated copper (Cu foil by chemical vapor deposition method. The pre-treatment process, which consists of pre-annealing in a hydrogen ambient, followed by diluted nitric acid etching of Cu foil, helps in removing impurities. These impurities include native copper oxide and rolling lines that act as a nucleation center for multilayer graphene. Raman mapping of our graphene grown on pre-treated Cu foil primarily consisted of ∼98% a monolayer graphene with as compared to 75 % for the graphene grown on untreated Cu foil. A high hydrogen flow rate during the pre-annealing process resulted in an increased I2D/IG ratio of graphene up to 3.55. Uniform monolayer graphene was obtained with a I2D/IG ratio and sheet resistance varying from 1.84 – 3.39 and 1110 – 1290 Ω/□, respectively.

  16. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  17. In situ fabrication of quasi-free-standing epitaxial graphene nanoflakes on gold.

    Science.gov (United States)

    Leicht, Philipp; Zielke, Lukas; Bouvron, Samuel; Moroni, Riko; Voloshina, Elena; Hammerschmidt, Lukas; Dedkov, Yuriy S; Fonin, Mikhail

    2014-04-22

    Addressing the multitude of electronic phenomena theoretically predicted for confined graphene structures requires appropriate in situ fabrication procedures yielding graphene nanoflakes (GNFs) with well-defined geometries and accessible electronic properties. Here, we present a simple strategy to fabricate quasi-free-standing GNFs of variable sizes, performing temperature programmed growth of graphene flakes on the Ir(111) surface and subsequent intercalation of gold. Using scanning tunneling microscopy (STM), we show that epitaxial GNFs on a perfectly ordered Au(111) surface are formed while maintaining an unreconstructed, singly hydrogen-terminated edge structure, as confirmed by the accompanying density functional theory (DFT) calculations. Using tip-induced lateral displacement of GNFs, we demonstrate that GNFs on Au(111) are to a large extent decoupled from the Au(111) substrate. The direct accessibility of the electronic states of a single GNF is demonstrated upon analysis of the quasiparticle interference patterns obtained by low-temperature STM. These findings open up an interesting playground for diverse investigations of graphene nanostructures with possible implications for device fabrication.

  18. X-ray diffraction study of rare earth epitaxial structures grown by MBE onto (111) GaAs

    International Nuclear Information System (INIS)

    Bennett, W.R.; Farrow, R.F.C.; Parkin, S.S.P.; Marinero, E.E.; Segmuller, A.P.

    1989-01-01

    The authors report on the new epitaxial system LaF 3 /Er/Dy/Er/LaF 3 /GaAs(111) grown by molecular beam epitaxy. X-ray diffraction studies have been used to determine the epitaxial relationships between the rare earths, the LaF 3 and the substrate. Further studies of symmetric and asymmetric reflections yielded the in-plane and perpendicular strain components of the rare earth layers. Such systems may be used to probe the effects of magnetoelastic interactions and dimensionality on magnetic ordering in rare earth metal films

  19. Electronic and structural characterizations of unreconstructed {0001} surfaces and the growth of graphene overlayers

    International Nuclear Information System (INIS)

    Emtsev, Konstantin

    2009-01-01

    The present work is focused on the characterization of the clean unreconstructed SiC{0001} surfaces and the growth of graphene overlayers thereon. Electronic properties of SiC surfaces and their interfaces with graphene and few layer graphene films were investigated by means of angle resolved photoelectron spectroscopy, X-ray photoelectron spectroscopy and low energy electron diffraction. Structural characterizations of the epitaxial graphene films grown on SiC were carried out by atomic force microscopy and low energy electron microscopy. Supplementary data was obtained by scanning tunneling microscopy. (orig.)

  20. Use of Kelvin probe force microscopy for identification of CVD grown graphene flakes on copper foil

    Science.gov (United States)

    Kumar, Rakesh; Mehta, B. R.; Kanjilal, D.

    2017-05-01

    Graphene flakes have been grown by chemical vapour deposition (CVD) method on Cu foils. The obtained graphene flakes have been characterized by optical microscopy, field emission scanning electron microscopy, Kelvin probe force microscopy (KPFM) and Raman spectroscopy. The graphene flakes grown on Cu foil comprise mainly single layer graphene and confirm that the nucleation for graphene growth starts very quickly. Moreover, KPFM has been found to be a valuable technique to differentiate between covered and uncovered portion of Cu foil by graphene flakes deposited for shorter duration. The results show that KPFM can be a very useful technique in understanding the mechanism of graphene growth.

  1. Optical properties of aluminum nitride thin films grown by direct-current magnetron sputtering close to epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)

    2013-05-01

    Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth process ► Epitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.

  2. Evidence for graphite-like hexagonal AlN nanosheets epitaxially grown on single crystal Ag(111)

    Energy Technology Data Exchange (ETDEWEB)

    Tsipas, P.; Kassavetis, S.; Tsoutsou, D.; Xenogiannopoulou, E.; Golias, E.; Giamini, S. A.; Dimoulas, A. [National Center for Scientific Research “Demokritos,” 15310 Athens (Greece); Grazianetti, C.; Fanciulli, M. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy); Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, I-20126, Milano (Italy); Chiappe, D.; Molle, A. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy)

    2013-12-16

    Ultrathin (sub-monolayer to 12 monolayers) AlN nanosheets are grown epitaxially by plasma assisted molecular beam epitaxy on Ag(111) single crystals. Electron diffraction and scanning tunneling microscopy provide evidence that AlN on Ag adopts a graphite-like hexagonal structure with a larger lattice constant compared to bulk-like wurtzite AlN. This claim is further supported by ultraviolet photoelectron spectroscopy indicating a reduced energy bandgap as expected for hexagonal AlN.

  3. Origin of Spontaneous Core-Shell AIGaAs Nanowires Grown by Molecular Beam Epitaxy

    DEFF Research Database (Denmark)

    Dubrovskii, V. G.; Shtrom, I. V.; Reznik, R. R.

    2016-01-01

    Based on the high-angle annular dark-field scanning transmission electron microscopy and energy dispersive X-ray spectroscopy studies, we unravel the origin of spontaneous core shell AlGaAs nanowires grown by gold-assisted molecular beam epitaxy. Our AlGaAs nanowires have a cylindrical core...

  4. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Maldonado, D., E-mail: david.hernandez@uca.es [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Herrera, M.; Sales, D.L. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L. [Instituto de Microelectronica de Madrid (CNM-CSIC), Isaac Newton 8 (PTM), 28760 Tres Cantos, Madrid (Spain); Pizarro, J.; Galindo, P.L. [Departamento de Lenguajes y Sistemas Informaticos, CASEM, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Molina, S.I. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain)

    2010-07-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  5. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    International Nuclear Information System (INIS)

    Hernandez-Maldonado, D.; Herrera, M.; Sales, D.L.; Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L.; Pizarro, J.; Galindo, P.L.; Molina, S.I.

    2010-01-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  6. Raman investigation of GaP–Si interfaces grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bondi, A.; Cornet, C.; Boyer, S.; Nguyen Thanh, T.; Létoublon, A.; Pedesseau, L.; Durand, O. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Moreac, A. [Institut de Physique de Rennes, UMR-CNRS n°6251, Université Rennes1, Campus de Beaulieu — 35042 Rennes cedex (France); Ponchet, A. [CEMES, UPR CNRS 8011, F-31055 Toulouse (France); Le Corre, A. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Even, J., E-mail: jacky.even@insa.rennes.fr [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France)

    2013-08-31

    Raman spectroscopy was used to investigate the residual strain in thin GaP layers deposited on Si substrates by molecular beam epitaxy. Different growth conditions were used to obtain a clean GaP–Si interface, including migration enhanced epitaxy. The strain induced Raman shifts of the longitudinal and the transverse optical GaP lattice modes were analyzed. The effects of crystalline defects are discussed, supported by high resolution transmission electron microscopy and X-ray scattering studies. Finally, Raman Spectroscopy reveals the presence of disorder (or surface)-activated optical phonons. This result is discussed in the light of surface morphology analyses. - Highlights: ► GaP thin layers grown by molecular beam epitaxy on Si substrates. ► Strain-induced Raman shifts of the optical GaP modes are analyzed. ► Simulation of optical GaP modes by density functional perturbation theory. ► Comparison with X-ray diffraction and electron and scanning probe microscopy data.

  7. Doping characteristics of iodine on as-grown chemical vapor deposited graphene on Pt

    Energy Technology Data Exchange (ETDEWEB)

    Kim, HoKwon, E-mail: hknano@gmail.com [Université Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble (France); Renault, Olivier [Université Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble (France); Tyurnina, Anastasia; Guillet, Jean-François; Simonato, Jean-Pierre [Université Grenoble Alpes, F-38000 Grenoble (France); CEA, LITEN/DTMN, F-38054 Grenoble (France); Rouchon, Denis; Mariolle, Denis; Chevalier, Nicolas [Université Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble (France); Dijon, Jean [Université Grenoble Alpes, F-38000 Grenoble (France); CEA, LITEN/DTMN, F-38054 Grenoble (France)

    2015-12-15

    Using laboratory X-ray photoelectron emission microscopy (XPEEM), we investigated the doping efficiency and thermal stability of iodine on as-grown graphene on Pt. After iodine adsorption of graphene in saturated vapor of I{sub 2,} monolayer and bilayer graphene exhibited work function of 4.93 eV and 4.87 eV, respectively. Annealing of the doped monolayer graphene at 100 °C led to desorption of hydrocarbons, which increased the work function of monolayer graphene by ~0.2 eV. The composition of the polyiodide complexes evolved upon a step-by-step annealing at temperatures from 100 °C to 300 °C while the work-function non-monotonically changed with decreasing iodine content. The iodine dopant was stable at relatively high temperature as a significant amount of iodine remained up to the annealing temperature of 350 °C. - Highlights: • Laboratory XPEEM demonstrates that iodine can effectively p-dope as-grown graphene on Pt with a work-function value up to 5.1 eV. • On the other hand, residual hydrocarbon contamination decreases the work function by up to ~0.2 eV. • The spontaneous intercalation of as-grown few-layered graphene is not easily feasible. • The iodine dopant was not completely removed up to the annealing temperature of 350 °C. • The I{sub 3}{sup −} and I{sub 5}{sup −} polyiodide content ratio of I-doped Gr/Pt decreases with annealing temperature.

  8. High-mobility BaSnO{sub 3} grown by oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Raghavan, Santosh; Schumann, Timo; Kim, Honggyu; Zhang, Jack Y.; Cain, Tyler A.; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-01-01

    High-mobility perovskite BaSnO{sub 3} films are of significant interest as new wide bandgap semiconductors for power electronics, transparent conductors, and as high mobility channels for epitaxial integration with functional perovskites. Despite promising results for single crystals, high-mobility BaSnO{sub 3} films have been challenging to grow. Here, we demonstrate a modified oxide molecular beam epitaxy (MBE) approach, which supplies pre-oxidized SnO{sub x}. This technique addresses issues in the MBE of ternary stannates related to volatile SnO formation and enables growth of epitaxial, stoichiometric BaSnO{sub 3}. We demonstrate room temperature electron mobilities of 150 cm{sup 2} V{sup −1} s{sup −1} in films grown on PrScO{sub 3}. The results open up a wide range of opportunities for future electronic devices.

  9. Few layer epitaxial germanene: a novel two-dimensional Dirac material

    OpenAIRE

    María Eugenia Dávila; Guy Le Lay

    2016-01-01

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established o...

  10. Organic solar cells using CVD-grown graphene electrodes

    International Nuclear Information System (INIS)

    Kim, Hobeom; Han, Tae-Hee; Lim, Kyung-Geun; Lee, Tae-Woo; Bae, Sang-Hoon; Ahn, Jong-Hyun

    2014-01-01

    We report on the development of flexible organic solar cells (OSCs) incorporating graphene sheets synthesized by chemical vapor deposition (CVD) as transparent conducting electrodes on polyethylene terephthalate (PET) substrates. A key barrier that must be overcome for the successful fabrication of OSCs with graphene electrodes is the poor-film properties of water-based poly(3,4-ethylenedioxythiphene):poly(styrenesulfonate) (PEDOT:PSS) when coated onto hydrophobic graphene surfaces. To form a uniform PEDOT:PSS film on a graphene surface, we added perfluorinated ionomers (PFI) to pristine PEDOT:PSS to create ‘GraHEL’, which we then successfully spin coated onto the graphene surface. We systematically investigated the effect of number of layers in layer-by-layer stacked graphene anode of an OSC on the performance parameters including the open-circuit voltage (V oc ), short-circuit current (J sc ), and fill factor (FF). As the number of graphene layers increased, the FF tended to increase owing to lower sheet resistance, while J sc tended to decrease owing to the lower light absorption. In light of this trade-off between sheet resistance and transmittance, we determined that three-layer graphene (3LG) represents the best configuration for obtaining the optimal power conversion efficiency (PCE) in OSC anodes, even at suboptimal sheet resistances. We finally developed efficient, flexible OSCs with a PCE of 4.33%, which is the highest efficiency attained so far by an OSC with CVD-grown graphene electrodes to the best of our knowledge. (paper)

  11. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  12. AB stacked few layer graphene growth by chemical vapor deposition on single crystal Rh(1 1 1) and electronic structure characterization

    International Nuclear Information System (INIS)

    Kordatos, Apostolis; Kelaidis, Nikolaos; Giamini, Sigiava Aminalragia; Marquez-Velasco, Jose; Xenogiannopoulou, Evangelia; Tsipas, Polychronis; Kordas, George; Dimoulas, Athanasios

    2016-01-01

    Highlights: • Growth of non-defective few layer graphene on Rh(1 1 1) substrates using an ambient- pressure CVD method. • Control of graphene stacking order via the cool-down rate. • Graphene is grown with a mainly AB-stacking geometry on single-crystalline Rhodium for a slow cool-down rate and non-AB for a very fast cool-down. • Good epitaxial orientation of the surface is presented through the RHEED data and confirmed with ARPES characterization for the lower cool-down rate, where graphene's ΓK direction a perfectly aligned with the ΓK direction of the Rh(1 1 1) single crystal. - Abstract: Graphene synthesis on single crystal Rh(1 1 1) catalytic substrates is performed by Chemical Vapor Deposition (CVD) at 1000 °C and atmospheric pressure. Raman analysis shows full substrate coverage with few layer graphene. It is found that the cool-down rate strongly affects the graphene stacking order. When lowered, the percentage of AB (Bernal) -stacked regions increases, leading to an almost full AB stacking order. When increased, the percentage of AB-stacked graphene regions decreases to a point where almost a full non AB-stacked graphene is grown. For a slow cool-down rate, graphene with AB stacking order and good epitaxial orientation with the substrate is achieved. This is indicated mainly by Raman characterization and confirmed by Reflection high-energy electron diffraction (RHEED) imaging. Additional Scanning Tunneling Microscopy (STM) topography data confirm that the grown graphene is mainly an AB-stacked structure. The electronic structure of the graphene/Rh(1 1 1) system is examined by Angle resolved Photo-Emission Spectroscopy (ARPES), where σ and π bands of graphene, are observed. Graphene's ΓK direction is aligned with the ΓK direction of the substrate, indicating no significant contribution from rotated domains.

  13. AB stacked few layer graphene growth by chemical vapor deposition on single crystal Rh(1 1 1) and electronic structure characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kordatos, Apostolis [National Center for Scientific Research “Demokritos”, Athens, 15310 (Greece); Kelaidis, Nikolaos, E-mail: n.kelaidis@inn.demokritos.gr [National Center for Scientific Research “Demokritos”, Athens, 15310 (Greece); Giamini, Sigiava Aminalragia [National Center for Scientific Research “Demokritos”, Athens, 15310 (Greece); University of Athens, Department of Physics, Section of Solid State Physics, Athens, 15684 Greece (Greece); Marquez-Velasco, Jose [National Center for Scientific Research “Demokritos”, Athens, 15310 (Greece); National Technical University of Athens, Department of Physics, Athens, 15784 Greece (Greece); Xenogiannopoulou, Evangelia; Tsipas, Polychronis; Kordas, George; Dimoulas, Athanasios [National Center for Scientific Research “Demokritos”, Athens, 15310 (Greece)

    2016-04-30

    Highlights: • Growth of non-defective few layer graphene on Rh(1 1 1) substrates using an ambient- pressure CVD method. • Control of graphene stacking order via the cool-down rate. • Graphene is grown with a mainly AB-stacking geometry on single-crystalline Rhodium for a slow cool-down rate and non-AB for a very fast cool-down. • Good epitaxial orientation of the surface is presented through the RHEED data and confirmed with ARPES characterization for the lower cool-down rate, where graphene's ΓK direction a perfectly aligned with the ΓK direction of the Rh(1 1 1) single crystal. - Abstract: Graphene synthesis on single crystal Rh(1 1 1) catalytic substrates is performed by Chemical Vapor Deposition (CVD) at 1000 °C and atmospheric pressure. Raman analysis shows full substrate coverage with few layer graphene. It is found that the cool-down rate strongly affects the graphene stacking order. When lowered, the percentage of AB (Bernal) -stacked regions increases, leading to an almost full AB stacking order. When increased, the percentage of AB-stacked graphene regions decreases to a point where almost a full non AB-stacked graphene is grown. For a slow cool-down rate, graphene with AB stacking order and good epitaxial orientation with the substrate is achieved. This is indicated mainly by Raman characterization and confirmed by Reflection high-energy electron diffraction (RHEED) imaging. Additional Scanning Tunneling Microscopy (STM) topography data confirm that the grown graphene is mainly an AB-stacked structure. The electronic structure of the graphene/Rh(1 1 1) system is examined by Angle resolved Photo-Emission Spectroscopy (ARPES), where σ and π bands of graphene, are observed. Graphene's ΓK direction is aligned with the ΓK direction of the substrate, indicating no significant contribution from rotated domains.

  14. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  15. Epitaxial properties of ZnO thin films on SrTiO3 substrates grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wei, X. H.; Li, Y. R.; Zhu, J.; Huang, W.; Zhang, Y.; Luo, W. B.; Ji, H.

    2007-01-01

    Epitaxial ZnO thin films with different orientations have been grown by laser molecular beam epitaxy on (001)- (011)-, and (111)-orientated SrTiO 3 single-crystal substrates. The growth behavior was in situ monitored by reflection high-energy electron diffraction, and the epitaxial orientation relations were reconfirmed by ex situ x-ray diffraction measurements. In the case of ZnO on SrTiO 3 (001), four orthogonal domains coexisted in the ZnO epilayer, i.e., ZnO(110) parallel SrTiO 3 (001) and ZnO[-111] parallel SrTiO 3 . For (011)- and (111)-orientated substrates, single-domain epitaxy with c axial orientation was observed, in which the in-plane relationship was ZnO[110] parallel SrTiO 3 [110] irrespective of the substrate orientations. Additionally, the crystalline quality of ZnO on SrTiO 3 (111) was better than that of ZnO on SrTiO 3 (011) because of the same symmetry between the (111) substrates and (001) films. The obtained results can be attributed to the difference of the in-plane crystallographic symmetry. Furthermore, those alignments can be explained by the interface stress between the substrates and the films

  16. Incorporating isolated molybdenum (Mo) atoms into Bilayer Epitaxial Graphene on 4H-SiC(0001)

    Science.gov (United States)

    Huang, Han; Wan, Wen; Li, Hui; Wong, Swee Liang; Lv, Lu; Gao, Yongli; Wee, Andrew T. S.

    2014-03-01

    The atomic structures and electronic properties of isolated Mo atoms in bilayer epitaxial graphene (BLEG) on 4H-SiC(0001) are investigated by low temperature scanning tunneling microscopy (LT-STM). LT-STM results reveal that isolated Mo dopants prefer to substitute C atoms at α-sites, and preferentially locate between the graphene bilayers. First-principles calculations confirm that the embedding of single Mo dopants within BLEG is energetically favorable as compared to monolayer graphene. The calculated bandstructures show that Mo-doped BLEG is n-doped, and each Mo atom introduces a local magnetic moment of 1.81 μB. Our findings demonstrate a simple and stable method to incorporate single transition metal dopants into the graphene lattice to tune its electronic and magnetic properties for possible use in graphene spin devices. NRF-CRP (Singapore) grants R-143-000-360-281and R-144-000-295-281. ``Shenghua Professorship'' startup funding from CSU and the support from the NSF of China (Grant No.11304398).

  17. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  18. Effect of e-beam irradiation on graphene layer grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Iqbal, M. Z.; Kumar Singh, Arun; Iqbal, M. W.; Seo, Sunae; Eom, Jonghwa

    2012-01-01

    We have grown graphene by chemical vapor deposition (CVD) and transferred it onto Si/SiO 2 substrates to make tens of micron scale devices for Raman spectroscopy study. The effect of electron beam (e-beam) irradiation of various doses (600 to 12 000 μC/cm 2 ) on CVD grown graphene has been examined by using Raman spectroscopy. It is found that the radiation exposures result in the appearance of the strong disorder D band attributed the damage to the lattice. The evolution of peak frequencies, intensities, and widths of the main Raman bands of CVD graphene is analyzed as a function of defect created by e-beam irradiation. Especially, the D and G peak evolution with increasing radiation dose follows the amorphization trajectory, which suggests transformation of graphene to the nanocrystalline and then to amorphous form. We have also estimated the strain induced by e-beam irradiation in CVD graphene. These results obtained for CVD graphene are in line with previous findings reported for the mechanically exfoliated graphene [D. Teweldebrhan and A. A. Balandin, Appl. Phys. Lett. 94, 013101 (2009)]. The results have important implications for CVD graphene characterization and device fabrication, which rely on the electron microscopy.

  19. In situ observations of the atomistic mechanisms of Ni catalyzed low temperature graphene growth.

    Science.gov (United States)

    Patera, Laerte L; Africh, Cristina; Weatherup, Robert S; Blume, Raoul; Bhardwaj, Sunil; Castellarin-Cudia, Carla; Knop-Gericke, Axel; Schloegl, Robert; Comelli, Giovanni; Hofmann, Stephan; Cepek, Cinzia

    2013-09-24

    The key atomistic mechanisms of graphene formation on Ni for technologically relevant hydrocarbon exposures below 600 °C are directly revealed via complementary in situ scanning tunneling microscopy and X-ray photoelectron spectroscopy. For clean Ni(111) below 500 °C, two different surface carbide (Ni2C) conversion mechanisms are dominant which both yield epitaxial graphene, whereas above 500 °C, graphene predominantly grows directly on Ni(111) via replacement mechanisms leading to embedded epitaxial and/or rotated graphene domains. Upon cooling, additional carbon structures form exclusively underneath rotated graphene domains. The dominant graphene growth mechanism also critically depends on the near-surface carbon concentration and hence is intimately linked to the full history of the catalyst and all possible sources of contamination. The detailed XPS fingerprinting of these processes allows a direct link to high pressure XPS measurements of a wide range of growth conditions, including polycrystalline Ni catalysts and recipes commonly used in industrial reactors for graphene and carbon nanotube CVD. This enables an unambiguous and consistent interpretation of prior literature and an assessment of how the quality/structure of as-grown carbon nanostructures relates to the growth modes.

  20. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  1. Electronic and structural characterizations of unreconstructed {l_brace}0001{r_brace} surfaces and the growth of graphene overlayers

    Energy Technology Data Exchange (ETDEWEB)

    Emtsev, Konstantin

    2009-06-03

    The present work is focused on the characterization of the clean unreconstructed SiC{l_brace}0001{r_brace} surfaces and the growth of graphene overlayers thereon. Electronic properties of SiC surfaces and their interfaces with graphene and few layer graphene films were investigated by means of angle resolved photoelectron spectroscopy, X-ray photoelectron spectroscopy and low energy electron diffraction. Structural characterizations of the epitaxial graphene films grown on SiC were carried out by atomic force microscopy and low energy electron microscopy. Supplementary data was obtained by scanning tunneling microscopy. (orig.)

  2. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  3. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    Science.gov (United States)

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  4. The optical properties of transferred graphene and the dielectrics grown on it obtained by ellipsometry

    Science.gov (United States)

    Kasikov, Aarne; Kahro, Tauno; Matisen, Leonard; Kodu, Margus; Tarre, Aivar; Seemen, Helina; Alles, Harry

    2018-04-01

    Graphene layers grown by chemical vapour deposition (CVD) method and transferred from Cu-foils to the oxidized Si-substrates were investigated by spectroscopic ellipsometry (SE), Raman and X-Ray Photoelectron Spectroscopy (XPS) methods. The optical properties of transferred CVD graphene layers do not always correspond to the ones of the exfoliated graphene due to the contamination from the chemicals used in the transfer process. However, the real thickness and the mean properties of the transferred CVD graphene layers can be found using ellipsometry if a real thickness of the SiO2 layer is taken into account. The pulsed laser deposition (PLD) and atomic layer deposition (ALD) methods were used to grow dielectric layers on the transferred graphene and the obtained structures were characterized using optical methods. The approach demonstrated in this work could be useful for the characterization of various materials grown on graphene.

  5. Oxidation-assisted graphene heteroepitaxy on copper foil.

    Science.gov (United States)

    Reckinger, Nicolas; Tang, Xiaohui; Joucken, Frédéric; Lajaunie, Luc; Arenal, Raul; Dubois, Emmanuel; Hackens, Benoît; Henrard, Luc; Colomer, Jean-François

    2016-11-10

    We propose an innovative, easy-to-implement approach to synthesize aligned large-area single-crystalline graphene flakes by chemical vapor deposition on copper foil. This method doubly takes advantage of residual oxygen present in the gas phase. First, by slightly oxidizing the copper surface, we induce grain boundary pinning in copper and, in consequence, the freezing of the thermal recrystallization process. Subsequent reduction of copper under hydrogen suddenly unlocks the delayed reconstruction, favoring the growth of centimeter-sized copper (111) grains through the mechanism of abnormal grain growth. Second, the oxidation of the copper surface also drastically reduces the nucleation density of graphene. This oxidation/reduction sequence leads to the synthesis of aligned millimeter-sized monolayer graphene domains in epitaxial registry with copper (111). The as-grown graphene flakes are demonstrated to be both single-crystalline and of high quality.

  6. Wafer scale millimeter-wave integrated circuits based on epitaxial graphene in high data rate communication.

    Science.gov (United States)

    Habibpour, Omid; He, Zhongxia Simon; Strupinski, Wlodek; Rorsman, Niklas; Zirath, Herbert

    2017-02-01

    In recent years, the demand for high data rate wireless communications has increased dramatically, which requires larger bandwidth to sustain multi-user accessibility and quality of services. This can be achieved at millimeter wave frequencies. Graphene is a promising material for the development of millimeter-wave electronics because of its outstanding electron transport properties. Up to now, due to the lack of high quality material and process technology, the operating frequency of demonstrated circuits has been far below the potential of graphene. Here, we present monolithic integrated circuits based on epitaxial graphene operating at unprecedented high frequencies (80-100 GHz). The demonstrated circuits are capable of encoding/decoding of multi-gigabit-per-second information into/from the amplitude or phase of the carrier signal. The developed fabrication process is scalable to large wafer sizes.

  7. Wafer scale millimeter-wave integrated circuits based on epitaxial graphene in high data rate communication

    Science.gov (United States)

    Habibpour, Omid; He, Zhongxia Simon; Strupinski, Wlodek; Rorsman, Niklas; Zirath, Herbert

    2017-02-01

    In recent years, the demand for high data rate wireless communications has increased dramatically, which requires larger bandwidth to sustain multi-user accessibility and quality of services. This can be achieved at millimeter wave frequencies. Graphene is a promising material for the development of millimeter-wave electronics because of its outstanding electron transport properties. Up to now, due to the lack of high quality material and process technology, the operating frequency of demonstrated circuits has been far below the potential of graphene. Here, we present monolithic integrated circuits based on epitaxial graphene operating at unprecedented high frequencies (80-100 GHz). The demonstrated circuits are capable of encoding/decoding of multi-gigabit-per-second information into/from the amplitude or phase of the carrier signal. The developed fabrication process is scalable to large wafer sizes.

  8. Atomic and electronic structure of trilayer graphene/SiC(0001): Evidence of Strong Dependence on Stacking Sequence and charge transfer

    OpenAIRE

    Pierucci, Debora; Brumme, Thomas; Girard, Jean-Christophe; Calandra, Matteo; Silly, Mathieu G.; Sirotti, Fausto; Barbier, Antoine; Mauri, Francesco; Ouerghi, Abdelkarim

    2016-01-01

    International audience; The transport properties of few-layer graphene are the directly result of a peculiar band structure near the Dirac point. Here, for epitaxial graphene grown on SiC, we determine the effect of charge transfer from the SiC substrate on the local density of states (LDOS) of trilayer graphene using scaning tunneling microscopy/spectroscopy and angle resolved photoemission spectroscopy (ARPES). Different spectra are observed and are attributed to the existence of two stable...

  9. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  10. Dry transfer of chemical-vapor-deposition-grown graphene onto liquid-sensitive surfaces for tunnel junction applications

    International Nuclear Information System (INIS)

    Feng, Ying; Chen, Ke

    2015-01-01

    We report a dry transfer method that can tranfer chemical vapor deposition (CVD) grown graphene onto liquid-sensitive surfaces. The graphene grown on copper (Cu) foil substrate was first transferred onto a freestanding 4 μm thick sputtered Cu film using the conventional wet transfer process, followed by a dry transfer process onto the target surface using a polydimethylsiloxane stamp. The dry-transferred graphene has similar properties to traditional wet-transferred graphene, characterized by scanning electron microscopy, atomic force microscopy, Raman spectroscopy, and electrical transport measurements. It has a sheet resistance of 1.6 ∼ 3.4 kΩ/□, hole density of (4.1 ∼ 5.3) × 10 12 cm −2 , and hole mobility of 460 ∼ 760 cm 2 V −1 s −1 without doping at room temperature. The results suggest that large-scale CVD-grown graphene can be transferred with good quality and without contaminating the target surface by any liquid. Mg/MgO/graphene tunnel junctions were fabricated using this transfer method. The junctions show good tunneling characteristics, which demonstrates the transfer technique can also be used to fabricate graphene devices on liquid-sensitive surfaces. (paper)

  11. Electrical, luminescent, and deep trap properties of Si doped n-GaN grown by pendeo epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Polyakov, A. Y. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Smirnov, N. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Rare Metals, B. Tolmachevsky, 5, Moscow 119017 (Russian Federation); Yakimov, E. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Microelectronics Technology and High Purity Materials, Russian Academy of Science, 6, Academician Ossipyan str., Chernogolovka, Moscow Region 142432 (Russian Federation); Lee, In-Hwan, E-mail: ihlee@jbnu.ac.kr [School of Advanced Materials Engineering and Research Center of Advanced Materials Development, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Pearton, S. J. [University of Florida, Gainesville, Florida 32611 (United States)

    2016-01-07

    Electrical and luminescent properties and deep trap spectra of Si doped GaN films grown by maskless epitaxial lateral overgrowth (MELO) are reported. The dislocation density in the wing region of the structure was 10{sup 6 }cm{sup −2}, while in the seed region it was 10{sup 8 }cm{sup −2}. The major electron traps present had activation energy of 0.56 eV and concentrations in the high 10{sup 15 }cm{sup −3} range. A comparison of diffusion length values and 0.56 eV trap concentration in MELO GaN and epitaxial lateral overgrowth (ELOG) GaN showed a good correlation, suggesting these traps could be effective in carrier recombination. The doped MELO films were more uniform in their electrical properties than either ELOG films or undoped MELO films. We also discuss the differences in deep trap spectra and luminescence spectra of low-dislocation-density MELO, ELOG, and bulk n-GaN samples grown by hydride vapor phase epitaxy. It is suggested that the observed differences could be caused by the differences in oxygen and carbon contamination levels.

  12. Mini array of quantum Hall devices based on epitaxial graphene

    International Nuclear Information System (INIS)

    Novikov, S.; Lebedeva, N.; Hämäläinen, J.; Iisakka, I.; Immonen, P.; Manninen, A. J.; Satrapinski, A.

    2016-01-01

    Series connection of four quantum Hall effect (QHE) devices based on epitaxial graphene films was studied for realization of a quantum resistance standard with an up-scaled value. The tested devices showed quantum Hall plateaux R H,2 at a filling factor v = 2 starting from a relatively low magnetic field (between 4 T and 5 T) when the temperature was 1.5 K. The precision measurements of quantized Hall resistance of four QHE devices connected by triple series connections and external bonding wires were done at B = 7 T and T = 1.5 K using a commercial precision resistance bridge with 50 μA current through the QHE device. The results showed that the deviation of the quantized Hall resistance of the series connection of four graphene-based QHE devices from the expected value of 4×R H,2  = 2 h/e 2 was smaller than the relative standard uncertainty of the measurement (<1 × 10 −7 ) limited by the used resistance bridge.

  13. Symmetry Breaking in Few Layer Graphene Films

    Energy Technology Data Exchange (ETDEWEB)

    Bostwick, A.; Ohta, T.; McChesney, J.L.; Emtsev, K.; Seyller,Th.; Horn, K.; Rotenberg, E.

    2007-05-25

    Recently, it was demonstrated that the quasiparticledynamics, the layer-dependent charge and potential, and the c-axisscreening coefficient could be extracted from measurements of thespectral function of few layer graphene films grown epitaxially on SiCusing angle-resolved photoemission spectroscopy (ARPES). In this articlewe review these findings, and present detailed methodology for extractingsuch parameters from ARPES. We also present detailed arguments againstthe possibility of an energy gap at the Dirac crossing ED.

  14. Clean and polymer-free transfer of CVD-grown graphene films on hexagonal boron nitride substrates

    Science.gov (United States)

    Fujihara, Miho; Ogawa, Shun; Yoshimura, Shintaro; Inoue, Ryosuke; Maniwa, Yutaka; Taniguchi, Takashi; Watanabe, Kenji; Shinohara, Hisanori; Miyata, Yasumitsu

    2017-05-01

    This report describes the development of a solution-assisted, polymer-free transfer method and the characterization of chemical vapor deposition (CVD)-grown graphene on hexagonal boron nitride. Raman analysis reveals that polymer-free samples have small variations in G- and 2D-mode Raman frequencies and are minimally affected by charge doping as observed for clean exfoliated graphene. Electrical measurements indicate that charge doping, hysteresis, and carrier scattering are suppressed in polymer-free samples. The results demonstrate that this method provides a simple and effective way to prepare clean heterostructures of CVD-grown, large-area graphene and other two-dimensional materials.

  15. Graphene plasmonic nanogratings for biomolecular sensing in liquid

    Science.gov (United States)

    Chorsi, Meysam T.; Chorsi, Hamid T.

    2017-12-01

    We design a surface plasmon resonance (SPR) molecular sensor based on graphene and biomolecule adsorption at graphene-liquid interfaces. The sensor configuration consists of two opposing arrays of graphene nanograting mounted on a substrate, with a liquid-phase sensing medium confined between them. We characterize the design in simulation on a variety of substrates by altering the refractive index of the sensing medium and varying the absorbance-transmittance characteristics. The influence of various parameters on the biosensor's performance, including the Fermi level of graphene, the dielectric constant of the substrate, and the incident angle for plasmon excitation, is investigated. Numerical simulations demonstrate the sensitivity higher than 3000 nm/RIU (refractive index unit). The device supports a wide range of substrates in which graphene can be epitaxially grown. The proposed biosensor works independent of the incident angle and can be tuned to cover a broadband wavelength range.

  16. Selective Epitaxy of InP on Si and Rectification in Graphene/InP/Si Hybrid Structure.

    Science.gov (United States)

    Niu, Gang; Capellini, Giovanni; Hatami, Fariba; Di Bartolomeo, Antonio; Niermann, Tore; Hussein, Emad Hameed; Schubert, Markus Andreas; Krause, Hans-Michael; Zaumseil, Peter; Skibitzki, Oliver; Lupina, Grzegorz; Masselink, William Ted; Lehmann, Michael; Xie, Ya-Hong; Schroeder, Thomas

    2016-10-12

    The epitaxial integration of highly heterogeneous material systems with silicon (Si) is a central topic in (opto-)electronics owing to device applications. InP could open new avenues for the realization of novel devices such as high-mobility transistors in next-generation CMOS or efficient lasers in Si photonics circuitry. However, the InP/Si heteroepitaxy is highly challenging due to the lattice (∼8%), thermal expansion mismatch (∼84%), and the different lattice symmetries. Here, we demonstrate the growth of InP nanocrystals showing high structural quality and excellent optoelectronic properties on Si. Our CMOS-compatible innovative approach exploits the selective epitaxy of InP nanocrystals on Si nanometric seeds obtained by the opening of lattice-arranged Si nanotips embedded in a SiO 2 matrix. A graphene/InP/Si-tip heterostructure was realized on obtained materials, revealing rectifying behavior and promising photodetection. This work presents a significant advance toward the monolithic integration of graphene/III-V based hybrid devices onto the mainstream Si technology platform.

  17. Microscopic characterisation of suspended graphene grown by chemical vapour deposition

    NARCIS (Netherlands)

    Bignardi, L.; Dorp, W.F. van; Gottardi, S.; Ivashenko, O.; Dudin, P.; Barinov, A.; de Hosson, J.T.M.; Stöhr, M.; Rudolf, P.

    2013-01-01

    We present a multi-technique characterisation of graphene grown by chemical vapour deposition (CVD) and thereafter transferred to and suspended on a grid for transmission electron microscopy (TEM). The properties of the electronic band structure are investigated by angle-resolved photoelectron

  18. Stacking-dependent electronic property of trilayer graphene epitaxially grown on Ru(0001)

    Energy Technology Data Exchange (ETDEWEB)

    Que, Yande; Xiao, Wende, E-mail: wdxiao@iphy.ac.cn, E-mail: hjgao@iphy.ac.cn; Chen, Hui; Wang, Dongfei; Du, Shixuan; Gao, Hong-Jun, E-mail: wdxiao@iphy.ac.cn, E-mail: hjgao@iphy.ac.cn [Institute of Physics and University of Chinese Academy of Sciences, Chinese Academy of Sciences, Beijing 100190 (China)

    2015-12-28

    The growth, atomic structure, and electronic property of trilayer graphene (TLG) on Ru(0001) were studied by low temperature scanning tunneling microscopy and spectroscopy in combined with tight-binding approximation (TBA) calculations. TLG on Ru(0001) shows a flat surface with a hexagonal lattice due to the screening effect of the bottom two layers and the AB-stacking in the top two layers. The coexistence of AA- and AB-stacking in the bottom two layers leads to three different stacking orders of TLG, namely, ABA-, ABC-, and ABB-stacking. STS measurements combined with TBA calculations reveal that the density of states of TLG with ABC- and ABB-stacking is characterized by one and two sharp peaks near to the Fermi level, respectively, in contrast to the V-shaped feature of TLG with ABA-stacking. Our work demonstrates that TLG on Ru(0001) might be an ideal platform for exploring stacking-dependent electronic properties of graphene.

  19. Growth of bi- and tri-layered graphene on silicon carbide substrate via molecular dynamics simulation

    Energy Technology Data Exchange (ETDEWEB)

    Min, Tjun Kit; Yoon, Tiem Leong [School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Lim, Thong Leng [Faculty of Engineering and Technology, Multimedia University, Melaka Campus, 75450 Melaka (Malaysia)

    2015-04-24

    Molecular dynamics (MD) simulation with simulated annealing method is used to study the growth process of bi- and tri-layered graphene on a 6H-SiC (0001) substrate via molecular dynamics simulation. Tersoff-Albe-Erhart (TEA) potential is used to describe the inter-atomic interactions among the atoms in the system. The formation temperature, averaged carbon-carbon bond length, pair correlation function, binding energy and the distance between the graphene formed and the SiC substrate are quantified. The growth mechanism, graphitization of graphene on the SiC substrate and characteristics of the surface morphology of the graphene sheet obtained in our MD simulation compare well to that observed in epitaxially grown graphene experiments and other simulation works.

  20. P-N junction solar cell grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hazrati Fard, M.

    2001-01-01

    Growth of GaAs epilayers by Molecular Beam Epitaxy was accomplished for the first time in Iran. The layers were grown on GaAs (001) substrates (p+ wafer) with Si impurity for p n junction solar cell fabrication at a rate of nearly one micron per hour and 0.25 micron per quarter. Crystalline quality of grown layers had been monitored during growth by Reflection High Energy Electron Diffraction system. Doping profile and layer thickness was assessed by electrochemical C-V profiling method. Then Hall measurements were conducted on small samples both in room temperature and liquid nitrogen temperature so giving average carrier concentration and compensation ratio. The results as like: V oc , I sc , F F, η were comparable with other laboratory reports. information for obtaining good and repeatable growths was collected. Therefore, the conditions of repeatable quality growth p n junction solar cells onto GaAs (001) substrates were determined

  1. Bromine doping of CdTe and CdMnTe epitaxial layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Waag, A. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Scholl, S. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Schierstedt, K. von (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Hommel, D. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Landwehr, G. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Bilger, G. (Zentrum fuer Sonnenenergie und Wasserstoff-Forschung, Stuttgart (Germany))

    1993-03-01

    We report on the n-type doping of CdTe and CdMnTe with bormine as a novel dopant material. /the thin films were grown by molecular beam epitaxy. ZnBr[sub 2] was used as a source material for the n-type doping. Free carrier concentrations at room temperature of up to 2.8x10[sup 18] cm[sup -3] could be readily obtained for both CdTe as well as CdMnTe thin films with Mn concentrations below 10%. This is to our knowledge the highest value ever obtained for the dilute magnetic semiconductor CdMnTe. For ZnBr[sub 2] source temperatures up to 60 C - corresponding to a free carrier concentration of (2-3)x10[sup 18] cm[sup -3] - the free carrier concentration of the epitaxial film increases with ZnBr[sub 2] source temperature. For higher ZnBr[sub 2] source temperatures compensation becomes dominant, which is indicated by a steep decrease of the free carrier concentration with increasing ZnBr[sub 2] source temperature. In addition the carrier mobility decreases drastically for such high dopant fluxes. A model of bromine incorporation is proposed. (orig.)

  2. Raman Studies on Pre- and Post-Processed CVD Graphene Films Grown under Various Nitrogen Carrier Gas Flows

    Science.gov (United States)

    Beh, K. P.; Yam, F. K.; Abdalrheem, Raed; Ng, Y. Z.; Suhaimi, F. H. A.; Lim, H. S.; Mat Jafri, M. Z.

    2018-04-01

    In this work, graphene films were grown on copper substrates using chemical vapour deposition method under various N2 carrier flow rate. The samples were characterized using Raman spectroscopy. Three sets of Raman measurements have been performed: graphene/Cu (as-grown samples), pre-annealed graphene/glass, and post-annealed graphene/glass. It was found that the Raman spectra of graphene/Cu samples possessed a hump-shaped baseline, additionally higher signal-to-noise ratio (SNR) that leads to attenuation graphene-related bands. Significant improvement of SNR and flat baseline were observed for graphene films transferred on glass substrate. Further analysis on the remaining sets of Raman spectra highlighted minute traces of polymethyl methacrylate (PMMA) could yield misleading results. Hence, the set of Raman spectra on annealed graphene/glass samples would be suitable in further elucidating the effects of N2 carrier flow towards graphene growth. From there, higher N2 flow implied dilution of methanol/H2 mixture, limiting interactions between reactants and substrate. This leads to smaller crystallite size and lesser graphene layers.

  3. Facile electrochemical transfer of large-area single crystal epitaxial graphene from Ir(1 1 1)

    DEFF Research Database (Denmark)

    Koefoed, Line; Kongsfelt, Mikkel; Ulstrup, Søren

    2015-01-01

    they are grown on (diameter ≈7 mm). In addition, the substrate can be reused for further growth cycles. A detailed Raman map analysis of the transferred graphene reveals straight lines, in which the Raman peaks characteristic for graphene are shifted. These lines originate from scratches in the Ir(1 1 1) crystal...

  4. Control of the graphene growth rate on capped SiC surface under strong Si confinement

    International Nuclear Information System (INIS)

    Çelebi, C.; Yanık, C.; Demirkol, A.G.; Kaya, İsmet İ.

    2013-01-01

    Highlights: ► Graphene is grown on capped SiC surface with well defined cavity size. ► Graphene growth rate linearly increases with the cavity height. ► Graphene uniformity is reduced with thickness. - Abstract: The effect of the degree of Si confinement on the thickness and morphology of UHV grown epitaxial graphene on (0 0 0 −1) SiC is investigated by using atomic force microscopy and Raman spectroscopy measurements. Prior to the graphene growth process, the C-face surface of a SiC substrate is capped by another SiC comprising three cavities on its Si-rich surface with depths varying from 0.5 to 2 microns. The Si atoms, thermally decomposed from the sample surface during high temperature annealing of the SiC cap /SiC sample stack, are separately trapped inside these individual cavities at the sample/cap interface. Our analyses show that the growth rate linearly increases with the cavity height. It was also found that stronger Si confinement yields more uniform graphene layers.

  5. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  6. Epitaxially Grown Films of Standing and Lying Pentacene Molecules on Cu(110) Surfaces

    Science.gov (United States)

    2011-01-01

    Here, it is shown that pentacene thin films (30 nm) with distinctively different crystallographic structures and molecular orientations can be grown under essentially identical growth conditions in UHV on clean Cu(110) surfaces. By X-ray diffraction, we show that the epitaxially oriented pentacene films crystallize either in the “thin film” phase with standing molecules or in the “single crystal” structure with molecules lying with their long axes parallel to the substrate. The morphology of the samples observed by atomic force microscopy shows an epitaxial alignment of pentacene crystallites, which corroborates the molecular orientation observed by X-ray diffraction pole figures. Low energy electron diffraction measurements reveal that these dissimilar growth behaviors are induced by subtle differences in the monolayer structures formed by slightly different preparation procedures. PMID:21479111

  7. Efficient etching-free transfer of high quality, large-area CVD grown graphene onto polyvinyl alcohol films

    International Nuclear Information System (INIS)

    Marta, Bogdan; Leordean, Cosmin; Istvan, Todor; Botiz, Ioan; Astilean, Simion

    2016-01-01

    Graphical abstract: - Highlights: • One-step dry transfer method of CVD grown graphene onto PVA films. • Investigation of graphene quality and number of layers of the synthesized and transferred graphene. • Promising scalability and good quality of transferred graphene onto flexible transparent polymers. - Abstract: Graphene transfer is a procedure of paramount importance for the production of graphene-based electronic devices. The transfer procedure can affect the electronic properties of the transferred graphene and can be detrimental for possible applications both due to procedure induced defects which can appear and due to scalability of the method. Hence, it is important to investigate new transfer methods for graphene that are less time consuming and show great promise. In the present study we propose an efficient, etching-free transfer method that consists in applying a thin polyvinyl alcohol layer on top of the CVD grown graphene on Cu and then peeling-off the graphene onto the polyvinyl alcohol film. We investigate the quality of the transferred graphene before and after the transfer, using Raman spectroscopy and imaging as well as optical and atomic force microscopy techniques. This simple transfer method is scalable and can lead to complete transfer of graphene onto flexible and transparent polymer support films without affecting the quality of the graphene during the transfer procedure.

  8. Efficient etching-free transfer of high quality, large-area CVD grown graphene onto polyvinyl alcohol films

    Energy Technology Data Exchange (ETDEWEB)

    Marta, Bogdan; Leordean, Cosmin [Babes-Bolyai University, Interdisciplinary Research Institute in Bio-Nano-Sciences, Nanobiophotonics and Laser Microspectroscopy Center, Treboniu Laurian Str. 42, Cluj-Napoca 400271 (Romania); Istvan, Todor [Babes-Bolyai University, Faculty of Physics, Biomolecular Physics Department, M Kogalniceanu Str. 1, Cluj-Napoca 400084 (Romania); Botiz, Ioan [Babes-Bolyai University, Interdisciplinary Research Institute in Bio-Nano-Sciences, Nanobiophotonics and Laser Microspectroscopy Center, Treboniu Laurian Str. 42, Cluj-Napoca 400271 (Romania); Astilean, Simion, E-mail: simion.astilean@phys.ubbcluj.ro [Babes-Bolyai University, Interdisciplinary Research Institute in Bio-Nano-Sciences, Nanobiophotonics and Laser Microspectroscopy Center, Treboniu Laurian Str. 42, Cluj-Napoca 400271 (Romania); Babes-Bolyai University, Faculty of Physics, Biomolecular Physics Department, M Kogalniceanu Str. 1, Cluj-Napoca 400084 (Romania)

    2016-02-15

    Graphical abstract: - Highlights: • One-step dry transfer method of CVD grown graphene onto PVA films. • Investigation of graphene quality and number of layers of the synthesized and transferred graphene. • Promising scalability and good quality of transferred graphene onto flexible transparent polymers. - Abstract: Graphene transfer is a procedure of paramount importance for the production of graphene-based electronic devices. The transfer procedure can affect the electronic properties of the transferred graphene and can be detrimental for possible applications both due to procedure induced defects which can appear and due to scalability of the method. Hence, it is important to investigate new transfer methods for graphene that are less time consuming and show great promise. In the present study we propose an efficient, etching-free transfer method that consists in applying a thin polyvinyl alcohol layer on top of the CVD grown graphene on Cu and then peeling-off the graphene onto the polyvinyl alcohol film. We investigate the quality of the transferred graphene before and after the transfer, using Raman spectroscopy and imaging as well as optical and atomic force microscopy techniques. This simple transfer method is scalable and can lead to complete transfer of graphene onto flexible and transparent polymer support films without affecting the quality of the graphene during the transfer procedure.

  9. Insitu CCVD grown bilayer graphene transistors for applications in nanoelectronics

    International Nuclear Information System (INIS)

    Wessely, Pia Juliane; Schwalke, Udo

    2014-01-01

    We invented a method to fabricate graphene field effect transistors (GFETs) on oxidized silicon wafers in a Silicon CMOS compatible process. The graphene layers needed are grown in situ by means of a transfer-free catalytic chemical vapor deposition (CCVD) process directly on silicon dioxide. Depending on the process parameters the fabrication of single, double or multi-layer graphene FETs (GFETs) is possible. The produced graphene layers have been characterized by SEM, TEM, TEM-lattice analysis as well as Raman-Spectroscopy. Directly after growth, the fabricated GFETs are electrically functional and can be electrically characterized via the catalyst metals which are used as contact electrodes. In contrast to monolayer graphene FETs, the fabricated bilayer graphene FETs (BiLGFETs) exhibit unipolar p-type MOSFET behavior. Furthermore, the on/off current-ratio of 10 4 up to several 10 7 at room temperature of the fabricated BiLGFETs allows their use in digital logic applications [1]. In addition, a stable hysteresis of the GFETs enables their use as memory devices without the need of storage capacitors and therefore very high memory device-densities are possible. The whole fabrication process is fully Si-CMOS compatible, enabling the use of hybrid silicon/graphene electronics.

  10. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    Science.gov (United States)

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Rare-earth-ion doped KY(WO4)2 optical waveguides grown by liquid-phase epitaxy

    NARCIS (Netherlands)

    Romanyuk, Y.E.; Apostolopoulos, V.; Utke, U.; Pollnau, Markus

    High-quality KY(WO4)2 thin layers doped with rare-earth-ions were grown using liquid-phase epitaxy. A low-temperature mixture of chlorides was used as the flux and undoped KY(WO4)2 crystals as substrates. The crystalline layers possessed thicknesses up to 10 µm. Passive and active planar waveguiding

  12. A new direct growth method of graphene on Si-face of 6H-SiC by synergy of the inner and external carbon sources

    Science.gov (United States)

    Yang, Zhiyuan; Xu, Shicai; Zhao, Lili; Zhang, Jing; Wang, Zhengping; Chen, Xiufang; Cheng, Xiufeng; Yu, Fapeng; Zhao, Xian

    2018-04-01

    Graphene is a promising two-dimensional material that has possible application in various disciplines, due to its super properties, including high carrier mobility, chemical stability, and optical transparency etc. In this paper, we report an inner and external carbon synergy (IECS) method to grow graphene on Si-face of 6H-SiC. This method combined the advantages of chemical vapor deposition (CVD) and traditional epitaxial growth (EG) based on silicon carbide, which providing a feasible approach for growing graphene on the SiC substrates. The graphene was synthesized within just 3 min, which was more than one order of magnitude faster than the graphene grown on 6H-SiC substrates by the traditional EG method. The growth temperature was ∼200 °C lower than the EG process. The directly grown graphene maintained the compatibility with the semiconductor technique, which is benefit for use in graphene-based microelectronic devices.

  13. Photoluminescence characteristics of Pb-doped, molecular-beam-epitaxy grown ZnSe crystal layers

    International Nuclear Information System (INIS)

    Mita, Yoh; Kuronuma, Ryoichi; Inoue, Masanori; Sasaki, Shoichiro; Miyamoto, Yoshinobu

    2004-01-01

    The characteristic green photoluminescence emission and related phenomena in Pb-doped, molecular-beam-epitaxy (MBE)-grown ZnSe crystal layers were investigated to explore the nature of the center responsible for the green emission. The intensity of the green emission showed a distinct nonlinear dependence on excitation intensity. Pb-diffused polycrystalline ZnSe was similarly examined for comparison. The characteristic green emission has been observed only in MBE-grown ZnSe crystal layers with moderate Pb doping. The results of the investigations on the growth conditions, luminescence, and related properties of the ZnSe crystal layers suggest that the green emission is due to isolated Pb replacing Zn and surrounded with regular ZnSe lattice with a high perfection

  14. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  15. Properties of Hg1-xCdxTe epitaxial films grown on (211)CdTe and (211)CdZnTe

    International Nuclear Information System (INIS)

    Di Stefano, M.C.; Gilabert, U.; Heredia, E.; Trigubo, A.B.

    2004-01-01

    Hg 1-x Cd x Te (MCT) epitaxial films have been grown employing single crystalline substrates of CdTe and Cd 0.96 Zn 0.04 Te with (211)Cd and (211)Te crystalline orientations. The Isothermal Vapor Phase Epitaxy (ISOVPE) technique without Hg overpressure has been used for the epitaxial growth. Substrates and films were characterized by optical microscopy, chemical etching and X ray diffraction (Laue technique). The electrical properties were determined by Hall effect measurements. The characterization results allowed to evaluate the crystalline quality of MCT films. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Observing hot carrier distribution in an n-type epitaxial graphene on a SiC substrate

    International Nuclear Information System (INIS)

    Someya, T.; Ishida, Y.; Yoshida, R.; Iimori, T.; Yukawa, R.; Akikubo, K.; Yamamoto, Sh.; Yamamoto, S.; Kanai, T.; Itatani, J.; Komori, F.; Shin, S.; Matsuda, I.; Fukidome, H.; Funakubo, K.; Suemitsu, M.; Yamamoto, T.

    2014-01-01

    Hot carrier dynamics in the Dirac band of n-type epitaxial graphene on a SiC substrate were traced in real time using femtosecond-time-resolved photoemission spectroscopy. The spectral evolution directly reflects the energetically linear density of states superimposed with a Fermi–Dirac distribution. The relaxation time is governed by the internal energy dissipation of electron–electron scattering, and the observed electronic temperature indicates cascade carrier multiplication

  17. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  18. Extended defects in epitaxial Sc2O3 films grown on (111) Si

    International Nuclear Information System (INIS)

    Klenov, Dmitri O.; Edge, Lisa F.; Schlom, Darrell G.; Stemmer, Susanne

    2005-01-01

    Epitaxial Sc 2 O 3 films with the cubic bixbyite structure were grown on (111) Si by reactive molecular beam epitaxy. High-resolution transmission electron microscopy (HRTEM) revealed an abrupt, reaction-layer free interface between Sc 2 O 3 and Si. The ∼10% lattice mismatch between Si and Sc 2 O 3 was relieved by the formation of a hexagonal misfit dislocation network with Burgers vectors of 1/2 Si and line directions parallel to Si . A high density of planar defects and threading dislocations was observed. Analysis of lattice shifts across the planar defects in HRTEM showed that these faults were likely antiphase boundaries (APBs). ABPs form when film islands coalesce during growth because films nucleate with no unique arrangement of the ordered oxygen vacancies in the bixbyite structure relative to the Si lattice

  19. Low Temperature CVD Grown Graphene for Highly Selective Gas Sensors Working under Ambient Conditions

    NARCIS (Netherlands)

    Ricciardella, F.; Vollebregt, S.; Polichetti, T.; Alfano, B.; Massera, E.; Sarro, P.M.

    2017-01-01

    In this paper we report on gas sensors based on graphene grown by Chemical Vapor Deposition at 850 °C. Mo was used as catalyst for graphene nucleation. Resistors were directly designed on pre-patterned Mo using the transfer-free process we recently developed, thus avoiding films damage during the

  20. Epitaxially Grown Layered MFI–Bulk MFI Hybrid Zeolitic Materials

    KAUST Repository

    Kim, Wun-gwi

    2012-11-27

    The synthesis of hybrid zeolitic materials with complex micropore-mesopore structures and morphologies is an expanding area of recent interest for a number of applications. Here we report a new type of hybrid zeolite material, composed of a layered zeolite material grown epitaxially on the surface of a bulk zeolite material. Specifically, layered (2-D) MFI sheets were grown on the surface of bulk MFI crystals of different sizes (300 nm and 10 μm), thereby resulting in a hybrid material containing a unique morphology of interconnected micropores (∼0.55 nm) and mesopores (∼3 nm). The structure and morphology of this material, referred to as a "bulk MFI-layered MFI" (BMLM) material, was elucidated by a combination of XRD, TEM, HRTEM, SEM, TGA, and N2 physisorption techniques. It is conclusively shown that epitaxial growth of the 2-D layered MFI sheets occurs in at least two principal crystallographic directions of the bulk MFI crystal and possibly in the third direction as well. The BMLM material combines the properties of bulk MFI (micropore network and mechanical support) and 2-D layered MFI (large surface roughness, external surface area, and mesoporosity). As an example of the uses of the BMLM material, it was incorporated into a polyimide and fabricated into a composite membrane with enhanced permeability for CO2 and good CO2/CH4 selectivity for gas separations. SEM-EDX imaging and composition analysis showed that the polyimide and the BMLM interpenetrate into each other, thereby forming a well-adhered polymer/particle microstructure, in contrast with the defective interfacial microstructure obtained using bare MFI particles. Analysis of the gas permeation data with the modified Maxwell model also allows the estimation of the effective volume of the BMLM particles, as well as the CO2 and CH4 gas permeabilities of the interpenetrated layer at the BMLM/polyimide interface. © 2012 American Chemical Society.

  1. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.; Hsu, W.; James, J.; Onyegam, E. U.; Guchhait, S.; Banerjee, S. K.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm

  2. Graphene enhanced field emission from InP nanocrystals.

    Science.gov (United States)

    Iemmo, L; Di Bartolomeo, A; Giubileo, F; Luongo, G; Passacantando, M; Niu, G; Hatami, F; Skibitzki, O; Schroeder, T

    2017-12-08

    We report the observation of field emission (FE) from InP nanocrystals (NCs) epitaxially grown on an array of p-Si nanotips. We prove that FE can be enhanced by covering the InP NCs with graphene. The measurements are performed inside a scanning electron microscope chamber with a nano-controlled W-thread used as an anode. We analyze the FE by Fowler-Nordheim theory and find that the field enhancement factor increases monotonically with the spacing between the anode and the cathode. We also show that InP/p-Si junction has a rectifying behavior, while graphene on InP creates an ohmic contact. Understanding the fundamentals of such nanojunctions is key for applications in nanoelectronics.

  3. Electrochemical properties of seamless three-dimensional carbon nanotubes-grown graphene modified with horseradish peroxidase.

    Science.gov (United States)

    Komori, Kikuo; Terse-Thakoor, Trupti; Mulchandani, Ashok

    2016-10-01

    Horseradish peroxidase (HRP) was immobilized through sodium dodecyl sulfate (SDS) on the surface of a seamless three-dimensional hybrid of carbon nanotubes grown at the graphene surface (HRP-SDS/CNTs/G) and its electrochemical properties were investigated. Compared with graphene alone electrode modified with HRP via SDS (HRP-SDS/G electrode), the surface coverage of electroactive HRP at the CNTs/G electrode surface was approximately 2-fold greater because of CNTs grown at the graphene surface. Based on the increase in the surface coverage of electroactive HRP, the sensitivity to H2O2 at the HRP-SDS/CNTs/G electrode was higher than that at the HRP-SDS/G electrode. The kinetics of the direct electron transfer from the CNTs/G electrode to compound I and II of modified HRP was also analyzed. Copyright © 2016 Elsevier B.V. All rights reserved.

  4. Reducing the layer number of AB stacked multilayer graphene grown on nickel by annealing at low temperature.

    Science.gov (United States)

    Velasco, J Marquez; Giamini, S A; Kelaidis, N; Tsipas, P; Tsoutsou, D; Kordas, G; Raptis, Y S; Boukos, N; Dimoulas, A

    2015-10-09

    Controlling the number of layers of graphene grown by chemical vapor deposition is crucial for large scale graphene application. We propose here an etching process of graphene which can be applied immediately after growth to control the number of layers. We use nickel (Ni) foil at high temperature (T = 900 °C) to produce multilayer-AB-stacked-graphene (MLG). The etching process is based on annealing the samples in a hydrogen/argon atmosphere at a relatively low temperature (T = 450 °C) inside the growth chamber. The extent of etching is mainly controlled by the annealing process duration. Using Raman spectroscopy we demonstrate that the number of layers was reduced, changing from MLG to few-layer-AB-stacked-graphene and in some cases to randomly oriented few layer graphene near the substrate. Furthermore, our method offers the significant advantage that it does not introduce defects in the samples, maintaining their original high quality. This fact and the low temperature our method uses make it a good candidate for controlling the layer number of already grown graphene in processes with a low thermal budget.

  5. Optical Properties of a Quantum Dot-Ring System Grown Using Droplet Epitaxy.

    Science.gov (United States)

    Linares-García, Gabriel; Meza-Montes, Lilia; Stinaff, Eric; Alsolamy, S M; Ware, M E; Mazur, Y I; Wang, Z M; Lee, Jihoon; Salamo, G J

    2016-12-01

    Electronic and optical properties of InAs/GaAs nanostructures grown by the droplet epitaxy method are studied. Carrier states were determined by k · p theory including effects of strain and In gradient concentration for a model geometry. Wavefunctions are highly localized in the dots. Coulomb and exchange interactions are studied and we found the system is in the strong confinement regime. Microphotoluminescence spectra and lifetimes were calculated and compared with measurements performed on a set of quantum rings in a single sample. Some features of spectra are in good agreement.

  6. Microstructure of Co/X (X=Cu,Ag,Au) epitaxial thin films grown on Al2O3(0001) substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Akita, Yuta; Futamoto, Masaaki; Kirino, Fumiyoshi

    2007-01-01

    Epitaxial thin films of Co/X (X=Cu,Ag,Au) were prepared on Al 2 O 3 (0001) substrates at substrate temperatures of 100 and 300 degree sign C by UHV molecular beam epitaxy. A complicated microstructure was realized for the epitaxial thin films. In-situ reflection high-energy electron diffraction observation has shown that X atoms of the buffer layer segregated to the surface during Co layer deposition, and it yielded a unique epitaxial granular structure. The structure consists of small Co grains buried in the X buffer layer, where both the magnetic small Co grains and the nonmagnetic X layer are epitaxially grown on the single crystal substrate. The structure varied depending on the X element and the substrate temperature. The crystal structure of Co grains is influenced by the buffer layer material and determined to be hcp and fcc structures for the buffer layer materials of Au and Cu, respectively

  7. Vertical heterostructures of MoS2 and graphene nanoribbons grown by two-step chemical vapor deposition for high-gain photodetectors.

    Science.gov (United States)

    Yunus, Rozan Mohamad; Endo, Hiroko; Tsuji, Masaharu; Ago, Hiroki

    2015-10-14

    Heterostructures of two-dimensional (2D) layered materials have attracted growing interest due to their unique properties and possible applications in electronics, photonics, and energy. Reduction of the dimensionality from 2D to one-dimensional (1D), such as graphene nanoribbons (GNRs), is also interesting due to the electron confinement effect and unique edge effects. Here, we demonstrate a bottom-up approach to grow vertical heterostructures of MoS2 and GNRs by a two-step chemical vapor deposition (CVD) method. Single-layer GNRs were first grown by ambient pressure CVD on an epitaxial Cu(100) film, followed by the second CVD process to grow MoS2 over the GNRs. The MoS2 layer was found to grow preferentially on the GNR surface, while the coverage could be further tuned by adjusting the growth conditions. The MoS2/GNR nanostructures show clear photosensitivity to visible light with an optical response much higher than that of a 2D MoS2/graphene heterostructure. The ability to grow a novel 1D heterostructure of layered materials by a bottom-up CVD approach will open up a new avenue to expand the dimensionality of the material synthesis and applications.

  8. Nanomechanical mapping of graphene layers and interfaces in suspended graphene nanostructures grown via carbon diffusion

    Energy Technology Data Exchange (ETDEWEB)

    Robinson, B.J. [Department of Physics, Lancaster University, Lancaster LA1 4YB (United Kingdom); Rabot, C. [CEA-LETI-Minatec Campus, 17 rue des Martyrs, 38054 Grenoble Cedex 09 (France); Mazzocco, R. [Department of Physics, Lancaster University, Lancaster LA1 4YB (United Kingdom); Delamoreanu, A. [Microelectronics Technology Laboratory (LTM), Joseph Fourier University, French National Research Center (CNRS), 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France); Zenasni, A. [CEA-LETI-Minatec Campus, 17 rue des Martyrs, 38054 Grenoble Cedex 09 (France); Kolosov, O.V., E-mail: o.kolosov@lancaster.ac.uk [Department of Physics, Lancaster University, Lancaster LA1 4YB (United Kingdom)

    2014-01-01

    Graphene's remarkable mechanical, electronic and thermal properties are strongly determined by both the mechanism of its growth and its interaction with the underlying substrate. Evidently, in order to explore the fundamentals of these mechanisms, efficient nanoscale methods that enable observation of features hidden underneath the immediate surface are needed. In this paper we use nanomechanical mapping via ultrasonic force microscopy that employs MHz frequency range ultrasonic vibrations and allows the observation of surface composition and subsurface interfaces with nanoscale resolution, to elucidate the morphology of few layer graphene (FLG) films produced via a recently reported method of carbon diffusion growth (CDG) on platinum-metal based substrate. CDG is known to result in FLG suspended over large areas, which could be of high importance for graphene transfer and applications where a standalone graphene film is required. This study directly reveals the detailed mechanism of CDG three-dimensional growth and FLG film detachment, directly linking the level of graphene decoupling with variations of the substrate temperature during the annealing phase of growth. We also show that graphene initially and preferentially decouples at the substrate grain boundaries, likely due to its negative expansion coefficient at cooling, forming characteristic “nano-domes” at the intersections of the grain boundaries. Furthermore, quantitative nanomechanical mapping of flexural stiffness of suspended FLG “nano-domes” using kHz frequency range force modulation microscopy uncovers the progression of “nano-dome” stiffness from single to bi-modal distribution as CDG growth progresses, suggesting growth instability at advanced CDG stages. - Highlights: • Exploring growth and film-substrate decoupling in carbon diffusion grown graphene • Nanomechanical mapping of few layer graphene and graphene–substrate interfaces • Quantitative stiffness mapping of

  9. Graphene transfer process and optimization of graphene coverage

    OpenAIRE

    Sabki Syarifah Norfaezah; Shamsuri Shafiq Hafly; Fauzi Siti Fazlina; Chon-Ki Meghashama Lim; Othman Noraini

    2017-01-01

    Graphene grown on transition metal is known to be high in quality due to its controlled amount of defects and potentially used for many electronic applications. The transfer process of graphene grown on transition metal to a new substrate requires optimization in order to ensure that high graphene coverage can be obtained. In this work, an improvement in the graphene transfer process is performed from graphene grown on copper foil. It has been observed that the graphene coverage is affected b...

  10. Growth of fcc(111) Dy multi-height islands on 6H-SiC(0001) graphene

    International Nuclear Information System (INIS)

    Hershberger, M T; Hupalo, M; Thiel, P A; Tringides, M C

    2013-01-01

    Graphene based spintronic devices require an understanding of the growth of magnetic metals. Rare earth metals have large bulk magnetic moments so they are good candidates for such applications, and it is important to identify their growth mode. Dysprosium was deposited on epitaxial graphene, prepared by thermally annealing 6H-SiC(0001). The majority of the grown islands have triangular instead of hexagonal shapes. This is observed both for single layer islands nucleating at the top of incomplete islands and for fully completed multi-height islands. We analyze the island shape distribution and stacking sequence of successively grown islands to deduce that the Dy islands have fcc(111) structure, and that the triangular shapes result from asymmetric barriers to corner crossing. (paper)

  11. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  12. Defect distribution in low-temperature molecular beam epitaxy grown Si/Si(100), improved depth profiling with monoenergetic positrons

    International Nuclear Information System (INIS)

    Szeles, C.; Asoka-Kumar, P.; Lynn, K.G.; Gossmann, H.; Unterwald, F.C.; Boone, T.

    1995-01-01

    The depth distribution of open-volume defects has been studied in Si(100) crystals grown by molecular beam epitaxy at 300 degree C by the variable-energy monoenergetic positron beam technique combined with well-controlled chemical etching. This procedure gave a 10 nm depth resolution which is a significant improvement over the inherent depth resolving power of the positron beam technique. The epitaxial layer was found to grow defect-free up to 80 nm, from the interface, where small vacancy clusters, larger than divacancies, appear. The defect density then sharply increases toward the film surface. The result clearly shows that the nucleation of small open-volume defects is a precursor state to the breakdown of epitaxy and to the evolution of an amorphous film

  13. Atomically Thin Heterostructures Based on Single-Layer Tungsten Diselenide and Graphene

    KAUST Repository

    Lin, Yu-Chuan

    2014-11-10

    Heterogeneous engineering of two-dimensional layered materials, including metallic graphene and semiconducting transition metal dichalcogenides, presents an exciting opportunity to produce highly tunable electronic and optoelectronic systems. In order to engineer pristine layers and their interfaces, epitaxial growth of such heterostructures is required. We report the direct growth of crystalline, monolayer tungsten diselenide (WSe2) on epitaxial graphene (EG) grown from silicon carbide. Raman spectroscopy, photoluminescence, and scanning tunneling microscopy confirm high-quality WSe2 monolayers, whereas transmission electron microscopy shows an atomically sharp interface, and low energy electron diffraction confirms near perfect orientation between WSe2 and EG. Vertical transport measurements across the WSe2/EG heterostructure provides evidence that an additional barrier to carrier transport beyond the expected WSe2/EG band offset exists due to the interlayer gap, which is supported by theoretical local density of states (LDOS) calculations using self-consistent density functional theory (DFT) and nonequilibrium Green\\'s function (NEGF).

  14. Atomically Thin Heterostructures Based on Single-Layer Tungsten Diselenide and Graphene

    KAUST Repository

    Lin, Yu-Chuan; Chang, Chih-Yuan S.; Ghosh, Ram Krishna; Li, Jie; Zhu, Hui; Addou, Rafik; Diaconescu, Bogdan; Ohta, Taisuke; Peng, Xin; Lu, Ning; Kim, Moon J.; Robinson, Jeremy T.; Wallace, Robert M; Mayer, Theresa S.; Datta, Suman; Li, Lain-Jong; Robinson, Joshua A.

    2014-01-01

    Heterogeneous engineering of two-dimensional layered materials, including metallic graphene and semiconducting transition metal dichalcogenides, presents an exciting opportunity to produce highly tunable electronic and optoelectronic systems. In order to engineer pristine layers and their interfaces, epitaxial growth of such heterostructures is required. We report the direct growth of crystalline, monolayer tungsten diselenide (WSe2) on epitaxial graphene (EG) grown from silicon carbide. Raman spectroscopy, photoluminescence, and scanning tunneling microscopy confirm high-quality WSe2 monolayers, whereas transmission electron microscopy shows an atomically sharp interface, and low energy electron diffraction confirms near perfect orientation between WSe2 and EG. Vertical transport measurements across the WSe2/EG heterostructure provides evidence that an additional barrier to carrier transport beyond the expected WSe2/EG band offset exists due to the interlayer gap, which is supported by theoretical local density of states (LDOS) calculations using self-consistent density functional theory (DFT) and nonequilibrium Green's function (NEGF).

  15. GaIn As Quantum Dots (QD) grown by Liquid Phase Epitaxy (LPE)

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz Vazquez, F E; Mishurnyi, V A; Gorbatchev, A Yu; De Anda, F [Universidad Autonoma de San Luis Potosi, Instituto de Investigation en Comunicacion Optica, Av. Karakorum 1470, Col. Lomas 4a Sec., San Luis Potosi, SLP, CP 78210 (Mexico); Elyukhin, V A, E-mail: fcoe_ov@prodigy.net.m, E-mail: andre@cactus.iico.uaslp.m [CINVESTAV-IPN, Av. IPN 2508, Col. San Pedro Zacatenco, Mexico D.F., CP 07360 (Mexico)

    2009-05-01

    The majority of the semiconductor structures with QD today are grown by MBE and MOCVD. It is known that the best material quality can be achieved by LPE because, in contrast to MBE and MOCVD, this method is realized at near-equilibrium conditions. To develop QD LPE technology first of all it is necessary to find out a growth technique allowing the crystallization of epitaxial materials with very small volume. This can be done by means of different techniques. In this work we apply a low temperature short-time growth method, which allows the production not only of single, but also of multilayer heterostructures. We have grown Ga{sub x}In{sub 1-z}As QD on GaAs (100) substrates at 450 C. The details of the QD formation, depending on composition of the Ga{sub x}In{sub -x} As solid solutions, have been studied by atom-force microscopy. The photoluminescence spectra of investigated samples show, in addition to a short-wave GaAs related peak, a longer wavelength line, which disappears after removal of the grown GaInAs material using an etching solution. This fact, together with atom-force microscopy results can be interpreted as a proof that QD heterostructures were grown successfully by LPE.

  16. Control of chemical bonding of the ZnO surface grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ogata, K.; Komuro, T.; Hama, K.; Koike, K.; Sasa, S.; Inoue, M.; Yano, M.

    2004-01-01

    Toward the fabrication of enzyme modified field effect transistors (EnFETs) as one of organic/inorganic hybridized structures, surface bonding of the ZnO grown by molecular beam epitaxy was controlled by ex situ treatments. Angle resolved X-ray photoelectron spectroscopy (XPS) measurement revealed that O-H bonds exist at the surface of ZnO. It was found that the number of O-H bond could be changed with reversibility using plasma and thermal treatments

  17. Effects of graphene imperfections on the structure of self-assembled pentacene films

    International Nuclear Information System (INIS)

    Jung, W; Ahn, S J; Lee, S Y; Kim, Y; Shin, H-C; Moon, Y; Park, C-Y; Ahn, J R; Woo, S H

    2015-01-01

    The quality of pentacene films in pentacene-based devices significantly affects their performance. In this report, the effects of various defects in graphene on a pentacene film were studied with scanning tunneling microscopy. The two most common defects found in the epitaxial graphene grown on SiC(0 0 0 1) substrates were subsurface carbon nanotube (CNT) defects and step edges. The most significant perturbation of the pentacene films was induced by step edges between single-layer and bilayer graphene domains, while the effect of step edges between single-layer domains was marginal. The subsurface CNT defects slightly distorted the structure of the single-layer pentacene, but the influence of such defects decreased as the thickness of the pentacene film increased. These results suggest that the uniformity of the graphene layer is the most important parameter in the growth of high-quality pentacene films on graphene. (paper)

  18. Dynamical x-ray diffraction studies of interfacial strain in superlattices grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vandenberg, J.M.; Chu, S.N.G.; Hamm, R.A.; Panish, M.B.; Ritter, D.; Mancrander, A.T.

    1992-01-01

    This paper reports on dynamical X-ray diffraction studies that have been carried out for lattice-matched InGaAs/InP superlattices grown by modified molecular beam epitaxy (MBE) techniques. The (400) X-ray satellite pattern, which is predominantly affected by the strain modulation, was analyzed. The strain and thickness of the actual layers including the presence of strained interfacial regions were determined

  19. Surface diffusion coefficient of Au atoms on single layer graphene grown on Cu

    Energy Technology Data Exchange (ETDEWEB)

    Ruffino, F., E-mail: francesco.ruffino@ct.infn.it; Cacciato, G.; Grimaldi, M. G. [Dipartimento di Fisica ed Astronomia-Universitá di Catania, via S. Sofia 64, 95123 Catania, Italy and MATIS IMM-CNR, via S. Sofia 64, 95123 Catania (Italy)

    2014-02-28

    A 5 nm thick Au film was deposited on single layer graphene sheets grown on Cu. By thermal processes, the dewetting phenomenon of the Au film on the graphene was induced so to form Au nanoparticles. The mean radius, surface-to-surface distance, and surface density evolution of the nanoparticles on the graphene sheets as a function of the annealing temperature were quantified by scanning electron microscopy analyses. These quantitative data were analyzed within the classical mean-field nucleation theory so to obtain the temperature-dependent Au atoms surface diffusion coefficient on graphene: D{sub S}(T)=[(8.2±0.6)×10{sup −8}]exp[−(0.31±0.02(eV)/(at) )/kT] cm{sup 2}/s.

  20. Characteristics of threading dislocations in ZnO grown on facet-controlled epitaxial overgrown GaN templates

    International Nuclear Information System (INIS)

    Zhou, H L; Chua, S J; Chow, S Y; Pan, H; Zhu, Y W; Feng, Y P; Wang, L S; Zang, K Y; Liu, W; Tripathy, S

    2007-01-01

    Using transmission electron microscopy (TEM), the authors have investigated the behavior of threading dislocations in ZnO selectively grown on a facet-controlled epitaxial overgrown GaN template. In this case, the ZnO is grown by a vapor transport method. The TEM study in the overgrown regions shows that all the pure-edge type dislocations in ZnO are parallel toward the mask area and vertical propagation of dislocation to the ZnO surface is minimized. Using such a selective growth technique on a faceted semi-polar GaN surface, a reduction of threading dislocation density in ZnO could be achieved

  1. Disorder and defect formation mechanisms in molecular-beam-epitaxy grown silicon epilayers

    International Nuclear Information System (INIS)

    Akbari-Sharbaf, Arash; Baribeau, Jean-Marc; Wu, Xiaohua; Lockwood, David J.; Fanchini, Giovanni

    2013-01-01

    We investigate the role of disorder, stress and crystallite size in determining the density of defects in disordered and partially ordered silicon thin films deposited at low or moderate temperatures by molecular beam epitaxy. We find that the paramagnetic defect density measured by electron spin resonance (ESR) is strongly dependent on the growth temperature of the films, decreasing from ∼ 2 · 10 19 cm −3 at 98 °C to ∼ 1 · 10 18 cm −3 at 572 °C. The physical nature of the defects is strongly dependent on the range of order in the films: ESR spectra consistent with dangling bonds in an amorphous phase are observed at the lowest temperatures, while the ESR signal gradually becomes more anisotropic as medium-range order improves and the stress level (measured both by X-ray diffraction and Raman spectroscopy) is released in more crystalline films. Anisotropic ESR spectra consistent with paramagnetic defects embedded in an epitaxial phase are observed at the highest growth temperature (572 °C). - Highlights: ► Disordered Si epilayers were grown by molecular beam epitaxy. ► Growth has been carried out at temperatures T = 98 °C–514 °C. ► A correlation between defect density and disorder in the films has been found. ► Lack of medium range order and stress cause the formation of defects at low T. ► At high T, defects are associated to grain boundaries and oriented stacking faults

  2. Chemical vapour deposition of graphene on Nk(111) and Co(0001) and intercalation with Au to study Dirac Cone Formation and Rashba splitting

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Barriga, J.; Vescovo, E.; Varykhalov, A.; Scholz, M.R.; Rader, O.; Marchenko, D.; Rybkin, A.

    2010-01-01

    We show in detail monitoring by photoelectron spectroscopy how graphene can be grown by chemical vapor deposition on the transition-metal surfaces Ni(111) and Co(0001) and intercalated by a monoatomic layer of Au. For both systems, a linear E(k) dispersion of massless Dirac fermions appears in the graphene {pi}-band in the vicinity of the Fermi energy. In order to study ferromagnetism and spin-orbit effects by spin- and angle-resolved photoelectron spectroscopy, the sample must be magnetized in remanence. To this end, a W(110) substrate is prepared, its cleanliness verified by photoemission from W(110) surface states and surface core levels, and epitaxial Ni(111) and Co(0001) thin films are grown on top. Spin-resolved photoemission from the {pi}-band shows that the ferromagnetic polarization of graphene/Ni(111) and graphene/Co(0001) is negligible and that graphene on Ni(111) is after intercalation of Au spin-orbit split by the Rashba effect.

  3. Giant current-perpendicular-to-plane magnetoresistance in multilayer graphene as grown on nickel.

    Science.gov (United States)

    Bodepudi, S C; Singh, A P; Pramanik, S

    2014-05-14

    Strong magnetoresistance effects are often observed in ferromagnet-nonmagnet multilayers, which are exploited in state-of-the-art magnetic field sensing and data storage technologies. In this work we report a novel current-perpendicular-to-plane magnetoresistance effect in multilayer graphene as grown on a catalytic nickel surface by chemical vapor deposition. A negative magnetoresistance effect of ∼10(4)% has been observed, which persists even at room temperature. This effect is correlated with the shape of the 2D peak as well as with the occurrence of D peak in the Raman spectrum of the as-grown multilayer graphene. The observed magnetoresistance is extremely high as compared to other known materials systems for similar temperature and field range and can be qualitatively explained within the framework of "interlayer magnetoresistance" (ILMR).

  4. Optical and structural properties of ZnO nanorods grown on graphene oxide and reduced graphene oxide film by hydrothermal method

    Energy Technology Data Exchange (ETDEWEB)

    Alver, U., E-mail: alver@ksu.edu.tr [Department of Physics, Kahramanmaras Sutcu Imam University, K. Maras 46100 (Turkey); Zhou, W.; Belay, A.B. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Florida Solar Energy Center, Cocoa, FL 32922 (United States); Krueger, R. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Davis, K.O.; Hickman, N.S. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Florida Solar Energy Center, Cocoa, FL 32922 (United States)

    2012-01-15

    ZnO nanorods were grown on graphene oxide (GO) and reduced graphene oxide (RGO) films with seed layers by using simple hydrothermal method. The GO films were deposited by spray coating and then annealed at 400 Degree-Sign C in argon atmosphere to obtain RGO films. The optical and structural properties of the ZnO nanorods were systematically studied by scanning electron microscopy (SEM), X-ray diffraction (XRD) and ultraviolet-visible spectroscopy. The XRD patterns and SEM images show that without a seed layer, no ZnO nanorod deposition occurs on GO or RGO films. Transmittance of ZnO nanorods grown on RGO films was measured to be approximately 83% at 550 nm. Furthermore, while transmittance of RGO films increases with ZnO nanorod deposition, transmittance of GO decreases.

  5. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Karamat, S., E-mail: shumailakaramat@gmail.com [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); COMSATS Institute of Information Technology, Islamabad 54000 (Pakistan); Sonuşen, S. [Sabancı Üniversitesi (SUNUM), İstanbul 34956 (Turkey); Çelik, Ü. [Nanomagnetics Instruments, Ankara (Turkey); Uysallı, Y. [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); Oral, A., E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey)

    2016-04-15

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH){sub 2}. Ba(OH){sub 2} is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO{sub 2}/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH){sub 2}. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO{sub 2}/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH){sub 2} for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and Li

  6. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    International Nuclear Information System (INIS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-01-01

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH)_2. Ba(OH)_2 is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO_2/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH)_2. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO_2/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)_2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and

  7. Metal-Free CVD Graphene Synthesis on 200 mm Ge/Si(001) Substrates.

    Science.gov (United States)

    Lukosius, M; Dabrowski, J; Kitzmann, J; Fursenko, O; Akhtar, F; Lisker, M; Lippert, G; Schulze, S; Yamamoto, Y; Schubert, M A; Krause, H M; Wolff, A; Mai, A; Schroeder, T; Lupina, G

    2016-12-14

    Good quality, complementary-metal-oxide-semiconductor (CMOS) technology compatible, 200 mm graphene was obtained on Ge(001)/Si(001) wafers in this work. Chemical vapor depositions were carried out at the deposition temperatures of 885 °C using CH 4 as carbon source on epitaxial Ge(100) layers, which were grown on Si(100), prior to the graphene synthesis. Graphene layer with the 2D/G ratio ∼3 and low D mode (i.e., low concentration of defects) was measured over the entire 200 mm wafer by Raman spectroscopy. A typical full-width-at-half-maximum value of 39 cm -1 was extracted for the 2D mode, further indicating that graphene of good structural quality was produced. The study also revealed that the lack of interfacial oxide correlates with superior properties of graphene. In order to evaluate electrical properties of graphene, its 2 × 2 cm 2 pieces were transferred onto SiO 2 /Si substrates from Ge/Si wafers. The extracted sheet resistance and mobility values of transferred graphene layers were ∼1500 ± 100 Ω/sq and μ ≈ 400 ± 20 cm 2 /V s, respectively. The transferred graphene was free of metallic contaminations or mechanical damage. On the basis of results of DFT calculations, we attribute the high structural quality of graphene grown by CVD on Ge to hydrogen-induced reduction of nucleation probability, explain the appearance of graphene-induced facets on Ge(001) as a kinetic effect caused by surface step pinning at linear graphene nuclei, and clarify the orientation of graphene domains on Ge(001) as resulting from good lattice matching between Ge(001) and graphene nucleated on such nuclei.

  8. Liquid-phase exfoliation of chemical vapor deposition-grown single layer graphene and its application in solution-processed transparent electrodes for flexible organic light-emitting devices

    International Nuclear Information System (INIS)

    Wu, Chaoxing; Li, Fushan; Wu, Wei; Chen, Wei; Guo, Tailiang

    2014-01-01

    Efficient and low-cost methods for obtaining high performance flexible transparent electrodes based on chemical vapor deposition (CVD)-grown graphene are highly desirable. In this work, the graphene grown on copper foil was exfoliated into micron-size sheets through controllable ultrasonication. We developed a clean technique by blending the exfoliated single layer graphene sheets with conducting polymer to form graphene-based composite solution, which can be spin-coated on flexible substrate, forming flexible transparent conducting film with high conductivity (∼8 Ω/□), high transmittance (∼81% at 550 nm), and excellent mechanical robustness. In addition, CVD-grown-graphene-based polymer light emitting diodes with excellent bendable performances were demonstrated

  9. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  10. High-resolution hydrogen profiling in AlGaN/GaN heterostructures grown by different epitaxial methods

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez-Posada Flores, F; Redondo-Cubero, A; Bengoechea, A; Brana, A F; Munoz, E [Instituto de Sistemas Optoelectronicos y Microtecnologia (ISOM) and Dpto. IngenierIa Electronica (DIE), ETSI de Telecomunicacion, Universidad Politecnica de Madrid, E-28040 Madrid (Spain); Gago, R [Centro de Micro-Analisis de Materiales, Universidad Autonoma de Madrid, E-28049 Madrid (Spain); Jimenez, A [Dpto. Electronica, Escuela Politecnica Superior, Universidad de Alcala, E-28805 Alcala de Henares, Madrid (Spain); Grambole, D, E-mail: fposada@die.upm.e [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Dresden-Rossendorf, PF 51019, D-01314 Dresden (Germany)

    2009-03-07

    Hydrogen (H) incorporation into AlGaN/GaN heterostructures used in high electron mobility transistors, grown by different methods, is studied by high-resolution depth profiling. Samples grown on sapphire and Si(1 1 1) substrates by molecular-beam epitaxy and metal-organic vapour phase epitaxy; involving H-free and H-containing precursors, were analysed to evaluate the eventual incorporation of H into the wafer. The amount of H was measured by means of nuclear reaction analysis (NRA) using the {sup 1}H({sup 15}N,{alpha}{gamma}){sup 12}C reaction up to a depth of {approx}110 nm into the heterostructures. Interestingly, the H profiles are similar in all the samples analysed, with an increasing H content towards the surface and a negligible H incorporation into the GaN layer (0.24 {+-} 0.08 at%) or at the AlGaN/GaN interface. Therefore, NRA shows that H uptake is not related to the growth process or technique employed and that H contamination may be due to external sources after growth. The eventual correlation between topographical defects on the AlGaN surface and the H concentration are also discussed.

  11. Copper substrate as a catalyst for the oxidation of chemical vapor deposition-grown graphene

    International Nuclear Information System (INIS)

    Li, Zhiting; Zhou, Feng; Parobek, David; Shenoy, Ganesh J.; Muldoon, Patrick; Liu, Haitao

    2015-01-01

    We report the catalytic effect of copper substrate on graphene–oxygen reaction at high temperature. Previous studies showed that graphene grown on copper are mostly defect-free with strong oxidation resistance. We found that a freshly prepared copper-supported graphene sample can be completely oxidized in trace amount of oxygen (<3 ppm) at 600 °C within 2 h. Both X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) suggest that upon ambient air exposure, oxygen molecules diffuse into the space between graphene and copper, resulting in the formation of copper oxide which acts as catalytic sites for the graphene-oxygen reaction. This result has important implications for the characterization, processing, and storage of copper-supported graphene samples. - Graphical abstract: The copper substrate enhances the thermel oxidation of single-layer graphene. - Highlights: • A copper-supported graphene can be oxidized in Ar (O 2 <3 ppm, 600 °C, 2 h). • O 2 intercalates between graphene and copper upon exposure to air. • The copper foil should not be considered as an inert substrate

  12. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.; Lin, C. W.; Cheng, Kai-Yuan; Hsieh, K. C.; Cheng, K. Y., E-mail: kycheng@ee.nthu.edu.tw [Department of Electrical Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Hsu, C.-H. [Division of Scientific Research, National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China)

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of the observed device performance enhancements.

  13. Epitaxially grown zinc-blende structured Mn doped ZnO nanoshell on ZnS nanoparticles

    International Nuclear Information System (INIS)

    Limaye, Mukta V.; Singh, Shashi B.; Date, Sadgopal K.; Gholap, R.S.; Kulkarni, Sulabha K.

    2009-01-01

    Zinc oxide in the bulk as well as in the nanocrystalline form is thermodynamically stable in the wurtzite structure. However, zinc oxide in the zinc-blende structure is more useful than that in the wurtzite structure due to its superior electronic properties as well as possibility of efficient doping. Therefore, zinc oxide shell is grown epitaxially on zinc sulphide core nanoparticles having zinc-blende structure. It is shown that doping of manganese could be achieved in zinc oxide nanoshell with zinc-blende structure

  14. Point defect balance in epitaxial GaSb

    International Nuclear Information System (INIS)

    Segercrantz, N.; Slotte, J.; Makkonen, I.; Kujala, J.; Tuomisto, F.; Song, Y.; Wang, S.

    2014-01-01

    Positron annihilation spectroscopy in both conventional and coincidence Doppler broadening mode is used for studying the effect of growth conditions on the point defect balance in GaSb:Bi epitaxial layers grown by molecular beam epitaxy. Positron annihilation characteristics in GaSb are also calculated using density functional theory and compared to experimental results. We conclude that while the main positron trapping defect in bulk samples is the Ga antisite, the Ga vacancy is the most prominent trap in the samples grown by molecular beam epitaxy. The results suggest that the p–type conductivity is caused by different defects in GaSb grown with different methods.

  15. X-ray and scanning electron microscopic investigation of porous silicon and silicon epitaxial layers grown on porous silicon

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.

    1998-01-01

    The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)

  16. Positron annihilation studies of defects in molecular beam epitaxy grown III-V layers

    International Nuclear Information System (INIS)

    Umlor, M.T.; Keeble, D.J.; Cooke, P.W.

    1994-01-01

    A summary of recent positron annihilation experiments on molecular beam epitaxy (MBE) grown III-V layers is Presented. Variable energy positron beam measurements on Al 0.32 Ga 0.68 As undoped and Si doped have been completed. Positron trapping at a open volume defect in Al 0.32 Ga 0.68 :Si for temperatures from 300 to 25 K in the dark was observed. The positron trap was lost after 1.3 eV illumination at 25K. These results indicate an open volume defect is associated with the local structure of the deep donor state of the DX center. Stability of MBE GaAs to thermal annealing war, investigated over the temperature range of 230 to 700 degrees C, Proximity wafer furnace anneals in flowing argon were used, Samples grown above 450 degrees C were shown to be stable but for sample below this temperature an anneal induced vacancy related defect was produced for anneals between 400 and 500 degrees C. The nature of the defect was shown to be different for material grown at 350 and 230 degrees C. Activation energies of 2.5 eV to 2.3 eV were obtained from isochronal anneal experiments for samples grown at 350 and 230 degrees C, respectively

  17. Structural characterization of ZnO films grown by molecular beam epitaxy on sapphire with MgO buffer

    International Nuclear Information System (INIS)

    Pecz, B.; El-Shaer, A.; Bakin, A.; Mofor, A.-C.; Waag, A.; Stoemenos, J.

    2006-01-01

    The structural characteristics of the ZnO film grown on sapphire substrate using a thin MgO buffer layer were studied using transmission electron microscopy and high-resolution x-ray diffraction. The growth was carried out in a modified plasma-molecular beam epitaxy system. The observed misfit dislocations were well confined at the sapphire overgrown interface exhibiting domain matching epitaxy, where the integral multiples of lattice constants match across the interface. The main extended defects in the ZnO film were the threading dislocations having a mean density of 4x10 9 cm -2 . The formation of the MgO buffer layer as well as the ZnO growth were monitored in situ by reflection high-energy electron diffraction. The very thin ∼1 nm, MgO buffer layer can partially interdiffuse with the ZnO as well as react with the Al 2 O 3 substrate forming an intermediate epitaxial layer having the spinel (MgO/Al 2 O 3 ) structure

  18. High-quality graphene grown on polycrystalline PtRh{sub 20} alloy foils by low pressure chemical vapor deposition and its electrical transport properties

    Energy Technology Data Exchange (ETDEWEB)

    Yang, He; Shen, Chengmin, E-mail: cmshen@iphy.ac.cn; Tian, Yuan; Bao, Lihong; Chen, Peng; Yang, Rong; Yang, Tianzhong; Li, Junjie; Gu, Changzhi; Gao, Hong-Jun [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China)

    2016-02-08

    High-quality continuous uniform monolayer graphene was grown on polycrystalline PtRh{sub 20} alloy foils by low pressure chemical vapor deposition. The morphology of graphene was investigated by Raman spectroscopy, scanning electron microscopy, and atomic force microscopy. Analysis results confirm that high quality single-layer graphene was fabricated on PtRh{sub 20} foil at 1050 °C using a lower flux of methane under low pressure. Graphene films were transferred onto the SiO{sub 2}/Si substrate by the bubbling transfer method. The mobility of a test field effect transistor made of the graphene grown on PtRh{sub 20} was measured and reckoned at room temperature, showing that the carrier mobility was about 4000 cm{sup 2} V{sup −1} s{sup −1}. The results indicate that desired quality of single-layer graphene grown on PtRh{sub 20} foils can be obtained by tuning reaction conditions.

  19. Chirped-pulse manipulated carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs

    International Nuclear Information System (INIS)

    Lee, Chao-Kuei; Lin, Yuan-Yao; Lin, Sung-Hui; Lin, Gong-Ru; Pan, Ci-Ling

    2014-01-01

    Chirped pulse controlled carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs are investigated by degenerate pump-probe technique. Varying the chirped condition of excited pulse from negative to positive increases the carrier relaxation time so as to modify the dispersion and reshape current pulse in time domain. The spectral dependence of carrier dynamics is analytically derived and explained by Shockley-Read Hall model. This observation enables the new feasibility of controlling carrier dynamics in ultrafast optical devices via the chirped pulse excitations

  20. Epitaxial (100)-oriented Mo/V superlattice grown on MgO(100) by dcMS and HiPIMS

    International Nuclear Information System (INIS)

    Shayestehaminzadeh, S.; Magnusson, R.L.; Gislason, H.P.; Olafsson, S.

    2013-01-01

    Epitaxial (100)-oriented Mo/V superlattices have been grown by High Power Impulse Magnetron Sputtering (HiPIMS) and dc Magnetron Sputtering (dcMS) on single-crystalline MgO(100) substrates at growth temperatures ranging from 30 °C to 600 °C. Superlattice bilayer period of Mo/V around 12/12 monolayers and 15 repeat periods was studied. This study aims to investigate the effect of the HiPIMS process on reducing the growth temperature of Mo/V superlattices using the high energy ionized Mo, V species in the HiPIMS plasma. In one case, the Mo layer was only grown with the HiPIMS process and V layer grown using the dcMS process while in another both layers were grown with the HiPIMS process. The as-deposited films were characterized by X-ray reflection and diffraction techniques. The dcMS process was found to give superior superlattice growth at high growth temperatures while a mixed Mo HiPIMS and V dcMS process gives better result at lower growth temperatures (300 °C). Room temperature growth reveals that neither the mixed Mo HiPIMS and V dcMS process nor the pure HiPIMS for both materials can produce better result compared to the pure dcMS process, which gives a relatively better result. - Highlights: • Epitaxial (100)-oriented Mo/V superlattices have been grown by HiPIMS and dcMS on MgO(100) for various temperatures. • The study was aimed to investigate the effect of ionized HiPIMS process onlowering the growth temperature. • The dcMS process was found to give superior superlattice growth at high growth temperature. • The mixed Mo HiPIMS and V dcMS process gives best result at lower growth temperatures

  1. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  2. Ultrafast electron-optical phonon scattering and quasiparticle lifetime in CVD-grown graphene.

    Science.gov (United States)

    Shang, Jingzhi; Yu, Ting; Lin, Jianyi; Gurzadyan, Gagik G

    2011-04-26

    Ultrafast quasiparticle dynamics in graphene grown by chemical vapor deposition (CVD) has been studied by UV pump/white-light probe spectroscopy. Transient differential transmission spectra of monolayer graphene are observed in the visible probe range (400-650 nm). Kinetics of the quasiparticle (i.e., low-energy single-particle excitation with renormalized energy due to electron-electron Coulomb, electron-optical phonon (e-op), and optical phonon-acoustic phonon (op-ap) interactions) was monitored with 50 fs resolution. Extending the probe range to near-infrared, we find the evolution of quasiparticle relaxation channels from monoexponential e-op scattering to double exponential decay due to e-op and op-ap scattering. Moreover, quasiparticle lifetimes of mono- and randomly stacked graphene films are obtained for the probe photon energies continuously from 1.9 to 2.3 eV. Dependence of quasiparticle decay rate on the probe energy is linear for 10-layer stacked graphene films. This is due to the dominant e-op intervalley scattering and the linear density of states in the probed electronic band. A dimensionless coupling constant W is derived, which characterizes the scattering strength of quasiparticles by lattice points in graphene.

  3. Sub-bandgap response of graphene/SiC Schottky emitter bipolar phototransistor examined by scanning photocurrent microscopy

    Science.gov (United States)

    Barker, Bobby G., Jr.; Chava, Venkata Surya N.; Daniels, Kevin M.; Chandrashekhar, M. V. S.; Greytak, Andrew B.

    2018-01-01

    Graphene layers grown epitaxially on SiC substrates are attractive for a variety of sensing and optoelectronic applications because the graphene acts as a transparent, conductive, and chemically responsive layer that is mated to a wide-bandgap semiconductor with large breakdown voltage. Recent advances in control of epitaxial growth and doping of SiC epilayers have increased the range of electronic device architectures that are accessible with this system. In particular, a recently-introduced Schottky-emitter bipolar phototransistor (SEPT) based on an epitaxial graphene (EG) emitter grown on a p-SiC base epilayer has been found to exhibit a maximum common emitter current gain of 113 and a UV responsivity of 7.1 A W-1. The behavior of this device, formed on an n +-SiC substrate that serves as the collector, was attributed to a very large minority carrier injection efficiency at the EG/p-SiC Schottky contact. This large minority carrier injection efficiency is in turn related to the large built-in potential found at a EG/p-SiC Schottky junction. The high performance of this device makes it critically important to analyze the sub bandgap visible response of the device, which provides information on impurity states and polytype inclusions in the crystal. Here, we employ scanning photocurrent microscopy (SPCM) with sub-bandgap light as well as a variety of other techniques to clearly demonstrate a localized response based on the graphene transparent electrode and an approximately 1000-fold difference in responsivity between 365 nm and 444 nm excitation. A stacking fault propagating from the substrate/epilayer interface, assigned as a single layer of the 8H-SiC polytype within the 4H-SiC matrix, is found to locally increase the photocurrent substantially. The discovery of this polytype heterojunction opens the potential for further development of heteropolytype devices based on the SEPT architecture.

  4. Topographic and spectroscopic characterization of electronic edge states in CVD grown graphene nanoribbons.

    Science.gov (United States)

    Pan, Minghu; Girão, E Costa; Jia, Xiaoting; Bhaviripudi, Sreekar; Li, Qing; Kong, Jing; Meunier, V; Dresselhaus, Mildred S

    2012-04-11

    We used scanning tunneling microscopy and spectroscopy (STM/S) techniques to analyze the relationships between the edge shapes and the electronic structures in as-grown chemical vapor deposition (CVD) graphene nanoribbons (GNRs). A rich variety of single-layered graphene nanoribbons exhibiting a width of several to 100 nm and up to 1 μm long were studied. High-resolution STM images highlight highly crystalline nanoribbon structures with well-defined and clean edges. Theoretical calculations indicate clear spin-split edge states induced by electron-electron Coulomb repulsion. The edge defects can significantly modify these edge states, and different edge structures for both sides of a single ribbon produce asymmetric electronic edge states, which reflect the more realistic features of CVD grown GNRs. Three structural models are proposed and analyzed to explain the observations. By comparing the models with an atomic resolution image at the edge, a pristine (2,1) structure was ruled out in favor of a reconstructed edge structure composed of 5-7 member rings, showing a better match with experimental results, and thereby suggesting the possibility of a defective morphology at the edge of CVD grown nanoribbons. © 2012 American Chemical Society

  5. High quality long-wavelength lasers grown by atmospheric organometallic vapor phase epitaxy using tertiarybutylarsine

    International Nuclear Information System (INIS)

    Miller, B.I.; Young, M.G.; Oron, M.; Koren, U.; Kisker, D.

    1990-01-01

    High quality long-wavelength InGaAsP/InP lasers were grown by atmospheric organometallic vapor phase epitaxy using tertiarybutylarsine (TBA) as a substitute for AsH 3 . Electrical and photoluminescence measurements on InGaAs and InGaAsP showed that TBA-grown material was at least as good as AsH 3 material in terms of suitability for lasers. From two wafers grown by TBA, current thresholds I th as low as 11 mA were obtained for a 2-μm-wide semi-insulating blocking planar buried heterostructure laser lasing near 1.3 μm wavelength. The differential quantum efficiencies η D were as high as 21%/facet with a low internal loss α=21 cm -1 . In addition I th as low as 18 mA and η D as high as 18% have been obtained for multiplequantum well lasers at 1.54 μm wavelength. These results show that TBA might be used to replace AsH 3 without compromising on laser performance

  6. Thermal stability of iron silicide nanowires epitaxially grown on Si(110) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zou, Zhi-Qiang, E-mail: zouzhq@shanghaitech.edu.cn [School of Physical Science and Technology, ShanghaiTech University, 100 Haike Road, Pudong, Shanghai, 201210 (China); Li, Xu; Liu, Xiao-Yong; Shi, Kai-Juan; Guo, Xin-Qiu [Analytical and Testing Center, Shanghai Jiao Tong University, 800 Dongchuan Road, Shanghai 200240 (China)

    2017-03-31

    Highlights: • The α-FeSi{sub 2} nanowires epitaxially grown on Si(110) can be stable up to 750 °C. • The stable temperature of the nanowires is much lower than that of the bulk α-FeSi{sub 2} due to their small size and high relative surface area. • With increasing annealing temperature, the α-FeSi{sub 2} nanowires undergo an Ostwald ripening process and transform into large β-FeSi{sub 2} nanorods or three-dimensional nanocrystals. • The reduction in surface energy drives the transformation from metallic α-FeSi{sub 2} phase to semiconducting β-FeSi{sub 2} phase. - Abstract: Metallic α-FeSi{sub 2} nanowires (NWs) are epitaxially grown on Si(110) at 650 °C. Their evolution as a function of annealing temperature has been studied in situ by scanning tunneling microscopy. The NWs are stable up to 750 °C, which is much lower than that of the bulk α-FeSi{sub 2}. With further increasing the annealing temperature, some NWs begin to shrink in length and transform into wider and higher semiconducting β-FeSi{sub 2} nanorods or three-dimensional (3D) islands at 925 °C. The phase transformation is driven by the reduction in surface energy. On the other hand, some α-FeSi{sub 2} NWs begin to dissolve and become thinner until disappearing. The growth of the β-FeSi{sub 2} nanorods or 3D nanocrystals follows the Ostwald ripening mechanism, i.e., the large islands grow in size at the expense of the small ones. X-ray photoelectron spectroscopy study shows that the Fe 2p peaks of β-FeSi{sub 2} nanocrystals exhibit a negative shift of 0.2 eV with respect to the α-FeSi{sub 2} NWs.

  7. As-free pnictide LaNi{sub 1-x}Sb{sub 2} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2012-07-01

    We use reactive molecular beam epitaxy (RMBE) as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaNi{sub 1-x}Sb{sub 2} were grown on (100)MgO substrates from elemental sources by simultaneous evaporation of high purity La, Ni and Sb metals by e-gun. The LaNi{sub 1-x}Sb{sub 2} thin films grow epitaxially and are (00l) oriented with high crystalline quality, as evident from RHEED and X-Ray diffraction studies. The Ni deficient LaNi{sub 1-x}Sb{sub 2} thin films show metallic behavior with a room temperature resistivity of 110 {mu}{Omega} cm, while the stoichiometric compound is a semiconductor/insulator. The isostructural compound with Bi as pnictide shows a superconducting transition with a T{sub C}(0) of 3.1 K.

  8. Critical thickness and strain relaxation in molecular beam epitaxy-grown SrTiO3 films

    International Nuclear Information System (INIS)

    Wang, Tianqi; Ganguly, Koustav; Marshall, Patrick; Xu, Peng; Jalan, Bharat

    2013-01-01

    We report on the study of the critical thickness and the strain relaxation in epitaxial SrTiO 3 film grown on (La 0.3 Sr 0.7 )(Al 0.65 Ta 0.35 )O 3 (001) (LSAT) substrate using the hybrid molecular beam epitaxy approach. No change in the film's lattice parameter (both the in-plane and the out-of-plane) was observed up to a film thickness of 180 nm, which is in sharp contrast to the theoretical critical thickness of ∼12 nm calculated using the equilibrium theory of strain relaxation. For film thicknesses greater than 180 nm, the out-of-plane lattice parameter was found to decrease hyperbolically in an excellent agreement with the relaxation via forming misfit dislocations. Possible mechanisms are discussed by which the elastic strain energy can be accommodated prior to forming misfit dislocations leading to such anomalously large critical thickness

  9. Origin of green luminescence in ZnO thin film grown by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Heo, Y.W.; Norton, D.P.; Pearton, S.J.

    2005-01-01

    The properties of ZnO films grown by molecular-beam epitaxy are reported. The primary focus was on understanding the origin of deep-level luminescence. A shift in deep-level emission from green to yellow is observed with reduced Zn pressure during the growth. Photoluminescence and Hall measurements were employed to study correlations between deep-level/near-band-edge emission and carrier density. With these results, we suggest that the green emission is related to donor-deep acceptor (Zn vacancy V Zn - ) and the yellow to donor-deep acceptor (oxygen vacancy, O i - )

  10. Scanning Tunnelling Spectroscopic Studies of Dirac Fermions in Graphene and Topological Insulators

    Directory of Open Access Journals (Sweden)

    wang K.-L.

    2012-03-01

    Full Text Available We report novel properties derived from scanning tunnelling spectroscopic (STS studies of Dirac fermions in graphene and the surface state (SS of a strong topological insulator (STI, Bi2Se3. For mono-layer graphene grown on Cu by chemical vapour deposition (CVD, strain-induced scalar and gauge potentials are manifested by the charging effects and the tunnelling conductance peaks at quantized energies, respectively. Additionally, spontaneous time-reversal symmetry breaking is evidenced by the alternating anti-localization and localization spectra associated with the zero-mode of two sublattices while global time-reversal symmetry is preserved under the presence of pseudo-magnetic fields. For Bi2Se3 epitaxial films grown on Si(111 by molecular beam epitaxy (MBE, spatially localized unitary impurity resonances with sensitive dependence on the energy difference between the Fermi level and the Dirac point are observed for samples thicker than 6 quintuple layers (QL. These findings are characteristic of the SS of a STI and are direct manifestation of strong topological protection against impurities. For samples thinner than 6-QL, STS studies reveal the openup of an energy gap in the SS due to overlaps of wave functions between the surface and interface layers. Additionally, spin-preserving quasiparticle interference wave-vectors are observed, which are consistent with the Rashba-like spin-orbit splitting.

  11. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  12. Electronic cooling via interlayer Coulomb coupling in multilayer epitaxial graphene

    Science.gov (United States)

    Mihnev, Momchil T.; Tolsma, John R.; Divin, Charles J.; Sun, Dong; Asgari, Reza; Polini, Marco; Berger, Claire; de Heer, Walt A.; MacDonald, Allan H.; Norris, Theodore B.

    2015-01-01

    In van der Waals bonded or rotationally disordered multilayer stacks of two-dimensional (2D) materials, the electronic states remain tightly confined within individual 2D layers. As a result, electron–phonon interactions occur primarily within layers and interlayer electrical conductivities are low. In addition, strong covalent in-plane intralayer bonding combined with weak van der Waals interlayer bonding results in weak phonon-mediated thermal coupling between the layers. We demonstrate here, however, that Coulomb interactions between electrons in different layers of multilayer epitaxial graphene provide an important mechanism for interlayer thermal transport, even though all electronic states are strongly confined within individual 2D layers. This effect is manifested in the relaxation dynamics of hot carriers in ultrafast time-resolved terahertz spectroscopy. We develop a theory of interlayer Coulomb coupling containing no free parameters that accounts for the experimentally observed trends in hot-carrier dynamics as temperature and the number of layers is varied. PMID:26399955

  13. Characterization Of Graphene-Ferroelectric Superlattice Hybrid Devices

    Science.gov (United States)

    Yusuf, Mohammed; Du, Xu; Dawber, Matthew

    2013-03-01

    Ferroelectric materials possess a spontaneous electrical polarization, which can be controlled by an electric field. A good interface between ferroelectric surface and graphene sheets can introduce a new generation of multifunctional devices, in which the ferroelectric material can be used to control the properties of graphene. In our approach, problems encountered in previous efforts to combine ferroelectric/carbon systems are overcome by the use of artificially layered superlattice materials grown in the form of epitaxial thin films. In these materials the phase transition temperature and dielectric response of the material can be tailored, allowing us to avoid polarization screening by surface absorbates, whilst maintaining an atomically smooth surface and optimal charge doping properties. Using ferroelectric PbTiO3/SrTiO3 superlattices, we have shown ultra-low-voltage operation of graphene field effect devices within +/- 1 V at room temperature. The switching of the graphene field effect transistors is characterized by pronounced resistance hysteresis, suitable for ultra-fast non-volatile electronics. Low temperature characterization confirmed that the coercive field required for the ferroelectric domain switching increases significantly with decreasing temperatures. National Science Foundation (NSF) (grant number 1105202)

  14. Investigation of CuGaSe2/CuInSe2 double heterojunction interfaces grown by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Sathiabama Thiru

    2015-02-01

    Full Text Available In-situ reflection high-energy electron diffraction (RHEED observation and X-ray diffraction measurements were performed on heterojunction interfaces of CuGaSe2/CnInSe2/CuGaSe2 grown on GaAs (001 using migration-enhanced epitaxy. The streaky RHEED pattern and persistent RHEED intensity oscillations caused by the alternate deposition of migration-enhanced epitaxy sequence are observed and the growths of smooth surfaces are confirmed. RHEED observation results also confirmed constituent material interdiffusion at the heterointerface. Cross-sectional transmission electron microscopy showed a flat and abrupt heterointerface when the substrate temperature is as low as 400 °C. These have been confirmed even by X-ray diffraction and photoluminescence measurements.

  15. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    Science.gov (United States)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  16. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    Science.gov (United States)

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  17. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  18. High-quality single crystalline NiO with twin phases grown on sapphire substrate by metalorganic vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    Kazuo Uchida

    2012-12-01

    Full Text Available High-quality single crystalline twin phase NiO grown on sapphire substrates by metalorganic vapor phase epitaxy is reported. X-ray rocking curve analysis of NiO films grown at different temperatures indicates a minimum full width at half maximum of the cubic (111 diffraction peak of 0.107° for NiO film grown at as low as 550 °C. Detailed microstructural analysis by Φ scan X-ray diffraction and transmission electron microscopy reveal that the NiO film consists of large single crystalline domains with two different crystallographic orientations which are rotated relative to each other along the [111] axis by 60°. These single crystal domains are divided by the twin phase boundaries.

  19. Electrochemical capacitance characteristics of patterned ruthenium dioxide-carbon nanotube nanocomposites grown onto graphene

    International Nuclear Information System (INIS)

    Shih, Yi-Ting; Lee, Kuei-Yi; Huang, Ying-Sheng

    2014-01-01

    Highlights: • Graphene was grown on Cu foil by mobile thermal chemical vapor deposition system. • CNT was synthesized on graphene for RuO 2 nanostructure growth by thermal chemical vapor deposition system. • The CNT growth location was fixed through the use of photolithography technique, thereby increasing the specific area. • RuO 2 nanostructures were coated onto CNT bundle arrays through metal organic chemical vapor deposition, in order to utilize its pseudo capacitive property. - Abstract: In this study, graphene was used as a conductive substrate for vertically aligned carbon nanotube (CNT) bundle arrays growth, to be used as an electrode for electrochemical double layer capacitor (EDLC), as graphene and CNT exhibit good conductivity and excellent chemical stability. Both of them are composed of carbon, therefore making a superior adhesion between them. The configuration of bundle arrays provided a relatively higher specific surface area in contact with electrolyte, thereby resulting in demonstratively higher capacitance. Moreover, as the RuO 2 nanostructures have good pseudocapacitance characteristics, they were coated onto vertically aligned CNT bundle arrays in order to effectively enhance the EDLC performances. The characteristics of CNT/graphene, CNT bundle/graphene, and RuO 2 /CNT bundle/graphene electrodes were examined with the use of scanning electron microscopy (SEM), transmission electron microscopy (TEM), and Raman spectroscopy. Furthermore, their electrochemical properties were investigated by an electrochemical analyzer. The specific capacitances of CNT/graphene, CNT bundle/graphene, and RuO 2 /CNT bundle/graphene were 4.64, 6.65, and 128.40 F/g at the scan rate of 0.01 V/s, respectively

  20. Graphene grown out of diamond

    Science.gov (United States)

    Gu, Changzhi; Li, Wuxia; Xu, Jing; Xu, Shicong; Lu, Chao; Xu, Lifang; Li, Junjie; Zhang, Shengbai

    2016-10-01

    Most applications of graphene need a suitable support substrate to present its excellent properties. But transferring graphene onto insulators or growing graphene on foreign substrates could cause properties diminishing. This paper reports the graphene growth directly out of diamond (111) by B doping, guided by first-principles calculations. The spontaneous graphene formation occurred due to the reconstruction of the diamond surface when the B doping density and profile are adequate. The resulting materials are defect free with high phase purity/carrier mobility, controllable layer number, and good uniformity, which can be potentially used directly for device fabrication, e.g., high-performance devices requiring good thermal conductivity.

  1. Capture Zone Distributions and Island Morphologies in Organic Epitaxy and Graphene Formation

    Science.gov (United States)

    Pimpinelli, Alberto; Einstein, T. L.

    2013-03-01

    Stating that island nucleation is an essential step in the formation of an epitaxial or supported layer may appear trivially obvious. However, less trivial is the observation that the size of the critical nucleus plays a crucial role in that it determines both the island density (and therefore the size of domains) and the evolution of the island morphology. In this talk we will describe recent developments in the analysis of capture zone distributions (CZD) specifically tailored for application to organic materials. We will also describe specific features of organic and graphene island morphologies, and discuss how they are related to the nucleation process and to the size of the critical nucleus. Work at UMD supported by NSF-MRSEC, Grant DMR 05-20471 and NSF CHE 07-49949

  2. Reduction of buffer layer conduction near plasma-assisted molecular-beam epitaxy grown GaN/AlN interfaces by beryllium doping

    International Nuclear Information System (INIS)

    Storm, D.F.; Katzer, D.S.; Binari, S.C.; Glaser, E.R.; Shanabrook, B.V.; Roussos, J.A.

    2002-01-01

    Beryllium doping of epitaxial GaN layers is used to reduce leakage currents through interfacial or buffer conducting layers grown by plasma-assisted molecular-beam epitaxy on SiC. Capacitance-voltage measurements of Schottky barrier test structures and dc pinch-off characteristics of unintentionally doped GaN high-electron-mobility transistors indicate that these leakage currents are localized near the GaN/AlN interface of our AlGaN/GaN/AlN device structures. Insertion of a 2000 Aa Be:GaN layer at the interface reduces these currents by three orders of magnitude

  3. High electron mobility in Ga(In)NAs films grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Miyashita, Naoya; Ahsan, Nazmul; Monirul Islam, Muhammad; Okada, Yoshitaka; Inagaki, Makoto; Yamaguchi, Masafumi

    2012-01-01

    We report the highest mobility values above 2000 cm 2 /Vs in Si doped GaNAs film grown by molecular beam epitaxy. To understand the feature of the origin which limits the electron mobility in GaNAs, temperature dependences of mobility were measured for high mobility GaNAs and referential low mobility GaInNAs. Temperature dependent mobility for high mobility GaNAs is similar to the GaAs case, while that for low mobility GaInNAs shows large decrease in lower temperature region. The electron mobility of high quality GaNAs can be explained by intrinsic limiting factor of random alloy scattering and extrinsic factor of ionized impurity scattering.

  4. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  5. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  6. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  7. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  8. Raman Enhancement and Photo-Bleaching of Organic Dyes in the Presence of Chemical Vapor Deposition-Grown Graphene

    Directory of Open Access Journals (Sweden)

    Jiaxin Weng

    2017-10-01

    Full Text Available Fluorescent organic dyes photobleach under intense light. Graphene has been shown to improve the photo-stability of organic dyes. In this paper, we investigated the Raman spectroscopy and photo-bleaching kinetics of dyes in the absence/presence of chemical vapor deposition (CVD-grown graphene. We show that graphene enhances the Raman signal of a wide range of dyes. The photo-bleaching of the dyes was reduced when the dyes were in contact with graphene. In contrast, monolayer hexagonal boron nitride (h-BN was much less effective in reducing the photo-bleaching rate of the dyes. We attribute the suppression of photo-bleaching to the energy or electron transfer from dye to graphene. The results highlight the potential of CVD graphene as a substrate for protecting and enhancing Raman response of organic dyes.

  9. High efficiency thin film solar cells grown by molecular beam epitaxy (HEFTY)

    Energy Technology Data Exchange (ETDEWEB)

    Mason, N.B.; Barnham, K.W.J.; Ballard, I.M.; Zhang, J. [Imperial College, London (United Kingdom)

    2006-05-04

    The project sought to show the UK as a world leader in the field of thin film crystalline solar cells. A premise was that the cell design be suitable for large-scale manufacturing and provide a basis for industrial exploitation. The study demonstrated (1) that silicon films grown at temperatures suitable for deposition on glass by Gas Phase Molecular Beam Epitaxy gives better PV cells than does Ultra Low Pressure Chemical Vapor Deposition; (2) a conversion energy of 15 per cent was achieved - the project target was 18 per cent and (3) one of the highest reported conversion efficiencies for a 15 micrometre silicon film was achieved. The study was carried out by BP Solar Limited under contract to the DTI.

  10. Inhomogeneous Si-doping of gold-seeded InAs nanowires grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Rolland, Chloe; Coinon, Christophe; Wallart, Xavier; Leturcq, Renaud [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Caroff, Philippe [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT 0200 (Australia)

    2013-06-03

    We have investigated in situ Si doping of InAs nanowires grown by molecular beam epitaxy from gold seeds. The effectiveness of n-type doping is confirmed by electrical measurements showing an increase of the electron density with the Si flux. We also observe an increase of the electron density along the nanowires from the tip to the base, attributed to the dopant incorporation on the nanowire facets whereas no detectable incorporation occurs through the seed. Furthermore, the Si incorporation strongly influences the lateral growth of the nanowires without giving rise to significant tapering, revealing the complex interplay between axial and lateral growth.

  11. Near-bandgap optical properties of pseudomorphic GeSn alloys grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    D' Costa, Vijay Richard, E-mail: vdcosta@asu.edu; Wang, Wei; Yeo, Yee-Chia, E-mail: eleyeoyc@nus.edu.sg [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117583 (Singapore)

    2016-08-14

    We investigated the compositional dependence of the near-bandgap dielectric function and the E{sub 0} critical point in pseudomorphic Ge{sub 1-x}Sn{sub x} alloys grown on Ge (100) substrate by molecular beam epitaxy. The complex dielectric functions were obtained using spectroscopic ellipsometry from 0.5 to 4.5 eV at room temperature. Analogous to the E{sub 1} and E{sub 1}+Δ{sub 1} transitions, a model consisting of the compositional dependence of relaxed alloys along with the strain contribution predicted by the deformation potential theory fully accounts for the observed compositional dependence in pseudomorphic alloys.

  12. The α-particle excited scintillation response of YAG:Ce thin films grown by liquid phase epitaxy

    International Nuclear Information System (INIS)

    Prusa, Petr; Nikl, Martin; Mares, Jiri A.; Nitsch, Karel; Beitlerova, Alena; Kucera, Miroslav

    2009-01-01

    Y 3 Al 5 O 12 :Ce (YAG:Ce) thin films were grown from PbO-,BaO-, and MoO 3 -based fluxes using the liquid phase epitaxy (LPE) method. Photoelectron yield, its time dependence within 0.5-10 μs shaping time, and energy resolution of these samples were measured under α-particle excitation. For comparison a sample of the Czochralski grown bulk YAG:Ce single crystal was measured as well. Photoelectron yield values of samples grown from the BaO-based flux were found superior to other LPE films and comparable with that of the bulk single crystal. The same is valid also for the time dependence of photoelectron yield. Obtained results are discussed taking into account the influence of the flux and technology used. Additionally, α particle energy deposition in very thin films is modelled and discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Electrochemical capacitance characteristics of patterned ruthenium dioxide-carbon nanotube nanocomposites grown onto graphene

    Energy Technology Data Exchange (ETDEWEB)

    Shih, Yi-Ting [Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Section 4, Keelung Road, Taipei 10607, Taiwan (China); Lee, Kuei-Yi, E-mail: kylee@mail.ntust.edu.tw [Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Section 4, Keelung Road, Taipei 10607, Taiwan (China); Department of Electronic Engineering, National Taiwan University of Science and Technology, No. 43, Section 4, Keelung Road, Taipei 10607, Taiwan (China); Huang, Ying-Sheng [Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Section 4, Keelung Road, Taipei 10607, Taiwan (China); Department of Electronic Engineering, National Taiwan University of Science and Technology, No. 43, Section 4, Keelung Road, Taipei 10607, Taiwan (China)

    2014-03-01

    Highlights: • Graphene was grown on Cu foil by mobile thermal chemical vapor deposition system. • CNT was synthesized on graphene for RuO{sub 2} nanostructure growth by thermal chemical vapor deposition system. • The CNT growth location was fixed through the use of photolithography technique, thereby increasing the specific area. • RuO{sub 2} nanostructures were coated onto CNT bundle arrays through metal organic chemical vapor deposition, in order to utilize its pseudo capacitive property. - Abstract: In this study, graphene was used as a conductive substrate for vertically aligned carbon nanotube (CNT) bundle arrays growth, to be used as an electrode for electrochemical double layer capacitor (EDLC), as graphene and CNT exhibit good conductivity and excellent chemical stability. Both of them are composed of carbon, therefore making a superior adhesion between them. The configuration of bundle arrays provided a relatively higher specific surface area in contact with electrolyte, thereby resulting in demonstratively higher capacitance. Moreover, as the RuO{sub 2} nanostructures have good pseudocapacitance characteristics, they were coated onto vertically aligned CNT bundle arrays in order to effectively enhance the EDLC performances. The characteristics of CNT/graphene, CNT bundle/graphene, and RuO{sub 2}/CNT bundle/graphene electrodes were examined with the use of scanning electron microscopy (SEM), transmission electron microscopy (TEM), and Raman spectroscopy. Furthermore, their electrochemical properties were investigated by an electrochemical analyzer. The specific capacitances of CNT/graphene, CNT bundle/graphene, and RuO{sub 2}/CNT bundle/graphene were 4.64, 6.65, and 128.40 F/g at the scan rate of 0.01 V/s, respectively.

  14. Investigating the Non-Covalent Functionalization and Chemical Transformation of Graphene

    Science.gov (United States)

    Sham, Chun-Hong

    Trend in device miniatures demands capabilities to produce rationally designed patterns in ever-shrinking length scale. The research community has examined various techniques to push the current lithography resolution to sub-10nm scale. One of the ideas is to utilize the natural nanoscale patterns of molecular assemblies. In this thesis, the self-assembling phenomenon of a photoactive molecule on epitaxial graphene (EG) grown on SiC was discussed. This molecular assembly enables manipulation of chemical contrast in nanoscale through UV exposure or atomic layer deposition. Future development of nanoelectronics industry will be fueled by innovations in electronics materials, which could be discovered through covalent modification of graphene. In a study reported in this thesis, silicon is deposited onto EG. After annealing, a new surface reconstruction, identified to be (3x3)-SiC, was formed. Raman spectroscopy finds no signature of graphene after annealing, indicating a complete chemical transformation of graphene. DFT calculations reveal a possible conversion mechanism. Overall, these studies provide insights for future device miniaturization; contribute to the search of novel materials and help bridging the gap between graphene and current silicon-based industrial infrastructures.

  15. Bi2S3microspheres grown on graphene sheets as low-cost counter-electrode materials for dye-sensitized solar cells

    Science.gov (United States)

    Li, Guang; Chen, Xiaoshuang; Gao, Guandao

    2014-02-01

    In this work, we synthesized 3D Bi2S3 microspheres comprised of nanorods grown along the (211) facet on graphene sheets by a solvothermal route, and investigated its catalytic activities through I-V curves and conversion efficiency tests as the CE in DSSCs. Although the (211) facet has a large band gap for a Bi2S3 semiconductor, owing to the introduction of graphene into the system, its short-circuit current density, open-circuit voltage, fill factor, and efficiency were Jsc = 12.2 mA cm-2, Voc = 0.75 V, FF = 0.60, and η = 5.5%, respectively. By integrating it with graphene sheets, our material achieved the conversion efficiency of 5.5%, which is almost triple the best conversion efficiency value of the DSSCs with (211)-faceted 3D Bi2S3 without graphene (1.9%) reported in the latest literature. Since this conversion-efficient 3D material grown on the graphene sheets significantly improves its catalytic properties, it paves the way for designing and applying low-cost Pt-free CE materials in DSSC from inorganic nanostructures.In this work, we synthesized 3D Bi2S3 microspheres comprised of nanorods grown along the (211) facet on graphene sheets by a solvothermal route, and investigated its catalytic activities through I-V curves and conversion efficiency tests as the CE in DSSCs. Although the (211) facet has a large band gap for a Bi2S3 semiconductor, owing to the introduction of graphene into the system, its short-circuit current density, open-circuit voltage, fill factor, and efficiency were Jsc = 12.2 mA cm-2, Voc = 0.75 V, FF = 0.60, and η = 5.5%, respectively. By integrating it with graphene sheets, our material achieved the conversion efficiency of 5.5%, which is almost triple the best conversion efficiency value of the DSSCs with (211)-faceted 3D Bi2S3 without graphene (1.9%) reported in the latest literature. Since this conversion-efficient 3D material grown on the graphene sheets significantly improves its catalytic properties, it paves the way for

  16. Effect of In_xGa_1_−_xAs interlayer on the properties of In_0_._3Ga_0_._7As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Shuguang; Li, Jingling; Zhang, Xiaona; Li, Guoqiang; Liu, Ying

    2015-01-01

    High-quality In_0_._3Ga_0_._7As films have been epitaxially grown on Si (111) substrate by inserting an In_xGa_1_−_xAs interlayer with various In compositions by molecular beam epitaxy. The effect of In_xGa_1_−_xAs interlayer on the surface morphology and structural properties of In_0_._3Ga_0_._7As films is studied in detail. It reveals that In_0_._3Ga_0_._7As films grown at appropriate In composition in In_xGa_1_−_xAs interlayer exhibit smooth surface with a surface root-mean-square roughness of 1.7 nm; while In_0_._3Ga_0_._7As films grown at different In composition of In_xGa_1_−_xAs interlayer show poorer properties. This work demonstrates a simple but effective method to grow high-quality In_0_._3Ga_0_._7As epilayers on Si substrates, and brings up a broad prospect for the application of InGaAs-based optoelectronic devices on Si substrates. - Highlights: • We provide a simple approach to achieve high-quality In_0_._3Ga_0_._7As films on Si. • An In_0_._2_8Ga_0_._7_2As interlayer can release mismatch strain. • High-quality In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer. • Smooth surface In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer.

  17. Structural and electronic characterization of graphene grown by chemical vapor deposition and transferred onto sapphire

    International Nuclear Information System (INIS)

    Joucken, Frédéric; Colomer, Jean-François; Sporken, Robert; Reckinger, Nicolas

    2016-01-01

    Highlights: • CVD graphene is transferred onto sapphire. • Transport measurements reveal relatively low charge carriers mobility. • Scanning probe microscopy experiments reveal the presence of robust contaminant layers between the graphene and the sapphire, responsible for the low carriers mobility. - Abstract: We present a combination of magnetotransport and local probe measurements on graphene grown by chemical vapor deposition on copper foil and subsequently transferred onto a sapphire substrate. A rather strong p-doping is observed (∼9 × 10 12 cm −2 ) together with quite low carrier mobility (∼1350 cm 2 /V s). Atomic force and tunneling imaging performed on the transport devices reveals the presence of contaminants between sapphire and graphene, explaining the limited performance of our devices. The transferred graphene displays ridges similar to those observed whilst graphene is still on the copper foil. We show that, on sapphire, these ridges are made of different thicknesses of the contamination layer and that, contrary to what was reported for hBN or certain transition metal dichalcogenides, no self-cleansing process of the sapphire substrate is observed.

  18. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Science.gov (United States)

    Ojima, T.; Tainosho, T.; Sharmin, S.; Yanagihara, H.

    2018-04-01

    Real-time in situ reflection high energy electron diffraction (RHEED) observations of Fe3O4, γ-Fe2O3, and (Co,Fe)3O4 films on MgO(001) substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) experiments. This suggests that the layer-by-layer growth of spinel ferrite (001) films is general in most physical vapor deposition (PVD) processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  19. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Ojima

    2018-04-01

    Full Text Available Real-time in situ reflection high energy electron diffraction (RHEED observations of Fe3O4, γ-Fe2O3, and (Co,Fe3O4 films on MgO(001 substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE and pulsed laser deposition (PLD experiments. This suggests that the layer-by-layer growth of spinel ferrite (001 films is general in most physical vapor deposition (PVD processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  20. Unusual strain in homoepitaxial CdTe(001) layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Heinke, H.; Waag, A.; Moeller, M.O.; Regnet, M.M.; Landwehr, G. [Physikalisches Institut, Univ. Wuerzburg (Germany)

    1994-01-01

    For homoepitaxial CdTe(001) films grown by molecular beam epitaxy onto CdTe(001) substrates, a difference between the lattice constants of the substrate and the layer was systematically observed using high resolution X-ray diffraction. Reciprocal space maps point out an unusual strain state of such layers which is indicated by the position of their reciprocal lattice points. They lie in a section of reciprocal space which is usually forbidden by elasticity theory. The strain is laterally anisotropic leading to a monoclinic symmetry of the thin films. The lateral strain is depth dependent. Possible reasons for the formation of the unusual strain are discussed, and a correlation of the unusual strain with the growth conditions is attempted

  1. Si Incorporation in InP Nanowires Grown by Au-Assisted Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Lorenzo Rigutti

    2009-01-01

    Full Text Available We report on the growth, structural characterization, and conductivity studies of Si-doped InP nanowires grown by Au-assisted molecular beam epitaxy. It is shown that Si doping reduces the mean diffusion length of adatoms on the lateral nanowire surface and consequently reduces the nanowire growth rate and promotes lateral growth. A resistivity as low as 5.1±0.3×10−5 Ω⋅cm is measured for highly doped nanowires. Two dopant incorporation mechanisms are discussed: incorporation via catalyst particle and direct incorporation on the nanowire sidewalls. The first mechanism is shown to be less efficient than the second one, resulting in inhomogeneous radial dopant distribution.

  2. MnSi nanostructures obtained from epitaxially grown thin films: magnetotransport and Hall effect

    Science.gov (United States)

    Schroeter, D.; Steinki, N.; Schilling, M.; Fernández Scarioni, A.; Krzysteczko, P.; Dziomba, T.; Schumacher, H. W.; Menzel, D.; Süllow, S.

    2018-06-01

    We present a comparative study of the (magneto)transport properties, including Hall effect, of bulk, epitaxially grown thin film and nanostructured MnSi. In order to set our results in relation to published data we extensively characterize our materials, this way establishing a comparatively good sample quality. Our analysis reveals that in particular for thin film and nanostructured material, there are extrinsic and intrinsic contributions to the electronic transport properties, which by modeling the data we separate out. Finally, we discuss our Hall effect data of nanostructured MnSi under consideration of the extrinsic contributions and with respect to the question of the detection of a topological Hall effect in a skyrmionic lattice.

  3. Low resistive edge contacts to CVD-grown graphene using a CMOS compatible metal

    Energy Technology Data Exchange (ETDEWEB)

    Shaygan, Mehrdad; Otto, Martin; Sagade, Abhay A.; Neumaier, Daniel [Advanced Microelectronic Center Aachen, AMO GmbH, Aachen (Germany); Chavarin, Carlos A. [Lehrstuhl Werkstoffe der Elektrotechnik, Duisburg-Essen Univ., Duisburg (Germany); Innovations for High Performance Microelectronics, IHP GmbH, Frankfurt (Oder) (Germany); Bacher, Gerd; Mertin, Wolfgang [Lehrstuhl Werkstoffe der Elektrotechnik, Duisburg-Essen Univ., Duisburg (Germany)

    2017-11-15

    The exploitation of the excellent intrinsic electronic properties of graphene for device applications is hampered by a large contact resistance between the metal and graphene. The formation of edge contacts rather than top contacts is one of the most promising solutions for realizing low ohmic contacts. In this paper the fabrication and characterization of edge contacts to large area CVD-grown monolayer graphene by means of optical lithography using CMOS compatible metals, i.e. Nickel and Aluminum is reported. Extraction of the contact resistance by Transfer Line Method (TLM) as well as the direct measurement using Kelvin Probe Force Microscopy demonstrates a very low width specific contact resistance down to 130 Ωμm. The contact resistance is found to be stable for annealing temperatures up to 150 C enabling further device processing. Using this contact scheme for edge contacts, a field effect transistor based on CVD graphene with a high transconductance of 0.63 mS/μm at 1 V bias voltage is fabricated. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  5. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/VHEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  6. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    Science.gov (United States)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  7. Electrical transport in n-type ZnMgSSe grown by molecular beam epitaxy on GaAs

    International Nuclear Information System (INIS)

    Marshall, T.; Petruzzello, J.A.; Herko, S.P.

    1994-01-01

    Significant progress in improving the Performance of blue-green II-VI semiconductor injection lasers has come about from advances in the epitaxial growth and doping of ZnMgSSe on GaAs substrates. This paper investigates electrical transport and its relation to structural quality in n-type Zn 1-y Mg y S x Se 1-x epilayers doped with Cl, grown by molecular beam epitaxy. The composition parameters x and y vary from about 0.12-0.18 and 0.08-0.15, respectively. The quaternary epilayers studied are lattice-matched (or nearly so) to the GaAs substrate. Temperature-dependent Hall-effect measurements are performed on seven n-type ZnMgSSe:Cl epilayers, and a technique is presented whereby the resulting mobility-vs-temperature data is compared with data for ZnSe to obtain a structural figure of merit that is useful in characterizing the quaternary epilayer. 29 refs., 4 figs

  8. Magneto-optical fingerprints of distinct graphene multilayers using the giant infrared Kerr effect

    Science.gov (United States)

    Ellis, Chase T.; Stier, Andreas V.; Kim, Myoung-Hwan; Tischler, Joseph G.; Glaser, Evan R.; Myers-Ward, Rachael L.; Tedesco, Joseph L.; Eddy, Charles R.; Gaskill, D. Kurt; Cerne, John

    2013-11-01

    The remarkable electronic properties of graphene strongly depend on the thickness and geometry of graphene stacks. This wide range of electronic tunability is of fundamental interest and has many applications in newly proposed devices. Using the mid-infrared, magneto-optical Kerr effect, we detect and identify over 18 interband cyclotron resonances (CR) that are associated with ABA and ABC stacked multilayers as well as monolayers that coexist in graphene that is epitaxially grown on 4H-SiC. Moreover, the magnetic field and photon energy dependence of these features enable us to explore the band structure, electron-hole band asymmetries, and mechanisms that activate a CR response in the Kerr effect for various multilayers that coexist in a single sample. Surprisingly, we find that the magnitude of monolayer Kerr effect CRs is not temperature dependent. This unexpected result reveals new questions about the underlying physics that makes such an effect possible.

  9. Irradiation induced improvement in crystallinity of epitaxially grown Ag thin films on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Takahiro, Katsumi; Nagata, Shinji; Yamaguchi, Sadae [Tohoku Univ., Sendai (Japan). Inst. for Materials Research

    1997-03-01

    We report the improvement in crystallinity of epitaxially grown Ag films on Si(100) substrates with ion irradiation. The irradiation of 0.5 MeV Si ions to 2x10{sup 16}/cm{sup 2} at 200degC, for example, reduces the channeling minimum yield from 60% to 6% at Ag surface. The improvement originates from the decrease of mosaic spread in the Ag thin film. In our experiments, ion energy, ion species and irradiation temperature have been varied. The better crystallinity is obtained as the higher concentration of defect is generated. The mechanism involved in the irradiation induced improvement is discussed. (author)

  10. Interface termination and band alignment of epitaxially grown alumina films on Cu-Al alloy

    Science.gov (United States)

    Yoshitake, Michiko; Song, Weijie; Libra, Jiří; Mašek, Karel; Šutara, František; Matolín, Vladimír; Prince, Kevin C.

    2008-02-01

    Epitaxial ultrathin alumina films were grown on a Cu-9 at. % Al(111) substrate by selective oxidation of Al in the alloy in ultrahigh vacuum. The photoelectron spectra of Al 2p and valence band were measured in situ during oxidation. By analyzing multiple peaks of Al 2p, the interface atomic structure was discussed. The energy difference between the Fermi level of the substrate and the valence band maximum of alumina (band offset) was obtained. The relation between the interface atomic structure and the band offset was compared with the reported first-principles calculations. A novel method for controlling the band offset was proposed.

  11. Efficient n-type doping of CdTe epitaxial layers grown by photo-assisted molecular beam epitaxy with the use of chlorine

    Energy Technology Data Exchange (ETDEWEB)

    Hommel, D.; Scholl, S.; Kuhn, T.A.; Ossau, W.; Waag, A.; Landwehr, G. (Univ. Wuerzburg, Physikalisches Inst. (Germany)); Bilger, G. (Univ. Stuttgart, Inst. fuer Physikalische Elektronik (Germany))

    1993-01-30

    Chlorine has been used successfully for the first time for n-type doping of CdTe epitaxial layers (epilayers) grown by photo-assisted molecular beam epitaxy. Similar to n-type doping of ZnSe layers, ZnCl[sub 2] has been used as source material. The free-carrier concentration can be varied over more than three orders of magnitude by changing the ZnCl[sub 2] oven temperature. Peak mobilities are 4700 cm[sup 2] V[sup -1] s[sup -1] for an electron concentration of 2x10[sup 16] cm[sup -3] and 525 cm[sup 2] V[sup -1] s[sup -1] for 2x10[sup 18] cm[sup -3]. The electrical transport data obtained by Van der Pauw configuration and Hall structure measurements are consistent with each other, indicating a good uniformity of the epilayers. In photoluminescence the donor-bound-exciton emission dominates for all chlorine concentrations. This contasts significantly with results obtained for indium doping, commonly used for obtaining n-type CdTe epilayers. The superiority of chlorine over indium doping and the influence of growth parameters on the behaviour of CdTe:Cl layers will be discussed on the basis of transport, luminescence, secondary ion mass spectroscopy and X-ray photoelectron spectroscopy data. (orig.).

  12. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    Science.gov (United States)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  13. AlGaAs and AlGaAs/GaAs/AlGaAs nanowires grown by molecular beam epitaxy on silicon substrates

    DEFF Research Database (Denmark)

    Cirlin, G E; Reznik, R R; Shtrom, I V

    2017-01-01

    The data on growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on different (1 1 1) substrates by Au-assisted molecular beam epitaxy are presented. The influence of nanowires growth conditions on structural and optical properties is studied in detail...

  14. Ballistic Transport Exceeding 28 μm in CVD Grown Graphene.

    Science.gov (United States)

    Banszerus, Luca; Schmitz, Michael; Engels, Stephan; Goldsche, Matthias; Watanabe, Kenji; Taniguchi, Takashi; Beschoten, Bernd; Stampfer, Christoph

    2016-02-10

    We report on ballistic transport over more than 28 μm in graphene grown by chemical vapor deposition (CVD) that is fully encapsulated in hexagonal boron nitride. The structures are fabricated by an advanced dry van-der-Waals transfer method and exhibit carrier mobilities of up to three million cm(2)/(Vs). The ballistic nature of charge transport is probed by measuring the bend resistance in cross- and square-shaped devices. Temperature-dependent measurements furthermore prove that ballistic transport is maintained exceeding 1 μm up to 200 K.

  15. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer

    International Nuclear Information System (INIS)

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-01-01

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO 2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO 2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO 2 /Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0–2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p–i–n nanocolumns were fabricated on SiO 2 /Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO 2 . (paper)

  16. Magnetite nano-islands on Graphene

    Science.gov (United States)

    Anderson, Nathaniel; Zhang, Qiang; Rosenberg, Richard; Vaknin, David

    X-ray magnetic circular dichroism (XMCD) of ex-situ iron nano-islands grown on graphene reveals that iron oxidation spontaneously leads to the formation of magnetite nano-particles - i.e, the formation of the inverse spinel Fe3O4. Fe islands have been grown with two different heights (20 and 75 MLs) on epitaxial graphene and we have determined their magnetic behavior both as function of temperature and applied external field. Our XAS and XMCD at an applied magnetic field of B = 5 T show that the thin film (20 MLs) is totally converted to magnetite whereas the thicker film (75 MLs) exhibits magnetite properties but also those of pure metal iron. For both samples, temperature dependence of the XMCD shows clear transitions at ~120 K consistent with the Verwey transition of bulk magnetite. XMCD at low temperatures shows a weak hysteresis and provide the average spin and angular-momentum moments, the dipolar term, and the total moment . In addition, manipulation and comparison of the XMCD data from both samples allows us to extract information about the pure iron nano-islands from the thicker sample. Ames Laboratory is supported by the U.S. DOE, BES, MSE Contract No. DE-AC02-07CH11358. APS is supported by U.S. DOE Contract No. DE-AC02-06CH11357.

  17. Narrow plasmon resonances enabled by quasi-freestanding bilayer epitaxial graphene

    Science.gov (United States)

    Daniels, Kevin M.; Jadidi, M. Mehdi; Sushkov, Andrei B.; Nath, Anindya; Boyd, Anthony K.; Sridhara, Karthik; Drew, H. Dennis; Murphy, Thomas E.; Myers-Ward, Rachael L.; Gaskill, D. Kurt

    2017-06-01

    Exploiting the underdeveloped terahertz range (~1012-1013 Hz) of the electromagnetic spectrum could advance many scientific fields (e.g. medical imaging for the identification of tumors and other biological tissues, non-destructive evaluation of hidden objects or ultra-broadband communication). Despite the benefits of operating in this regime, generation, detection and manipulation have proven difficult, as few materials have functional interactions with THz radiation. In contrast, graphene supports resonances in the THz regime through structural confinement of surface plasmons, which can lead to enhanced absorption. In prior work, the achievable plasmon resonances in such structures have been limited by multiple electron scattering mechanisms (i.e. large carrier scattering rates) which greatly broaden the resonance (>100 cm-1 3 THz). We report the narrowest room temperature Drude response to-date, 30 cm-1 (0.87 THz), obtained using quasi-free standing bilayer epitaxial graphene (QFS BLG) synthesized on (0 0 0 1)6H-SiC. This narrow response is due to a 4-fold increase in carrier mobility and improved thickness and electronic uniformity of QFS BLG. Moreover, QFS BLG samples patterned into microribbons targeting 1.8-5.7 THz plasmon resonances also exhibit low scattering rates (37-53 cm-1). Due to the improved THz properties of QFS BLG, the effects of e-beam processing on carrier scattering rates was determined and we found that fabrication conditions can be tuned to minimize the impact on optoelectronic properties. In addition, electrostatic gating of patterned QFS BLG shows narrow band THz amplitude modulation. Taken together, these properties of QFS BLG should facilitate future development of THz optoelectronic devices for monochromatic applications.

  18. Electron-diffraction and spectroscopical characterisation of ultrathin ZnS films grown by molecular beam epitaxy on GaP(0 0 1)

    International Nuclear Information System (INIS)

    Zhang, L.; Szargan, R.; Chasse, T.

    2004-01-01

    ZnS films were grown by molecular beam epitaxy employing a single compound effusion cell on GaP(0 0 1) substrate at different temperatures, and characterised by means of low energy electron diffraction, X-ray and ultra-violet photoelectron spectroscopy, angle-resolved ultra-violet photoelectron spectroscopy and X-ray emission spectroscopy. The GaP(0 0 1) substrate exhibits a (4x2) reconstruction after Ar ion sputtering and annealing at 370 deg. C. Crystal quality of the ZnS films depends on both film thickness and growth temperature. Thinner films grown at higher temperatures and thicker films grown at lower temperatures have better crystal quality. The layer-by-layer growth mode of the ZnS films at lower (25, 80 and 100 deg. C) temperatures changes to layer-by-layer-plus-island mode at higher temperatures (120, 150 and 180 deg. C). A chemical reaction takes place and is confined to the interface. The valence band offset of the ZnS-GaP heterojunction was determined to be 0.8±0.1 eV. Sulphur L 2,3 emission spectra of ZnS powder raw material and the epitaxial ZnS films display the same features, regardless of the existence of the Ga-S bonding in the film samples

  19. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kuznetsov, P. I.; Nikolaev, S. N.; Onistchenko, E. E.; Pruchkina, A. A.; Temiryazev, A. G. [Russian Academy of Sciences, Kotel’nikov Institute of Radio-Engineering and Electronics (Russian Federation)

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing does not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.

  20. Effects of Pretreatment on the Electronic Properties of Plasma Enhanced Chemical Vapor Deposition Hetero-Epitaxial Graphene Devices

    Science.gov (United States)

    Zhang, Lian-Chang; Shi, Zhi-Wen; Yang, Rong; Huang, Jian

    2014-09-01

    Quasi-monolayer graphene is successfully grown by the plasma enhanced chemical vapor deposition heteroepitaxial method we reported previously. To measure its electrical properties, the prepared graphene is fabricated into Hall ball shaped devices by the routine micro-fabrication method. However, impurity molecules adsorbed onto the graphene surface will impose considerable doping effects on the one-atom-thick film material. Our experiment demonstrates that pretreatment of the device by heat radiation baking and electrical annealing can dramatically influence the doping state of the graphene and consequently modify the electrical properties. While graphene in the as-fabricated device is highly p-doped, as confirmed by the position of the Dirac point at far more than +60 V, baking treatment at temperatures around 180°C can significantly lower the doping level and reduce the conductivity. The following electrical annealing is much more efficient to desorb the extrinsic molecules, as confirmed by the in situ measurement, and as a result, further modify the doping state and electrical properties of the graphene, causing a considerable drop of the conductivity and a shifting of Dirac point from beyond +60 V to 0 V.

  1. Buffer-eliminated, charge-neutral epitaxial graphene on oxidized 4H-SiC (0001) surface

    International Nuclear Information System (INIS)

    Sirikumara, Hansika I.; Jayasekera, Thushari

    2016-01-01

    Buffer-eliminated, charge-neutral epitaxial graphene (EG) is important to enhance its potential in device applications. Using the first principles Density Functional Theory calculations, we investigated the effect of oxidation on the electronic and structural properties of EG on 4H-SiC (0001) surface. Our investigation reveals that the buffer layer decouples from the substrate in the presence of both silicate and silicon oxy-nitride at the interface, and the resultant monolayer EG is charge-neutral in both cases. The interface at 4H-SiC/silicate/EG is characterized by surface dangling electrons, which opens up another route for further engineering EG on 4H-SiC. Dangling electron-free 4H-SiC/silicon oxy-nitride/EG is ideal for achieving charge-neutral EG.

  2. Epitaxial growth of rhenium with sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)

    2006-02-21

    We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.

  3. Graphene ribbon growth on structured silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Stoehr, Alexander; Link, Stefan; Starke, Ulrich [Max-Planck-Institut fuer Festkoerperforschung, Stuttgart (Germany); Baringhaus, Jens; Aprojanz, Johannes; Tegenkamp, Christoph [Institut fuer Festkoerperphysik, Leibniz Universitaet Hannover (Germany); Niu, Yuran [MAX IV Laboratory, Lund University (Sweden); present address: School of Physics and Astronomy, Cardiff University (United Kingdom); Zakharov, Alexei A. [MAX IV Laboratory, Lund University (Sweden); Chen, Chaoyu; Avila, Jose; Asensio, Maria C. [Synchrotron SOLEIL and Universite Paris-Saclay, Gif sur Yvette (France)

    2017-11-15

    Structured Silicon Carbide was proposed to be an ideal template for the production of arrays of edge specific graphene nanoribbons (GNRs), which could be used as a base material for graphene transistors. We prepared periodic arrays of nanoscaled stripe-mesas on SiC surfaces using electron beam lithography and reactive ion etching. Subsequent epitaxial graphene growth by annealing is differentiated between the basal-plane mesas and the faceting stripe walls as monitored by means of atomic force microscopy (AFM). Microscopic low energy electron diffraction (μ-LEED) revealed that the graphene ribbons on the facetted mesa side walls grow in epitaxial relation to the basal-plane graphene with an armchair orientation at the facet edges. The π-band system of the ribbons exhibits linear bands with a Dirac like shape corresponding to monolayer graphene as identified by angle-resolved photoemission spectroscopy (ARPES). (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Superconducting thin films of As-free pnictide LaPd{sub 1-x}Sb{sub 2} grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2013-07-01

    We use reactive molecular beam epitaxy as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaPd{sub 1-x}Sb{sub 2} were grown on (100) MgO substrates from elemental sources by simultaneous evaporation of high purity La, Pd and Sb metals by e-gun. LaPd{sub 1-x}Sb{sub 2} belongs to a novel class of pnictide superconductors with a peculiar pnictide square net layer. Previously, we have reported epitaxial growth of isostructural Bi based compounds. The substitution of Bi by Sb leads to thin films with metallic behavior and room temperature resistivity of about 85 μΩ cm. The highest observed transition temperature T{sub c} inLaPd{sub 1-x}Sb{sub 2} is 3.1 K and does not depend on x. We discuss strategies to increase T{sub c} in this pnictide subfamily.

  5. Raman Scattering analysis of InGaAs and AlGaAs superlattices grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Oeztuerk, N.; Bahceli, S.

    2010-01-01

    InGaAs/GaAs and AlGaAs/GaAs multiple quantum well structures were grown by molecular beam epitaxy and investigated by X-ray diffraction and micro Raman spectroscopy. Phonon modes are investigated in backscattering from (001) surface. In the measured micro Raman spectrum for both structure, phonon peaks can be resolved for GaAs. These are longitudinal optical (LO) mode at 293 cm - 1 and 294 cm - 1 for InGaAs and AlGaAs, respectively.

  6. Direct measurement of adhesion energy of monolayer graphene as-grown on copper and its application to renewable transfer process.

    Science.gov (United States)

    Yoon, Taeshik; Shin, Woo Cheol; Kim, Taek Yong; Mun, Jeong Hun; Kim, Taek-Soo; Cho, Byung Jin

    2012-03-14

    Direct measurement of the adhesion energy of monolayer graphene as-grown on metal substrates is important to better understand its bonding mechanism and control the mechanical release of the graphene from the substrates, but it has not been reported yet. We report the adhesion energy of large-area monolayer graphene synthesized on copper measured by double cantilever beam fracture mechanics testing. The adhesion energy of 0.72 ± 0.07 J m(-2) was found. Knowing the directly measured value, we further demonstrate the etching-free renewable transfer process of monolayer graphene that utilizes the repetition of the mechanical delamination followed by the regrowth of monolayer graphene on a copper substrate. © 2012 American Chemical Society

  7. Sm cluster superlattice on graphene/Ir(111)

    Science.gov (United States)

    Mousadakos, Dimitris; Pivetta, Marina; Brune, Harald; Rusponi, Stefano

    2017-12-01

    We report on the first example of a self-assembled rare earth cluster superlattice. As a template, we use the moiré pattern formed by graphene on Ir(111); its lattice constant of 2.52 nm defines the interparticle distance. The samarium cluster superlattice forms for substrate temperatures during deposition ranging from 80 to 110 K, and it is stable upon annealing to 140 K. By varying the samarium coverage, the mean cluster size can be increased up to 50 atoms, without affecting the long-range order. The spatial order and the width of the cluster size distribution match the best examples of metal cluster superlattices grown by atomic beam epitaxy on template surfaces.

  8. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    International Nuclear Information System (INIS)

    Schulze, J.; Oehme, M.; Werner, J.

    2012-01-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that – depending on the chosen operating point and device design – the diode serves as a broadband high speed photo detector, Franz–Keldysh effect modulator or light emitting diode.

  9. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, J., E-mail: schulze@iht.uni-stuttgart.de; Oehme, M.; Werner, J.

    2012-02-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that - depending on the chosen operating point and device design - the diode serves as a broadband high speed photo detector, Franz-Keldysh effect modulator or light emitting diode.

  10. Structure and optical band gaps of (Ba,Sr)SnO{sub 3} films grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schumann, Timo; Raghavan, Santosh; Ahadi, Kaveh; Kim, Honggyu; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-09-15

    Epitaxial growth of (Ba{sub x}Sr{sub 1−x})SnO{sub 3} films with 0 ≤ x ≤ 1 using molecular beam epitaxy is reported. It is shown that SrSnO{sub 3} films can be grown coherently strained on closely lattice and symmetry matched PrScO{sub 3} substrates. The evolution of the optical band gap as a function of composition is determined by spectroscopic ellipsometry. The direct band gap monotonously decreases with x from to 4.46 eV (x = 0) to 3.36 eV (x = 1). A large Burnstein-Moss shift is observed with La-doping of BaSnO{sub 3} films. The shift corresponds approximately to the increase in Fermi level and is consistent with the low conduction band mass.

  11. Immobilization of carbon nanotubes on functionalized graphene film grown by chemical vapor deposition and characterization of the hybrid material

    Directory of Open Access Journals (Sweden)

    Prashanta Dhoj Adhikari

    2014-01-01

    Full Text Available We report the surface functionalization of graphene films grown by chemical vapor deposition and fabrication of a hybrid material combining multi-walled carbon nanotubes and graphene (CNT–G. Amine-terminated self-assembled monolayers were prepared on graphene by the UV-modification of oxidized groups introduced onto the film surface. Amine-termination led to effective interaction with functionalized CNTs to assemble a CNT–G hybrid through covalent bonding. Characterization clearly showed no defects of the graphene film after the immobilization reaction with CNT. In addition, the hybrid graphene material revealed a distinctive CNT–G structure and p–n type electrical properties. The introduction of functional groups on the graphene film surface and fabrication of CNT–G hybrids with the present technique could provide an efficient, novel route to device fabrication.

  12. Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy

    Science.gov (United States)

    Kanzyuba, Vasily; Dong, Sining; Liu, Xinyu; Li, Xiang; Rouvimov, Sergei; Okuno, Hanako; Mariette, Henri; Zhang, Xueqiang; Ptasinska, Sylwia; Tracy, Brian D.; Smith, David J.; Dobrowolska, Margaret; Furdyna, Jacek K.

    2017-02-01

    We describe the structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy on GaAs (111) substrates, as revealed by transmission electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. When the Mn concentration is increased, the lattice of the ternary (Sn,Mn)Se films evolves quasi-coherently from a SnSe2 two-dimensional (2D) crystal structure into a more complex quasi-2D lattice rearrangement, ultimately transforming into the magnetically concentrated antiferromagnetic MnSe 3D rock-salt structure as Mn approaches 50 at. % of this material. These structural transformations are expected to underlie the evolution of magnetic properties of this ternary system reported earlier in the literature.

  13. Tension-controlled single-crystallization of copper foils for roll-to-roll synthesis of high-quality graphene films

    Science.gov (United States)

    Jo, Insu; Park, Subeom; Kim, Dongjin; San Moon, Jin; Park, Won Bae; Kim, Tae Hyeong; Hyoun Kang, Jin; Lee, Wonbae; Kim, Youngsoo; Lee, Dong Nyung; Cho, Sung-Pyo; Choi, Hyunchul; Kang, Inbyeong; Park, Jong Hyun; Lee, Jeong Soo; Hong, Byung Hee

    2018-04-01

    It has been known that the crystalline orientation of Cu substrates plays a crucial role in chemical vapor deposition (CVD) synthesis of high-quality graphene. In particular, Cu (1 1 1) surface showing the minimum lattice mismatch with graphene is expected to provide an ideal catalytic reactivity that can minimize the formation of defects, which also induces larger single-crystalline domain sizes of graphene. Usually, the Cu (1 1 1) substrates can be epitaxially grown on single-crystalline inorganic substrates or can be recrystallized by annealing for more than 12 h, which limits the cost and time-effective synthesis of graphene. Here, we demonstrate a new method to optimize the crystalline orientations of vertically suspended Cu foils by tension control during graphene growth, resulting in large-area recrystallization into Cu (1 1 1) surface as the applied tension activates the grain boundary energy of Cu and promotes its abnormal grain growth to single crystals. In addition, we found a clue that the formation of graphene cooperatively assists the recrystallization into Cu (1 1 1) by minimizing the surface energy of Cu. The domain sizes and charge carrier mobility of graphene grown on the single-crystalline Cu (1 1 1) are 5 times and ~50% increased, respectively, in comparison with those of graphene from Cu (1 0 0), indicating that the less lattice mismatch and the lower interaction energy between Cu (1 1 1) and graphene allows the growth of larger single-crystalline graphene with higher charge carrier mobility. Thus, we believe that our finding provides a crucial idea to design a roll-to-roll (R2R) graphene synthesis system where the tension control is inevitably involved, which would be of great importance for the continuous production of high-quality graphene in the future.

  14. Epitaxial growth and magnetic properties of Fe4-xMnxN thin films grown on MgO(0 0 1) substrates by molecular beam epitaxy

    Science.gov (United States)

    Anzai, Akihito; Takata, Fumiya; Gushi, Toshiki; Toko, Kaoru; Suemasu, Takashi

    2018-05-01

    Epitaxial Fe4-xMnxN (x = 0, 1, 2, 3, and 4) thin films were successfully grown on MgO(0 0 1) single-crystal substrates by molecular beam epitaxy, and their crystalline qualities and magnetic properties were investigated. It was found that the lattice constants of Fe4-xMnxN obtained from X-ray diffraction measurement increased with the Mn content. The ratio of the perpendicular lattice constant c to the in-plane lattice constant a of Fe4-xMnxN was found to be about 0.99 at x ⩾ 2. The magnetic properties evaluated using a vibrating sample magnetometer at room temperature revealed that all of the Fe4-xMnxN films exhibited ferromagnetic behavior regardless of the value of x. In addition, the saturation magnetization decreased non-linearly as the Mn content increased. Finally, FeMn3N and Mn4N exhibited perpendicular anisotropy and their uniaxial magnetic anisotropy energies were 2.2 × 105 and 7.5 × 105 erg/cm3, respectively.

  15. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  16. Preparation and characterization of epitaxially grown unsupported yttria-stabilized zirconia (YSZ) thin films

    Energy Technology Data Exchange (ETDEWEB)

    Götsch, Thomas; Mayr, Lukas [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Stöger-Pollach, Michael [University Service Center for Transmission Electron Microscopy (USTEM), Vienna University of Technology, A-1040 Vienna (Austria); Klötzer, Bernhard [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Penner, Simon, E-mail: simon.penner@uibk.ac.at [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria)

    2015-03-15

    Highlights: • Preparation of unsupported yttrium-stabilized zirconia films. • Control of ordering and epitaxy by temperature of deposition template. • Adjustment of film defectivity by deposition and post-oxidation temperature. • Reproducibility of target stoichiometry in the deposited films. • Lateral and vertical chemical homogeneity. - Abstract: Epitaxially grown, chemically homogeneous yttria-stabilized zirconia thin films (“YSZ”, 8 mol% Y{sub 2}O{sub 3}) are prepared by direct-current sputtering onto a single-crystalline NaCl(0 0 1) template at substrate temperatures ≥493 K, resulting in unsupported YSZ films after floating off NaCl in water. A combined methodological approach by dedicated (surface science) analytical characterization tools (transmission electron microscopy and diffraction, atomic force microscopy, angle-resolved X-ray photoelectron spectroscopy) reveals that the film grows mainly in a [0 0 1] zone axis and no Y-enrichment in surface or bulk regions takes place. In fact, the Y-content of the sputter target is preserved in the thin films. Analysis of the plasmon region in EEL spectra indicates a defective nature of the as-deposited films, which can be suppressed by post-deposition oxidation at 1073 K. This, however, induces considerable sintering, as deduced from surface morphology measurements by AFM. In due course, the so-prepared unsupported YSZ films might act as well-defined model systems also for technological applications.

  17. Characterization of low Al content Al{sub x}Ga{sub 1-x}N epitaxial films grown by atmospheric-pressure MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Toure, A.; Halidou, I.; Benzarti, Z.; Bchetnia, A.; El Jani, B. [Faculte des Sciences, Unite de Recherche sur les Hetero-Epitaxies et Applications, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-Chimie des Materiaux, Faculte des Sciences de Monastir, Unite de Service Commun de Recherche ' ' High Resolution X-ray Diffractometer' ' , 5019 Monastir (Tunisia)

    2012-05-15

    Al{sub x}Ga{sub 1-x}N epitaxial films grown on GaN/sapphire by atmospheric-pressure metalorganic vapor phase epitaxy (AP-MOVPE) using trimethylgallium (TMG) and trimethylaluminum (TMA) as group III precursors have been studied. Two groups of samples were grown. The aluminum (Al) solid composition of Al{sub x}Ga{sub 1-x}N was varied in the range from 0.03 to 0.20 by changing the molar flow ratio [TMA/(TMA + TMG)]. The effect of TMA flow rate, respectively, TMG flow rate, on the growth rate, and Al solid composition is discussed. The structural properties of the alloys have been investigated by high-resolution X-ray diffraction (HRXRD). The optical properties of these samples were investigated by photoluminescence (PL). It is found that on increasing Al solid composition, via an increase of the TMA flow rate, the structural quality is deteriorated and the growth efficiency decreases. On the other hand, when the TMG flow rate is reduced, a decrease of the full width at half-maximum (FWHM) is observed with Al content. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    Science.gov (United States)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  19. Improvement of electrical property of Si-doped GaN grown on r-plane sapphire by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, K.; Furuzuki, T.; Ohkawa, K.

    2006-01-01

    Electrical property of Si-doped GaN layers grown on r-plane sapphire substrates by atmospheric metalorganic vapor-phase epitaxy was investigated. The electron mobility was drastically improved when GaN was grown by means of optimized combinations of growth temperature and low-temperature GaN buffer thickness. The highest room-temperature mobility of 220cm 2 /Vs was recorded at the carrier density of 1.1x10 18 cm -3 . Temperature dependence of electrical property revealed that the peak mobility of 234cm 2 /Vs was obtained at 249K. From the slope of carrier density as a function of inverse temperature, the activation energy of Si-donors was evaluated to be 11meV

  20. Influence of substrate quality on structural properties of AlGaN/GaN superlattices grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, F. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); Merkel, U.; Schmult, S. [TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany); Mikolajick, T. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany)

    2014-02-28

    Short-period AlGaN/GaN superlattices were established as versatile test structures to investigate the structural properties of molecular beam epitaxy (MBE)-grown GaN and AlGaN layers and their dependence on the GaN substrate quality. X-ray diffractometry data of the investigated superlattices allow access to relevant structural parameters such as aluminum mole fraction and layer thicknesses. The occurrence of theoretically predicted intense high-order satellite peaks and pronounced interface fringes in the diffraction pattern reflects abrupt interfaces and perfect 2-dimensional growth resulting in smooth surfaces. The data unambiguously demonstrate that the structural quality of the MBE grown layers is limited by the structural properties of the GaN substrate.

  1. Lattice site location of optical centers in GaN:Eu light emitting diode material grown by organometallic vapor phase epitaxy

    KAUST Repository

    Lorenz, K.; Alves, E.; Roqan, Iman S.; O’ Donnell, K. P.; Nishikawa, A.; Fujiwara, Y.; Boćkowski, M.

    2010-01-01

    Eu-doped GaN was grown by organometallic vapor phase epitaxy at temperatures from 900 to 1100 °C. Eu incorporation is influenced by temperature with the highest concentration found for growth at 1000 °C. In all samples, Eu is incorporated entirely on substitutional Ga sites with a slight displacement which is highest (∼0.2 Å) in the sample grown at 900 °C and mainly directed along the c-axis. The major optical Eu3+ centers are identical for in situdoped and ion-implanted samples after high temperature and pressure annealing. The dominant Eu3+luminescence lines are attributed to isolated, substitutional Eu.

  2. Lattice site location of optical centers in GaN:Eu light emitting diode material grown by organometallic vapor phase epitaxy

    KAUST Repository

    Lorenz, K.

    2010-09-16

    Eu-doped GaN was grown by organometallic vapor phase epitaxy at temperatures from 900 to 1100 °C. Eu incorporation is influenced by temperature with the highest concentration found for growth at 1000 °C. In all samples, Eu is incorporated entirely on substitutional Ga sites with a slight displacement which is highest (∼0.2 Å) in the sample grown at 900 °C and mainly directed along the c-axis. The major optical Eu3+ centers are identical for in situdoped and ion-implanted samples after high temperature and pressure annealing. The dominant Eu3+luminescence lines are attributed to isolated, substitutional Eu.

  3. Structural, electrical and luminescent characteristics of ultraviolet light emitting structures grown by hydride vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    A.Y. Polyakov

    2017-03-01

    Full Text Available Electrical and luminescent properties of near-UV light emitting diode structures (LEDs prepared by hydride vapor phase epitaxy (HVPE were studied. Variations in photoluminescence and electroluminescence efficiency observed for LEDs grown under nominally similar conditions could be attributed to the difference in the structural quality (dislocation density, density of dislocations agglomerates of the GaN active layers, to the difference in strain relaxation achieved by growth of AlGaN/AlGaN superlattice and to the presence of current leakage channels in current confining AlGaN layers of the double heterostructure.

  4. Substantial improvements of long-term stability in encapsulation-free WS2 using highly interacting graphene substrate

    Science.gov (United States)

    Kim, Se-Yang; Kwak, Jinsung; Kim, Jung Hwa; Lee, Jae-Ung; Jo, Yongsu; Youb Kim, Sung; Cheong, Hyeonsik; Lee, Zonghoon; Kwon, Soon-Yong

    2017-03-01

    We report the novel role of graphene substrates in obstructing the aging propagation in both the basal planes and edges of two-dimensitional sheets of transition metal dichalcogenides (TMDs). Even after 300 d in ambient air conditions, the epitaxially grown WS2/graphene samples have a clean, uniform surface without any encapsulation. We show that high crystallinity is an effective factor that determines the excellent air stability of WS2/graphene, and we present impressive experimental evidence of the relation between defects and the aging phenomena. Moreover, we reveal the strong interlayer charge interaction as an additional factor for the enhanced air stability as a result of charge transfer-induced doping. This work not only proposes a simple method to create highly stable TMDs by the selection of a suitable substrate but also paves the way for the realization of practical TMDs-based applications.

  5. As-grown graphene/copper nanoparticles hybrid nanostructures for enhanced intensity and stability of surface plasmon resonance

    Science.gov (United States)

    Li, Yun-Fei; Dong, Feng-Xi; Chen, Yang; Zhang, Xu-Lin; Wang, Lei; Bi, Yan-Gang; Tian, Zhen-Nan; Liu, Yue-Feng; Feng, Jing; Sun, Hong-Bo

    2016-11-01

    The transfer-free fabrication of the high quality graphene on the metallic nanostructures, which is highly desirable for device applications, remains a challenge. Here, we develop the transfer-free method by direct chemical vapor deposition of the graphene layers on copper (Cu) nanoparticles (NPs) to realize the hybrid nanostructures. The graphene as-grown on the Cu NPs permits full electric contact and strong interactions, which results in a strong localization of the field at the graphene/copper interface. An enhanced intensity of the localized surface plasmon resonances (LSPRs) supported by the hybrid nanostructures can be obtained, which induces a much enhanced fluorescent intensity from the dye coated hybrid nanostructures. Moreover, the graphene sheets covering completely and uniformly on the Cu NPs act as a passivation layer to protect the underlying metal surface from air oxidation. As a result, the stability of the LSPRs for the hybrid nanostructures is much enhanced compared to that of the bare Cu NPs. The transfer-free hybrid nanostructures with enhanced intensity and stability of the LSPRs will enable their much broader applications in photonics and optoelectronics.

  6. Characterization of as-grown and heavily irradiated GaN epitaxial structures by photoconductivity and photoluminescence

    International Nuclear Information System (INIS)

    Gaubas, E.; Jurs e-dot nas, S.; Tomasiunas, R.; Vaitkus, J.; Zukauskas, A.; Blue, A.; Rahman, M.; Smith, K.M.

    2005-01-01

    The influence of radiation defects on photoconductivity transients and photoluminescence (PL) spectra have been examined in semi-insulating GaN epitaxial layers grown on bulk n-GaN/sapphire substrates. Defects induced by 10-keV X-ray irradiation with a dose of 600Mrad and 100-keV neutrons with fluences of 5x10 14 and 10 16 cm -2 have been revealed through contact photoconductivity and microwave absorption transients. The amplitude of the initial photoconductivity decay is significantly reduced by the radiation defect density. A simultaneous decrease with radiation-induced defect density is also observed in the steady-state PL intensity of yellow, blue and ultraviolet bands peaked at 2.18, 2.85, and 3.42eV, respectively. The decrease of the PL intensity is accompanied by an increase of asymptotic decay lifetime, which is due to excess carrier multi-trapping. The decay can be described by the stretched exponential approximation exp[-(t/τ) α ] with different values of α in as-grown material (α∼0.7) and irradiated samples (α∼0.3). The value of the fracton dimension d s of the disordered structure, evaluated as d s =2α/(1-α), changes from 4.7 to 0.86 for as-grown and irradiated material, respectively, implying percolative carrier motion on an infinite cluster of dislocations net in the as-grown material and cluster fragmentation into finite fractons after irradiation

  7. A model for arsenic anti-site incorporation in GaAs grown by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schulte, K. L.; Kuech, T. F. [Department of Chemical and Biological Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2014-12-28

    GaAs growth by hydride vapor phase epitaxy (HVPE) has regained interest as a potential route to low cost, high efficiency thin film photovoltaics. In order to attain the highest efficiencies, deep level defect incorporation in these materials must be understood and controlled. The arsenic anti-site defect, As{sub Ga} or EL2, is the predominant deep level defect in HVPE-grown GaAs. In the present study, the relationships between HVPE growth conditions and incorporation of EL2 in GaAs epilayers were determined. Epitaxial n-GaAs layers were grown under a wide range of deposition temperatures (T{sub D}) and gallium chloride partial pressures (P{sub GaCl}), and the EL2 concentration, [EL2], was determined by deep level transient spectroscopy. [EL2] agreed with equilibrium thermodynamic predictions in layers grown under conditions in which the growth rate, R{sub G}, was controlled by conditions near thermodynamic equilibrium. [EL2] fell below equilibrium levels when R{sub G} was controlled by surface kinetic processes, with the disparity increasing as R{sub G} decreased. The surface chemical composition during growth was determined to have a strong influence on EL2 incorporation. Under thermodynamically limited growth conditions, e.g., high T{sub D} and/or low P{sub GaCl}, the surface vacancy concentration was high and the bulk crystal was close to equilibrium with the vapor phase. Under kinetically limited growth conditions, e.g., low T{sub D} and/or high P{sub GaCl}, the surface attained a high GaCl coverage, blocking As adsorption. This competitive adsorption process reduced the growth rate and also limited the amount of arsenic that incorporated as As{sub Ga}. A defect incorporation model which accounted for the surface concentration of arsenic as a function of the growth conditions, was developed. This model was used to identify optimal growth parameters for the growth of thin films for photovoltaics, conditions in which a high growth rate and low [EL2] could be

  8. Group III nitride-arsenide long wavelength lasers grown by elemental source molecular beam epitaxy

    International Nuclear Information System (INIS)

    Coldren, C. W.; Spruytte, S. G.; Harris, J. S.; Larson, M. C.

    2000-01-01

    Elemental source molecular beam epitaxy was used to grow InGaNAs quantum well samples, edge-emitting laser diodes, and vertical-cavity laser diodes on GaAs substrates. The quantum well samples exhibited an as-grown room temperature photoluminescence peak beyond 1310 nm which both increased dramatically in intensity and blueshifted with thermal annealing. Edge emitting laser diodes had threshold current densities as low as 450 and 750 A/cm 2 for single and triple quantum well active regions, respectively, and emitted light at 1220-1250 nm. The vertical cavity laser diodes emitted light at 1200 nm and had threshold current densities of 3 kA/cm 2 and efficiencies of 0.066 W/A. (c) 2000 American Vacuum Society

  9. Unusual photoluminescence properties of vertically aligned InN nanorods grown by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Shen, C.H.; Chen, H.Y.; Lin, H.W.; Wu, C.Y.; Gwo, S.; Klochikhin, A.A.; Davydov, V.Yu.

    2007-01-01

    We report the unusual photoluminescence (PL) properties of vertically aligned InN nanorod arrays grown on Si(111) with a Si 3 N 4 buffer layer. The optimum growth conditions of InN nanorods are obtained by controlling the III/V ratio and the growth temperature. Structural characterization by X-ray diffraction and scanning electron microscopy indicates that individual nanorods are wurtzite InN single crystals with the growth direction along the c-axis. Near-infrared PL from InN nanorods is clearly observed at room temperature. However, in comparison to the PL from InN epitaxial films, the PL from InN nanorods is significantly lower in efficiency and exhibit anomalous temperature dependence. We propose that these unusual PL properties are results of considerable structural disorder (especially for the low-temperature grown InN nanorods) and strong surface electron accumulation effect. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Direct Observation of 2D Electrostatics and Ohmic Contacts in Template-Grown Graphene/WS2 Heterostructures.

    Science.gov (United States)

    Zheng, Changxi; Zhang, Qianhui; Weber, Bent; Ilatikhameneh, Hesameddin; Chen, Fan; Sahasrabudhe, Harshad; Rahman, Rajib; Li, Shiqiang; Chen, Zhen; Hellerstedt, Jack; Zhang, Yupeng; Duan, Wen Hui; Bao, Qiaoliang; Fuhrer, Michael S

    2017-03-28

    Large-area two-dimensional (2D) heterojunctions are promising building blocks of 2D circuits. Understanding their intriguing electrostatics is pivotal but largely hindered by the lack of direct observations. Here graphene-WS 2 heterojunctions are prepared over large areas using a seedless ambient-pressure chemical vapor deposition technique. Kelvin probe force microscopy, photoluminescence spectroscopy, and scanning tunneling microscopy characterize the doping in graphene-WS 2 heterojunctions as-grown on sapphire and transferred to SiO 2 with and without thermal annealing. Both p-n and n-n junctions are observed, and a flat-band condition (zero Schottky barrier height) is found for lightly n-doped WS 2 , promising low-resistance ohmic contacts. This indicates a more favorable band alignment for graphene-WS 2 than has been predicted, likely explaining the low barriers observed in transport experiments on similar heterojunctions. Electrostatic modeling demonstrates that the large depletion width of the graphene-WS 2 junction reflects the electrostatics of the one-dimensional junction between two-dimensional materials.

  11. Low temperature step-graded InAlAs/GaAs metamorphic buffer layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Shang, X Z; Wu, S D; Liu, C; Wang, W X; Guo, L W; Huang, Q; Zhou, J M

    2006-01-01

    Low-temperature step-graded InAlAs metamorphic buffer layers on GaAs substrate grown by molecular beam epitaxy were investigated. The strain relaxation and the composition of the top InAlAs layer were determined by high-resolution triple-axis x-ray diffraction measurements, which show that the top InAlAs layer is nearly fully relaxed. Surface morphology was observed by reflection high-energy electron diffraction pattern and atomic force microscopy. Under a selected range of growth parameters, the root mean square surface roughness of the sample grown at 380 deg. C is 0.802 nm, which has the smallest value compared with those of other samples. Furthermore, The ω-2θ and ω scans of the triple-axis x-ray diffraction, and photoluminescence show the sample grown at 380 deg. C has better crystalline quality. With decreasing As overpressure at this growth temperature, crystalline quality became poor and could not maintain two dimensional growth with increasing overpressure. The carrier concentrations and Hall mobilities of the InAlAs/ InGaAs/GaAs MM-HEMT structure on low-temperature step-graded InAlAs metamorphic buffer layers grown in optimized conditions are high enough to make devices

  12. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    Science.gov (United States)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  15. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-01-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12 nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2 eV, which corresponds to a 3.2 eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior

  16. Spectroscopic ellipsometry analysis of GaAs1-xNx layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ben Sedrine, N.; Rihani, J.; Stehle, J.L.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    In this work, we present the effect of nitrogen incorporation on the dielectric function of GaAsN samples, grown by molecular beam epitaxy (MBE) followed by a rapid thermal annealing (for 90 s at 680 deg. C). The GaAs 1-x N x samples with N content up to 1.5% (x = 0.0%, 0.1%, 0.5%, 1.5%), are investigated using room temperature spectroscopic ellipsometry (SE). The optical transitions in the spectral region around 3 eV are analyzed by fitting analytical critical point line shapes to the second derivative of the dielectric function. It was found that the features associated with E 1 and E 1 + Δ 1 transitions are blue-shifted and become less sharp with increasing nitrogen incorporation, in contrast to the case of E 0 transition energy in GaAs 1-x N x . An increase of the split-off Δ 1 energy with nitrogen content was also obtained, in agreement to results found with MOVPE GaAs 1-x N x grown samples

  17. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    Science.gov (United States)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  18. SIMS studies of CI- doped Zn Se epilayers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gard, F.S.; Riley, J.D.; Lekey, R.; Usher, B.F.; Prine, K.

    2004-01-01

    Chlorine is one of the most used species to produce n-type zinc selenium epilayers. In this paper, we present secondary ion mass spectrometry profiles of a series of chlorine-doped zinc selenium samples, which were grown in a molecular beam epitaxy chamber. These profiles have been used to examine the limitation of secondary ion mass spectrometry analysis of narrow chlorine-delta layers. In order to covert secondary ion mass spectrometry raw data to quantified data, the depth profile from a chlorine-implanted standard sample has been used to estimate the u seful ion yield o f chlorine and thus the instrument sensitivity for chlorine in a zinc selenium matrix. The u seful ion yield a nd detection limit of chlorine in the zinc selenium host matrix were calculated to be 4.7 X 10 -17 atoms/ cm 3 , respectively

  19. Transport and magnetic properties of Pr1-x Ca x MnO3 epitaxial films grown on LaAlO3 substrates

    International Nuclear Information System (INIS)

    Maniwa, A.; Okano, K.; Ohkubo, I.; Kumigashira, H.; Oshima, M.; Lippmaa, M.; Kawasaki, M.; Koinuma, H.

    2007-01-01

    We have measured physical properties of Pr 1- x Ca x MnO 3 (PCMO) epitaxial thin films with different hole concentrations (x=0.2, 0.3, 0.4, and 0.5) grown on LaAlO 3 (1 0 0) substrates by laser molecular beam epitaxy technique. The temperature dependence of the resistivity shows insulating behavior in all temperature regions and the resistivity itself monotonously decreases as x increases. This insulating nature of PCMO films is similar to that of bulk PCMO crystals. However, we did not find any indication of the resistivity anomaly associated with the onset of charge ordering irrespective of x. These results suggest that the compressive strain strongly suppresses charge-ordered states in PCMO

  20. Double-heterostructure PbSnTe lasers grown by molecular-beam epitaxy with cw operation up to 114 K

    International Nuclear Information System (INIS)

    Walpole, J.N.; Calawa, A.R.; Harman, T.C.; Groves, S.H.

    1976-01-01

    Double-heterostructure Pb/sub 1-x/Sn/sub x/Te lasers with active regions of Pb 0 . 782 Sn 0 . 218 Te have been grown by molecular-beam epitaxy which operate cw up to heat-sink temperatures of 114 0 K. Temperature tuning of the emission from 15.9 to 8.54 μm wavelength is obtained, with emission at 77 0 K near 11.5 μm. The current-voltage characteristics show an abrupt change in slope at threshold, indicating high incremental internal quantum efficiency

  1. Electrical properties of GaAsN film grown by chemical beam epitaxy

    International Nuclear Information System (INIS)

    Nishimura, K.; Suzuki, H.; Saito, K.; Ohshita, Y.; Kojima, N.; Yamaguchi, M.

    2007-01-01

    The local vibrational modes (LVMs) observed by Fourier transform infrared (FTIR) spectroscopy in GaAsN films grown by chemical beam epitaxy (CBE) was studied, and the influence of the nitrogen-hydrogen bond (N-H) concentration on the hole concentration was investigated. The absorption peak around 936 cm -1 is suggested to be the second harmonic mode of the substitutional N, N As , LVM around 469 cm -1 . The absorption peak around 960 cm -1 is suggested to be the wagging mode of the N-H, where the stretch mode is observed around 3098 cm -1 . The hole concentration linearly increases with increasing N-H concentration, and the slope increases with increasing growth temperature. It indicates that the hole concentration in GaAsN film is determined by both the number of the N-H and unknown defect, such as impurities, vacancies, and interstitials. This defect concentration increases with increasing growth temperature, suggesting that it is determined by Arrhenius type reaction

  2. Electrografting and morphological studies of chemical vapour deposition grown graphene sheets modified by electroreduction of aryldiazonium salts

    International Nuclear Information System (INIS)

    Mooste, Marek; Kibena, Elo; Kozlova, Jekaterina; Marandi, Margus; Matisen, Leonard; Niilisk, Ahti; Sammelselg, Väino; Tammeveski, Kaido

    2015-01-01

    Highlights: • CVD-grown graphene sheets were electrografted with various aryldiazonium salts • Redox grafting was applied to form thick nitrophenyl films • The reduction of the released radicals was in evidence during the redox grafting • Multilayer formation on CVD graphene was confirmed by XPS and AFM measurements • Thickness of different aryl layers on CVD graphene varied from few to 30 nm - Abstract: This work focuses on investigating the electrografting of chemical vapour deposition (CVD) graphene electrodes grown onto Ni foil (Ni/Gra) with different diazonium salts (including azobenzene diazonium tetrafluoroborate, Fast Garnet GBC sulphate salt, Fast Black K salt, 4-bromobenzene diazonium tetrafluoroborate and 4-nitrobenzenediazonium tetrafluoroborate). Various grafting conditions (e.g. “normal” electrografting in the narrow potential range and redox grafting in the wider potential range) were used. The electrochemical grafting behaviour was similar for all diazonium compounds used, except for the 4-nitrobenzenediazonium tetrafluoroborate when redox grafting was applied. The X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and Raman spectroscopy results confirmed the presence of the corresponding aryl layers on Ni/Gra surfaces. The formation of multilayers on Ni/Gra substrates was in evidence since the thickness of different aryl layers varied from few to 30 nm depending on the modification procedures as well as the diazonium compounds used and the XPS analysis revealed a peak at about 400 eV for all aryl-modified Ni/Gra samples suggesting the multilayer formation also through azo linkages

  3. Strain-symmetrized Si/SiGe multi-quantum well structures grown by molecular beam epitaxy for intersubband engineering

    International Nuclear Information System (INIS)

    Zhao, M.; Karim, A.; Ni, W.-X.; Pidgeon, C.R.; Phillips, P.J.; Carder, D.; Murdin, B.N.; Fromherz, T.; Paul, D.J.

    2006-01-01

    Three strain-symmetrized Si/SiGe multi-quantum well structures, designed for probing the carrier lifetime of intrawell intersubband transitions between heavy hole 1 (HH1) and light hole 1 (LH1) states with transition energies below the optical phonon energy, were grown by molecular beam epitaxy at low temperature on fully relaxed SiGe virtual substrates. The grown structures were characterized by using various experimental techniques, showing a high crystalline quality and very precise growth control. The lifetime of the LH1 excited state was determined directly with pump-probe spectroscopy. The measurements indicated an increase of the lifetime by a factor of ∼2 due to the increasingly unconfined LH1 state, which agreed very well with the design. It also showed a very long lifetime of several hundred picoseconds for the holes excited out of the well to transit back to the well through a diagonal process

  4. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    Science.gov (United States)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  5. Emission control of InGaN nanocolumns grown by molecular-beam epitaxy on Si(111) substrates

    International Nuclear Information System (INIS)

    Albert, S.; Bengoechea-Encabo, A.; Sanchez-Garcia, M. A.; Calleja, E.; Lefebvre, P.; Jahn, U.; Trampert, A.

    2011-01-01

    This work studies the effect of the growth temperature on the morphology and emission characteristics of self-assembled InGaN nanocolumns grown by plasma assisted molecular beam epitaxy. Morphology changes are assessed by scanning electron microscopy, while emission is measured by photoluminescence. Within the growth temperature range of 750 to 650 deg. C, an increase in In incorporation for decreasing temperature is observed. This effect allows tailoring the InGaN nanocolumns emission line shape by using temperature gradients during growth. Depending on the gradient rate, span, and sign, broad emission line shapes are obtained, covering the yellow to green range, even yielding white emission.

  6. Water Splitting over Epitaxially Grown InGaN Nanowires on-Metallic Titanium/Silicon Template: Reduced Interfacial Transfer Resistance and Improved Stability

    KAUST Repository

    Ebaid, Mohamed

    2018-03-09

    Water splitting using InGaN-based photocatalysts may have a great contribution in future renewable energy production systems. Among the most important parameters to solve are those related to substrate lattice-matching compatibility. Here, we directly grow InGaN nanowires (NWs) on a metallic Ti/Si template, for improving water splitting performance compared to a bare Si substrate. The open circuit potential of the epitaxially grown InGaN NWs on metallic Ti was almost two times that of those grown on Si substrate. The interfacial transfer resistance was also reduced significantly after introducing the metallic Ti interlayer. An applied-bias-photon-to-current conversion efficiency of 2.2% and almost unity Faradic efficiency for hydrogen generation were achieved using this approach. The InGaN NWs grown on Ti showed improved stability of hydrogen generation under continuous operation conditions, when compared to those grown on Si, emphasizing the role of the semiconductor-on-metal approach in enhancing the overall efficiency of water splitting catalysts.

  7. Epitaxial Al2O3 capacitors for low microwave loss superconducting quantum circuits

    Directory of Open Access Journals (Sweden)

    K.-H. Cho

    2013-10-01

    Full Text Available We have characterized the microwave loss of high-Q parallel plate capacitors fabricated from thin-film Al/Al2O3/Re heterostructures on (0001 Al2O3 substrates. The superconductor-insulator-superconductor trilayers were grown in situ in a hybrid deposition system: the epitaxial Re base and polycrystalline Al counterelectrode layers were grown by sputtering, while the epitaxial Al2O3 layer was grown by pulsed laser deposition. Structural analysis indicates a highly crystalline epitaxial Al2O3 layer and sharp interfaces. The measured intrinsic (low-power, low-temperature quality factor of the resonators is as high as 3 × 104. These results indicate that low-loss grown Al2O3 is an attractive candidate dielectric for high-fidelity superconducting qubit circuits.

  8. The preparation of Zn-ferrite epitaxial thin film from epitaxial Fe3O4:ZnO multilayers by ion beam sputtering deposition

    International Nuclear Information System (INIS)

    Su, Hui-Chia; Dai, Jeng-Yi; Liao, Yen-Fa; Wu, Yu-Han; Huang, J.C.A.; Lee, Chih-Hao

    2010-01-01

    A new method to grow a well-ordered epitaxial ZnFe 2 O 4 thin film on Al 2 O 3 (0001) substrate is described in this work. The samples were made by annealing the ZnO/Fe 3 O 4 multilayer which was grown with low energy ion beam sputtering deposition. Both the Fe 3 O 4 and ZnO layers were found grown epitaxially at low temperature and an epitaxial ZnFe 2 O 4 thin film was formed after annealing at 1000 o C. X-ray diffraction shows the ZnFe 2 O 4 film is grown with an orientation of ZnFe 2 O 4 (111)//Al 2 O 3 (0001) and ZnFe 2 O 4 (1-10)//Al 2 O 3 (11-20). X-ray absorption spectroscopy studies show that Zn 2+ atoms replace the tetrahedral Fe 2+ atoms in Fe 3 O 4 during the annealing. The magnetic properties measured by vibrating sample magnetometer show that the saturation magnetization of ZnFe 2 O 4 grown from ZnO/Fe 3 O 4 multilayer reaches the bulk value after the annealing process.

  9. Interfacial, electrical, and spin-injection properties of epitaxial Co2MnGa grown on GaAs(100)

    DEFF Research Database (Denmark)

    Damsgaard, Christian Danvad; Hickey, M. C.; Holmes, S. N.

    2009-01-01

    The interfacial, electrical, and magnetic properties of the Heusler alloy Co2MnGa grown epitaxially on GaAs(100) are presented with an emphasis on the use of this metal-semiconductor combination for a device that operates on the principles of spin-injection between the two materials. Through...... was monitored in situ by reflection high energy electron diffraction and the bulk composition was measured ex situ with inductively coupled plasma optical emission spectroscopy. The Co2MnGa L21 cubic structure is strained below a thickness of 20 nm on GaAs(100) but relaxed in films thicker than 20 nm...

  10. Structural atomic-scale analysis of GaAs/AlGaAs quantum wires and quantum dots grown by droplet epitaxy on a (311)A substrate

    NARCIS (Netherlands)

    Keizer, J.G.; Jo, M.; Mano, T.; Noda, T.; Sakoda, K.; Koenraad, P.M.

    2011-01-01

    We report the structural analysis at the atomic scale of GaAs/AlGaAs quantum wires and quantum dots grown by droplet epitaxy on a (311)A-oriented substrate. The shape, interfaces, and composition of these nanostructures and their surrounding matrix are investigated. We show that quantum wires can be

  11. High-performance Schottky heterojunction photodetector with directly grown graphene nanowalls as electrodes.

    Science.gov (United States)

    Shen, Jun; Liu, Xiangzhi; Song, Xuefen; Li, Xinming; Wang, Jun; Zhou, Quan; Luo, Shi; Feng, Wenlin; Wei, Xingzhan; Lu, Shirong; Feng, Shuanglong; Du, Chunlei; Wang, Yuefeng; Shi, Haofei; Wei, Dapeng

    2017-05-11

    Schottky heterojunctions based on graphene-silicon structures are promising for high-performance photodetectors. However, existing fabrication processes adopt transferred graphene as electrodes, limiting process compatibility and generating pollution because of the metal catalyst. In this report, photodetectors are fabricated using directly grown graphene nanowalls (GNWs) as electrodes. Due to the metal-free growth process, GNWs-Si heterojunctions with an ultralow measured current noise of 3.1 fA Hz -1/2 are obtained, and the as-prepared photodetectors demonstrate specific detectivities of 5.88 × 10 13 cm Hz 1/2 W -1 and 2.27 × 10 14 cm Hz 1/2 W -1 based on the measured and calculated noise current, respectively, under ambient conditions. These are among the highest reported values for planar silicon Schottky photodetectors. In addition, an on/off ratio of 2 × 10 7 , time response of 40 μs, cut-off frequency of 8.5 kHz and responsivity of 0.52 A W -1 are simultaneously realized. The ultralow current noise is attributed to the excellent junction quality with a barrier height of 0.69 eV and an ideal factor of 1.18. Furthermore, obvious infrared photoresponse is observed in blackbody tests, and potential applications based on the photo-thermionic effect are discussed.

  12. Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors

    Science.gov (United States)

    Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)

    1994-01-01

    Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.

  13. Control of the nucleation and quality of graphene grown by low-pressure chemical vapor deposition with acetylene

    International Nuclear Information System (INIS)

    Yang, Meng; Sasaki, Shinichirou; Suzuki, Ken; Miura, Hideo

    2016-01-01

    Graphical abstract: - Highlights: • For the first time, we succeeded in the LPCVD growth of monolayer graphene using acetylene as the precursor gas. • The growth rate is very high when acetylene is used as the source gas. Our process has exhibited the potential to shorten the growth time of CVD graphene. • We found that the domain size, defects density, layer number and the sheet resistance of graphene can be changed by changing the acetylene flow rates. • We found that it is also possible to form bilayer graphene using acetylene. However, further study are necessary to reduce the defects density. - Abstract: Although many studies have reported the chemical vapor deposition (CVD) growth of large-area monolayer graphene from methane, synthesis of graphene using acetylene as the source gas has not been fully explored. In this study, the low-pressure CVD (LPCVD) growth of graphene from acetylene was systematically investigated. We succeeded in regulating the domain size, defects density, layer number and the sheet resistance of graphene by changing the acetylene flow rates. Scanning electron microscopy and Raman spectroscopy were employed to confirm the layer number, uniformity and quality of the graphene films. It is found that a low flow rate of acetylene (0.28 sccm) is required to form high-quality monolayer graphene in our system. On the other hand, the high acetylene flow rate (7 sccm) will induce the growth of the bilayer graphene domains with high defects density. On the basis of selected area electron diffraction (SAED) pattern, the as-grown monolayer graphene domains were analyzed to be polycrystal. We also discussed the relation between the sheet resistacne and defects density in graphene. Our results provide great insights into the understanding of the CVD growth of monolayer and bilayer graphene from acetylene.

  14. Control of the nucleation and quality of graphene grown by low-pressure chemical vapor deposition with acetylene

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Meng, E-mail: youmou@rift.mech.tohoku.ac.jp [Department of Nanomechanics, Graduate School of Engineering, Tohoku University, Sendai 980-8579 (Japan); Sasaki, Shinichirou [Department of Nanomechanics, Graduate School of Engineering, Tohoku University, Sendai 980-8579 (Japan); Suzuki, Ken; Miura, Hideo [Fracture and Reliability Research Institute, Tohoku University, Sendai 980-8579 (Japan)

    2016-03-15

    Graphical abstract: - Highlights: • For the first time, we succeeded in the LPCVD growth of monolayer graphene using acetylene as the precursor gas. • The growth rate is very high when acetylene is used as the source gas. Our process has exhibited the potential to shorten the growth time of CVD graphene. • We found that the domain size, defects density, layer number and the sheet resistance of graphene can be changed by changing the acetylene flow rates. • We found that it is also possible to form bilayer graphene using acetylene. However, further study are necessary to reduce the defects density. - Abstract: Although many studies have reported the chemical vapor deposition (CVD) growth of large-area monolayer graphene from methane, synthesis of graphene using acetylene as the source gas has not been fully explored. In this study, the low-pressure CVD (LPCVD) growth of graphene from acetylene was systematically investigated. We succeeded in regulating the domain size, defects density, layer number and the sheet resistance of graphene by changing the acetylene flow rates. Scanning electron microscopy and Raman spectroscopy were employed to confirm the layer number, uniformity and quality of the graphene films. It is found that a low flow rate of acetylene (0.28 sccm) is required to form high-quality monolayer graphene in our system. On the other hand, the high acetylene flow rate (7 sccm) will induce the growth of the bilayer graphene domains with high defects density. On the basis of selected area electron diffraction (SAED) pattern, the as-grown monolayer graphene domains were analyzed to be polycrystal. We also discussed the relation between the sheet resistacne and defects density in graphene. Our results provide great insights into the understanding of the CVD growth of monolayer and bilayer graphene from acetylene.

  15. Oxygen Switching of the Epitaxial Graphene-Metal Interaction

    DEFF Research Database (Denmark)

    Larciprete, Rosanna; Ulstrup, Søren; Lacovig, Paolo

    2012-01-01

    as on clean Ir(111), giving only a slightly higher oxygen coverage. Upon lifting, the C 1s signal shows a downshift in binding energy, due to the charge transfer to graphene from the oxygen-covered metal surface. Moreover, the characteristic spectral signatures of the graphenesubstrate interaction...... in the valence band are removed, and the spectrum of strongly hole-doped, quasi free-standing graphene with a single Dirac cone around the K point is observed. The oxygen can be deintercalated by annealing, and this process takes place at around T = 600 K, in a rather abrupt way. A small amount of carbon atoms...... demonstrate that oxygen intercalation is an efficient method for fully decoupling an extended layer of graphene from a metal substrate, such as Ir(111). They pave the way for the fundamental research on graphene, where extended, ordered layers of free-standing graphene are important and, due to the stability...

  16. The Study of Al0.29Ga0.71N-BASED Schottky Photodiodes Grown on Silicon by Plasma-Assisted Molecular Beam Epitaxy

    Science.gov (United States)

    Mohd Yusoff, M. Z.; Hassan, Z.; Chin, C. W.; Hassan, H. Abu; Abdullah, M. J.; Mohammad, N. N.; Ahmad, M. A.; Yusof, Y.

    2013-05-01

    In this paper, the growth and characterization of epitaxial Al0.29Ga0.71N grown on Si(111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. PL spectrum of sample has shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing that it is comparable in crystal quality of the sample when compared with previous reports. From the Raman measurement of as-grown Al0.29Ga0.71N layer on GaN/AlN/Si sample. We found that the dominant E2 (high) phonon mode of GaN appears at 572.7 cm-1. The E2 (high) mode of AlN appears at 656.7 cm-1 and deviates from the standard value of 655 cm-1 for unstrained AlN. Finally, AlGaN Schottky photodiode have been fabricated and analyzed by mean of electrical characterization, using current-voltage (I-V) measurement to evaluate the performance of this device.

  17. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  18. Characteristics of AlN/GaN nanowire Bragg mirror grown on (001) silicon by molecular beam epitaxy

    KAUST Repository

    Heo, Junseok

    2013-10-01

    GaN nanowires containing AlN/GaN distributed Bragg reflector (DBR) heterostructures have been grown on (001) silicon substrate by molecular beam epitaxy. A peak reflectance of 70% with normal incidence at 560 nm is derived from angle resolved reflectance measurements on the as-grown nanowire DBR array. The measured peak reflectance wavelength is significantly blue-shifted from the ideal calculated value. The discrepancy is explained by investigating the reflectance of the nanoscale DBRs with a finite difference time domain technique. Ensemble nanowire microcavities with In0.3Ga 0.7N nanowires clad by AlN/GaN DBRs have also been characterized. Room temperature emission from the microcavity exhibits considerable linewidth narrowing compared to that measured for unclad In0.3Ga0.7N nanowires. The resonant emission is characterized by a peak wavelength and linewidth of 575 nm and 39 nm, respectively. © 2013 AIP Publishing LLC.

  19. Low-relaxation spin waves in laser-molecular-beam epitaxy grown nanosized yttrium iron garnet films

    Energy Technology Data Exchange (ETDEWEB)

    Lutsev, L. V., E-mail: l-lutsev@mail.ru; Korovin, A. M.; Bursian, V. E.; Gastev, S. V.; Fedorov, V. V.; Suturin, S. M.; Sokolov, N. S. [Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation)

    2016-05-02

    Synthesis of nanosized yttrium iron garnet (Y{sub 3}Fe{sub 5}O{sub 12}, YIG) films followed by the study of ferromagnetic resonance (FMR) and spin wave propagation in these films is reported. The YIG films were grown on gadolinium gallium garnet substrates by laser molecular beam epitaxy. It has been shown that spin waves propagating in YIG deposited at 700 °C have low damping. At the frequency of 3.29 GHz, the spin-wave damping parameter is less than 3.6 × 10{sup −5}. Magnetic inhomogeneities of the YIG films give the main contribution to the FMR linewidth. The contribution of the relaxation processes to the FMR linewidth is as low as 1.2%.

  20. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  1. Luminescence properties of Si-capped β-FeSi{sub 2} nanodots epitaxially grown on Si(001) and (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Amari, Shogo; Ichikawa, Masakazu [Department of Applied Physics, Graduate School of Engineering, The University of Tokyo, Bunkyo-ku, Tokyo 113-8656 (Japan); Nakamura, Yoshiaki, E-mail: nakamura@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama-cho, Toyonaka, Osaka 560-8531 (Japan); PRESTO, JST, 4-1-8 Honcho Kawaguchi, Saitama 332-0012 (Japan)

    2014-02-28

    We studied the luminescence properties of Si-capped β-FeSi{sub 2} nanodots (NDs) epitaxially grown on Si substrates by using photoluminescence (PL) and electroluminescence (EL) spectroscopies. Codepositing Fe and Si on ultrathin SiO{sub 2} films induced the self-assembly of epitaxial β-FeSi{sub 2} NDs. The PL spectra of the Si/β-FeSi{sub 2} NDs/Si structure depended on the crystal orientation of the Si substrate. These structures exhibited a broad PL peak near 0.8 eV on both Si(001) and (111) substrates. The PL intensity depended on the shape of the β-FeSi{sub 2} NDs. For the flat NDs, which exhibited higher PL intensity, we also recorded EL spectra. We explained the luminescence properties of these structures by the presence of nanostructured Si offering radiative electronic states in the Si cap layers, generated by nano-stressors for upper Si layer: the strain-relaxed β-FeSi{sub 2} NDs.

  2. Stimulated emission at 2.8 μm from Hg-based quantum well structures grown by photoassisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Giles, N.C.; Yang, Z.; Han, J.W.; Cook, J.W. Jr.; Schetzina, J.F.

    1990-01-01

    We report the first observation of stimulated emission from Hg-based quantum well structures in which the active region is a HgCdTe superlattice. The laser structures were grown on (100) CdZnTe substrates by photoassisted molecular beam epitaxy. Cleaved laser cavities were optically pumped using the 1.06 μm output from a continuous wave Nd:YAG laser. Stimulated emission cavity modes were seen at cw laser power densities as low as 3.4 kW/cm 2 and at temperatures ≥60 K

  3. Towards functionalization of graphene: in situ study of the nucleation of copper-phtalocyanine on graphene

    OpenAIRE

    Schwarz, Daniel; Henneke, Caroline; Kumpf, Christian

    2016-01-01

    Molecular films present an elegant way for the uniform functionalization or doping of graphene. Here, we present an in situ study on the initial growth of copper phthalocyanine (CuPc) on epitaxial graphene on Ir(111). We followed the growth up to a closed monolayer with low energy electron microscopy and selected area electron diffraction (μLEED). The molecules coexist on graphene in a disordered phase without long-range order and an ordered crystalline phase. The local topography of the grap...

  4. Ellipsometric study of GaN/AIN/Si(111) heterostructures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nabi, M. A. U.; Ashfaq, A.; Arshad, M. I.; Ali, A.; Mahmood, K.; Hasan, M. A.; Asghar, M.

    2013-01-01

    GaN and related structures attracted a great interest in the recent years for electronic and optoelectronic applications due to their promising properties. GaN is grown popularly on foreign substrates like sapphire and SiC. However, silicon due to its favourable properties attended the great attention of material scientists and researchers to utilize as substrate for heteroepitaxy of GaN based structures and devices. Silicon substrates are low cost, available in large diameters and have well characterized thermal and electrical properties. In this study, GaN/AlN/Si(111) heterostructures were grown by molecular beam epitaxy. We performed x-ray diffraction spectroscopy and spectroscopic ellipsometry on these samples to study their structural and optical properties. XRD measurements performed on these samples revealed the presence of high quality GaN films as well as the presence of AlN buffer layer with the following miller indices: GaN (002), GaN (004), GaN (006) and GaN (110) along with Si peak of phase (111). The ellipsometric data obtained were used to characterize the GaN/Si samples as a function of film thickness. Refractive index, extinction coefficient and dielectric constant were calculated by the measured data. (author)

  5. Probing the dielectric response of the interfacial buffer layer in epitaxial graphene via optical spectroscopy

    Science.gov (United States)

    Hill, Heather M.; Rigosi, Albert F.; Chowdhury, Sugata; Yang, Yanfei; Nguyen, Nhan V.; Tavazza, Francesca; Elmquist, Randolph E.; Newell, David B.; Hight Walker, Angela R.

    2017-11-01

    Monolayer epitaxial graphene (EG) is a suitable candidate for a variety of electronic applications. One advantage of EG growth on the Si face of SiC is that it develops as a single crystal, as does the layer below, referred to as the interfacial buffer layer (IBL), whose properties include an electronic band gap. Although much research has been conducted to learn about the electrical properties of the IBL, not nearly as much work has been reported on the optical properties of the IBL. In this work, we combine measurements from Mueller matrix ellipsometry, differential reflectance contrast, atomic force microscopy, and Raman spectroscopy, as well as calculations from Kramers-Kronig analyses and density-functional theory, to determine the dielectric function of the IBL within the energy range of 1 eV to 8.5 eV.

  6. 2D sandwich-like sheets of iron oxide grown on graphene as high energy anode material for supercapacitors.

    Science.gov (United States)

    Qu, Qunting; Yang, Shubin; Feng, Xinliang

    2011-12-08

    2D sandwich-like sheets of iron oxide grown on graphene as high energy anode material for supercapacitors are prepared from the direct growth of FeOOH nanorods on the surface of graphene and the subsequent electrochemical transformation of FeOOH to Fe(3)O(4). The Fe(3)O(4) @RGO nanocomposites exhibit superior capacitance (326 F g(-1)), high energy density (85 Wh kg(-1)), large power, and good cycling performance in 1 mol L(-1) LiOH solution. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Transport Measurements and Synchrotron-Based X-Ray Absorption Spectroscopy of Iron Silicon Germanide Grown by Molecular Beam Epitaxy

    Science.gov (United States)

    Elmarhoumi, Nader; Cottier, Ryan; Merchan, Greg; Roy, Amitava; Lohn, Chris; Geisler, Heike; Ventrice, Carl, Jr.; Golding, Terry

    2009-03-01

    Some of the iron-based metal silicide and germanide phases have been predicted to be direct band gap semiconductors. Therefore, they show promise for use as optoelectronic materials. We have used synchrotron-based x-ray absorption spectroscopy to study the structure of iron silicon germanide films grown by molecular beam epitaxy. A series of Fe(Si1-xGex)2 thin films (2000 -- 8000å) with a nominal Ge concentration of up to x = 0.04 have been grown. X-ray absorption near edge structure (XANES) and extended x-ray absorption fine structure (EXAFS) measurements have been performed on the films. The nearest neighbor co-ordination corresponding to the β-FeSi2 phase of iron silicide provides the best fit with the EXAFS data. Temperature dependent (20 coefficient was calculated. Results suggest semiconducting behavior of the films which is consistent with the EXAFS results.

  8. Microstructure of InxGa1−xN nanorods grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Webster, R F; Soundararajah, Q Y; Griffiths, I J; Cherns, D; Novikov, S V; Foxon, C T

    2015-01-01

    Transmission electron microscopy is used to examine the structure and composition of In x Ga 1−x N nanorods grown by plasma-assisted molecular beam epitaxy. The results confirm a core–shell structure with an In-rich core and In-poor shell resulting from axial and lateral growth sectors respectively. Atomic resolution mapping by energy-dispersive x-ray microanalysis and high angle annular dark field imaging show that both the core and the shell are decomposed into Ga-rich and In-rich platelets parallel to their respective growth surfaces. It is argued that platelet formation occurs at the surfaces, through the lateral expansion of surface steps. Studies of nanorods with graded composition show that decomposition ceases for x ≥ 0.8 and the ratio of growth rates, shell:core, decreases with increasing In concentration. (paper)

  9. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  10. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  11. Cross-sectional scanning tunneling microscopy of antiphase boundaries in epitaxially grown GaP layers on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Prohl, Christopher; Lenz, Andrea, E-mail: alenz@physik.tu-berlin.de [Technische Universität Berlin, Institut für Festkörperphysik, 10623 Berlin (Germany); Döscher, Henning; Kleinschmidt, Peter; Hannappel, Thomas [Helmholtz Center Berlin for Materials and Energy, 14109 Berlin (Germany)

    2016-05-15

    In a fundamental cross-sectional scanning tunneling microscopy investigation on epitaxially grown GaP layers on a Si(001) substrate, differently oriented antiphase boundaries are studied. They can be identified by a specific contrast and by surface step edges starting/ending at the position of an antiphase boundary. Moreover, a change in the atomic position of P and Ga atoms along the direction of growth is observed in agreement with the structure model of antiphase boundaries in the GaP lattice. This investigation opens the perspective to reveal the orientation and position of the antiphase boundaries at the atomic scale due to the excellent surface sensitivity of this method.

  12. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  13. Molecular-Beam Epitaxially Grown MgB2 Thin Films and Superconducting Tunnel Junctions

    Directory of Open Access Journals (Sweden)

    Jean-Baptiste Laloë

    2011-01-01

    Full Text Available Since the discovery of its superconducting properties in 2001, magnesium diboride has generated terrific scientific and engineering research interest around the world. With a of 39 K and two superconducting gaps, MgB2 has great promise from the fundamental point of view, as well as immediate applications. Several techniques for thin film deposition and heterojunction formation have been established, each with its own advantages and drawbacks. Here, we will present a brief overview of research based on MgB2 thin films grown by molecular beam epitaxy coevaporation of Mg and B. The films are smooth and highly crystalline, and the technique allows for virtually any heterostructure to be formed, including all-MgB2 tunnel junctions. Such devices have been characterized, with both quasiparticle and Josephson tunneling reported. MgB2 remains a material of great potential for a multitude of further characterization and exploration research projects and applications.

  14. Characterization of GaN quantum discs embedded in AlxGa1-xN nanocolumns grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ristic, J.; Calleja, E.; Sanchez-Garcia, M.A.; Ulloa, J.M.; Sanchez-Paramo, J.; Calleja, J.M.; Jahn, U.; Trampert, A.; Ploog, K.H.

    2003-01-01

    GaN quantum discs embedded in AlGaN nanocolumns with outstanding crystal quality and very high luminescence efficiency were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy under highly N-rich conditions. Nanocolumns with diameters in the range of 30-150 nm, with no traces of any extended defects, as confirmed by transmission electron microscopy, were obtained. GaN quantum discs, 2 and 4 nm thick, were grown embedded in AlGaN nanocolumns by switching on and off the Al flux during variable time spans. Strong optical emissions from GaN quantum discs, observed by photoluminescence and cathodoluminescence measurements, reveal quantum confinement effects. While Raman data indicate that the nanocolumns are fully relaxed, the quantum discs appear to be fully strained. These nanostructures have a high potential for application in efficient vertical cavity emitters

  15. Passivation effect on optical and electrical properties of molecular beam epitaxy-grown HgCdTe/CdTe/Si layers

    Science.gov (United States)

    Kiran, Rajni; Mallick, Shubhrangshu; Hahn, Suk-Ryong; Lee, T. S.; Sivananthan, Sivalingam; Ghosh, Siddhartha; Wijewarnasuriya, P. S.

    2006-06-01

    The effects of passivation with two different passivants, ZnS and CdTe, and two different passivation techniques, physical vapor deposition (PVD) and molecular beam epitaxy (MBE), were quantified in terms of the minority carrier lifetime and extracted surface recombination velocity on both MBE-grown medium-wavelength ir (MWIR) and long-wavelength ir HgCdTe samples. A gradual increment of the minority carrier lifetime was reported as the passivation technique was changed from PVD ZnS to PVD CdTe, and finally to MBE CdTe, especially at low temperatures. A corresponding reduction in the extracted surface recombination velocity in the same order was also reported for the first time. Initial data on the 1/ f noise values of as-grown MWIR samples showed a reduction of two orders of noise power after 1200-Å ZnS deposition.

  16. Physical properties and band structure of reactive molecular beam epitaxy grown oxygen engineered HfO{sub 2{+-}x}

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, 64287 Darmstadt (Germany)

    2012-12-01

    We have conducted a detailed thin film growth structure of oxygen engineered monoclinic HfO{sub 2{+-}x} grown by reactive molecular beam epitaxy. The oxidation conditions induce a switching between (111) and (002) texture of hafnium oxide. The band gap of oxygen deficient hafnia decreases with increasing amount of oxygen vacancies by more than 1 eV. For high oxygen vacancy concentrations, defect bands form inside the band gap that induce optical transitions and p-type conductivity. The resistivity changes by several orders of magnitude as a function of oxidation conditions. Oxygen vacancies do not give rise to ferromagnetic behavior.

  17. Investigation of aluminium ohmic contacts to n-type GaN grown by molecular beam epitaxy

    Science.gov (United States)

    Kribes, Y.; Harrison, I.; Tuck, B.; Kim, K. S.; Cheng, T. S.; Foxon, C. T.

    1997-11-01

    Using epi-layers of different doping concentrations, we have investigated aluminium contacts on n-type gallium nitride grown by plasma source molecular beam epitaxy. To achieve repeatable and reliable results it was found that the semiconductor needed to be etched in aqua-regia before the deposition of the contact metallization. Scanning electron micrographs of the semiconductor surface show a deterioration of the semiconductor surface on etching. The specific contact resistivity of the etched samples were, however, superior. Annealing the contacts at 0268-1242/12/11/030/img9 produced contacts with the lowest specific contact resistance of 0268-1242/12/11/030/img10. The long-term aging of these contacts was also investigated. The contacts and the sheet resistance were both found to deteriorate over a three-month period.

  18. Aluminum Gallium Nitride Alloys Grown via Metalorganic Vapor-Phase Epitaxy Using a Digital Growth Technique

    Science.gov (United States)

    Rodak, L. E.; Korakakis, D.

    2011-04-01

    This work investigates the use of a digital growth technique as a viable method for achieving high-quality aluminum gallium nitride (Al x Ga1- x N) films via metalorganic vapor-phase epitaxy. Digital alloys are superlattice structures with period thicknesses of a few monolayers. Alloys with an AlN mole fraction ranging from 0.1 to 0.9 were grown by adjusting the thickness of the AlN layer in the superlattice. High-resolution x-ray diffraction was used to determine the superlattice period and c-lattice parameter of the structure, while reciprocal-space mapping was used to determine the a-lattice parameter and evaluate growth coherency. A comparison of the measured lattice parameter with both the nominal value and also the underlying buffer layer is discussed.

  19. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  20. Spintronics and thermoelectrics in exfoliated and epitaxial graphene

    NARCIS (Netherlands)

    van den Berg, Jan Jasper

    2016-01-01

    This thesis is about two subjects: graphene spintronics and graphene thermoelectrics. Spintronics is about the creation and manipulation of spin currents. These are electrical currents in which we can control the spin orientation (up or down) of the conduction electrons. The second subject,

  1. Few layer epitaxial germanene: a novel two-dimensional Dirac material

    Science.gov (United States)

    Dávila, María Eugenia; Le Lay, Guy

    2016-02-01

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.

  2. Few layer epitaxial germanene: a novel two-dimensional Dirac material.

    Science.gov (United States)

    Dávila, María Eugenia; Le Lay, Guy

    2016-02-10

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.

  3. GaN:Co epitaxial layers grown by MOVPE

    Czech Academy of Sciences Publication Activity Database

    Šimek, P.; Sedmidubský, D.; Klímová, K.; Mikulics, M.; Maryško, Miroslav; Veselý, M.; Jurek, Karel; Sofer, Z.

    2015-01-01

    Roč. 44, Mar (2015), 62-68 ISSN 0022-0248 R&D Projects: GA ČR GA13-20507S Institutional support: RVO:68378271 Keywords : doping * metalorganic vapor phase epitaxy * cobalt * gallium compounds * nitrides * magnetic materials spintronics Subject RIV: CA - Inorganic Chemistry Impact factor: 1.462, year: 2015

  4. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    Science.gov (United States)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  5. Epitaxial YBa2Cu3O7-δ/Sr2RuO4 heterostructures

    International Nuclear Information System (INIS)

    Schlom, D.G.; Merritt, B.A.; Madhavan, S.

    1997-01-01

    The anisotropic oxide superconductors YBa 2 Cu 3 O 7-δ and Sr 2 RuO 4 have been epitaxially combined in various ways (c-axis on c-axis, c-axis on a-axis, and a-axis on a-axis) though the use of appropriate substrates. Phase-pure a-axis oriented or c-axis oriented epitaxial Sr 2 RuO 4 films were grown by pulsed laser deposition. YBa 2 Cu 3 O 7-δ films were then grown on both orientations of Sr 2 RuO 4 films and the resulting epitaxy was characterized

  6. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  7. Abnormal optical behaviour of InAsSb quantum dots grown on GaAs substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Rihani, J.; Ben Sedrine, N.; Sallet, V.; Harmand, J.C.; Oueslati, M.; Chtourou, R.

    2008-01-01

    InAs(Sb) quantum dots (QDs) samples were grown on GaAs (001) substrate by Molecular Beam Epitaxy (MBE). The structural characterization by Atomic Force Microscopy (AFM) of samples shows that InAsSb islands size increases strongly with antimony incorporation in InAs/GaAs QDs and decreases with reducing the growth temperature from 520 deg. C to 490 deg. C. Abnormal optical behaviour was observed in room temperature (RT) photoluminescence (PL) spectra of samples grown at high temperature (520 deg. C). Temperature dependent PL study was investigated and reveals an anomalous evolution of emission peak energy (EPE) of InAsSb islands, well-known as 'S-inverted curve' and attributed to the release of confined carriers from the InAsSb QDs ground states to the InAsSb wetting layer (WL) states. With only decreasing the growth temperature, the S-inverted shape was suppressed indicating a fulfilled 3D-confinement of carriers in the InAsSb/GaAs QD sample

  8. InAs/GaAs quantum dot lasers with InGaP cladding layer grown by solid-source molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Yeh, N.-T.; Liu, W.-S.; Chen, S.-H.; Chiu, P.-C.; Chyi, J.-I.

    2002-01-01

    This letter presents the lasing properties of InAs/GaAs quantum dot lasers with InGaP cladding layers grown by solid-source molecular-beam epitaxy. These Al-free lasers exhibit a threshold current density of 138 A/cm 2 , an internal loss of 1.35 cm -1 , and an internal quantum efficiency of 31% at room temperature. At a low temperature, a very high characteristic temperature of 425 K and very low threshold current density of 30 A/cm 2 are measured

  9. EDITORIAL: Special issue on Graphene Special issue on Graphene

    Science.gov (United States)

    Morpurgo, Alberto F.; Trauzettel, Björn

    2010-03-01

    and effectively reflect the status of different areas of graphene research. The excitonic condensation in a double graphene system is discussed by Kharitonov and Efetov. Borca et al report on a method to fabricate and characterize graphene monolayers epitaxially grown on Ru(0001). Furthermore, the energy and transport gaps in etched graphene nanoribbons are analyzed experimentally by Molitor et al. Mucha-Kruczyński et al review the tight-binding model of bilayer graphene, whereas Wurm et al focus on a theoretical description of the Aharonov-Bohm effect in monolayer graphene rings. Screening effects and collective excitations are studied by Roldán et al. Subsequently, Palacios et al review the electronic and magnetic structures of graphene nanoribbons, a problem that is highly relevant for graphene-based transistors. Klein tunneling in single and multiple barriers in graphene is the topic of the review article by Pereira Jr et al, while De Martino and Egger discuss the spectrum of a magnetic quantum dot in graphene. Titov et al study the effect of resonant scatterers on the local density of states in a rectangular graphene setup with metallic leads. Finally, the resistance modulation of multilayer graphene controlled by gate electric fields is experimentally analyzed by Miyazaki et al. We would like to thank all the authors for their contributions, which combine new results and pedagogical discussions of the state-of-the-art in different areas: it is this combination that most often adds to the value of topical issues. Special thanks also goes to the staff of Institute of Physics Publishing for contributing to the success of this effort.

  10. 2D sandwich-like sheets of iron oxide grown on graphene as high energy anode material for supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Qu, Qunting; Feng, Xinliang [College of Chemistry and Chemical Engineering, Shanghai Jiao Tong University, 800 Dongchuan Road, Shanghai, 200240 (China); Max Planck Institute for Polymer Research, Ackermannweg 10, 55128 Mainz (Germany); Yang, Shubin [Max Planck Institute for Polymer Research, Ackermannweg 10, 55128 Mainz (Germany)

    2011-12-08

    2D sandwich-like sheets of iron oxide grown on graphene as high energy anode material for supercapacitors are prepared from the direct growth of FeOOH nanorods on the surface of graphene and the subsequent electrochemical transformation of FeOOH to Fe{sub 3}O{sub 4}. The Fe{sub 3}O{sub 4} rate at RGO nanocomposites exhibit superior capacitance (326 F g{sup -1}), high energy density (85 Wh kg{sup -1}), large power, and good cycling performance in 1 mol L{sup -1} LiOH solution. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Photoluminescence investigation of type-II GaSb/GaAs quantum dots grown by liquid phase epitaxy

    Science.gov (United States)

    Wang, Yang; Hu, Shuhong; Xie, Hao; Lin, Hongyu; lu, Hongbo; Wang, Chao; Sun, Yan; Dai, Ning

    2018-06-01

    GaSb quantum dots (QDs) with an areal density of ∼1 × 1010 cm-2 are successfully grown by the modified (rapid slider) liquid phase epitaxy technique. The morphology of the QDs has been investigated by scanning electron microscope (SEM) and atom force microscope (AFM). The power-dependence and temperature-dependence photoluminescence (PL) spectra have been studied. The bright room-temperature PL suggests a good luminescence quality of GaSb QDs/GaAs matrix system. The type-II alignment of the GaSb QDs/GaAs matrix system is verified by the blue-shift of the QDs peak with the increase of excitation power. From the temperature-dependence PL spectra, the activation energy of QDs is determined to be 111 meV.

  12. Strain-induced properties of epitaxial VOx thin films

    NARCIS (Netherlands)

    Rata, AD; Hibma, T

    We have grown VOx thin films on different substrates in order to investigate the influence of epitaxial strain on the transport properties. We found that the electric conductivity is much larger for films grown under compressive strain on SrTiO3 substrates, as compared to bulk material and VOx films

  13. Electrical properties of epitaxially grown VOx thin films

    NARCIS (Netherlands)

    Rata, A.D.; Chezan, A.R; Presura, C.N.; Hibma, T

    2003-01-01

    High quality VOx thin films on MgO(100) substrates were prepared and studied from the structural and electronic point of view. Epitaxial growth was confirmed by RHEED and XRD techniques. The oxygen content of VOx thin films as a function of oxygen flux was determined using RBS. The upper and lower

  14. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  15. Optical properties of photodetectors based on single GaN nanowires with a transparent graphene contact

    Energy Technology Data Exchange (ETDEWEB)

    Babichev, A. V., E-mail: A.Babichev@mail.ioffe.ru [Russian Academy of Sciences, Ioffe Institute (Russian Federation); Zhang, H.; Guan, N. [University Paris Saclay, Institut d’Electronique Fondamentale, UMR 8622 CNRS (France); Egorov, A. Yu. [ITMO University (Russian Federation); Julien, F. H.; Messanvi, A. [University Paris Saclay, Institut d’Electronique Fondamentale, UMR 8622 CNRS (France); Durand, C.; Eymery, J. [University Grenoble Alpes (France); Tchernycheva, M. [University Paris Saclay, Institut d’Electronique Fondamentale, UMR 8622 CNRS (France)

    2016-08-15

    We report the fabrication and optical and electrical characterization of photodetectors for the UV spectral range based on single p–n junction nanowires with a transparent contact of a new type. The contact is based on CVD-grown (chemical-vapor deposition) graphene. The active region of the nitride nanowires contains a set of 30 radial In{sub 0.18}Ga{sub 0.82}N/GaN quantum wells. The structure is grown by metal-organic vaporphase epitaxy. The photodetectors are fabricated using electron-beam lithography. The current–voltage characteristics exhibit a rectifying behavior. The spectral sensitivity of the photodetector is recorded starting from 3 eV and extending far in the UV range. The maximal photoresponse is observed at a wavelength of 367 nm (sensitivity 1.9 mA/W). The response switching time of the photodetector is less than 0.1 s.

  16. Graphene: corrosion-inhibiting coating.

    Science.gov (United States)

    Prasai, Dhiraj; Tuberquia, Juan Carlos; Harl, Robert R; Jennings, G Kane; Rogers, Bridget R; Bolotin, Kirill I

    2012-02-28

    We report the use of atomically thin layers of graphene as a protective coating that inhibits corrosion of underlying metals. Here, we employ electrochemical methods to study the corrosion inhibition of copper and nickel by either growing graphene on these metals, or by mechanically transferring multilayer graphene onto them. Cyclic voltammetry measurements reveal that the graphene coating effectively suppresses metal oxidation and oxygen reduction. Electrochemical impedance spectroscopy measurements suggest that while graphene itself is not damaged, the metal under it is corroded at cracks in the graphene film. Finally, we use Tafel analysis to quantify the corrosion rates of samples with and without graphene coatings. These results indicate that copper films coated with graphene grown via chemical vapor deposition are corroded 7 times slower in an aerated Na(2)SO(4) solution as compared to the corrosion rate of bare copper. Tafel analysis reveals that nickel with a multilayer graphene film grown on it corrodes 20 times slower while nickel surfaces coated with four layers of mechanically transferred graphene corrode 4 times slower than bare nickel. These findings establish graphene as the thinnest known corrosion-protecting coating.

  17. Atomic and electronic structure of trilayer graphene/SiC(0001): Evidence of Strong Dependence on Stacking Sequence and charge transfer.

    Science.gov (United States)

    Pierucci, Debora; Brumme, Thomas; Girard, Jean-Christophe; Calandra, Matteo; Silly, Mathieu G; Sirotti, Fausto; Barbier, Antoine; Mauri, Francesco; Ouerghi, Abdelkarim

    2016-09-15

    The transport properties of few-layer graphene are the directly result of a peculiar band structure near the Dirac point. Here, for epitaxial graphene grown on SiC, we determine the effect of charge transfer from the SiC substrate on the local density of states (LDOS) of trilayer graphene using scaning tunneling microscopy/spectroscopy and angle resolved photoemission spectroscopy (ARPES). Different spectra are observed and are attributed to the existence of two stable polytypes of trilayer: Bernal (ABA) and rhomboedreal (ABC) staking. Their electronic properties strongly depend on the charge transfer from the substrate. We show that the LDOS of ABC stacking shows an additional peak located above the Dirac point in comparison with the LDOS of ABA stacking. The observed LDOS features, reflecting the underlying symmetry of the two polytypes, were reproduced by explicit calculations within density functional theory (DFT) including the charge transfer from the substrate. These findings demonstrate the pronounced effect of stacking order and charge transfer on the electronic structure of trilayer or few layer graphene. Our approach represents a significant step toward understand the electronic properties of graphene layer under electrical field.

  18. Scintillation efficiency and X-ray imaging with the RE-Doped LuAG thin films grown by liquid phase epitaxy

    International Nuclear Information System (INIS)

    Tous, Jan; Blazek, Karel; Kucera, Miroslav; Nikl, Martin; Mares, Jiri A.

    2012-01-01

    Very thin scintillator imaging plates have recently become of great interest. In high resolution X-ray radiography, very thin scintillator layers of about 5–20 μm are used to achieve 2D-spatial resolutions below 1 μm. Thin screens can be prepared by mechanical polishing from single crystals or by epitaxial growth on single-crystal substrates using the Liquid Phase Epitaxy technique (LPE). Other types of screens (e.g. deposited powder) do no reach required spatial resolutions. This work compares LPE-grown YAG and LuAG scintillator films doped with different rare earth ions (Cerium, Terbium and Europium). Two different fluxes were used in the LPE growth procedure. These LPE films are compared to YAG:Ce and LuAG:Ce screens made from bulk single crystals. Relative light yield was detected by a highly sensitive CCD camera. Scintillator screens were excited by a micro-focus X-ray source and the generated light was gathered by the CCD camera’s optical system. Scintillator 2D-homogeneity is examined in an X-ray imaging setup also using the CCD camera.

  19. Blue-violet InGaN laser diodes grown on bulk GaN substrates by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Skierbiszewski, C.; Wasilewski, Z.R.; Siekacz, M.; Feduniewicz, A.; Perlin, P.; Wisniewski, P.; Borysiuk, J.; Grzegory, I.; Leszczynski, M.; Suski, T.; Porowski, S.

    2005-01-01

    We report on the InGaN multiquantum laser diodes (LDs) made by rf plasma-assisted molecular beam epitaxy (PAMBE). The laser operation at 408 nm is demonstrated at room temperature with pulsed current injections using 50 ns pulses at 0.25% duty cycle. The threshold current density and voltage for the LDs with cleaved uncoated mirrors are 12 kA/cm 2 (900 mA) and 9 V, respectively. High output power of 0.83 W is obtained during pulse operation at 3.6 A and 9.6 V bias with the slope efficiency of 0.35 W/A. The laser structures are deposited on the high-pressure-grown low dislocation bulk GaN substrates taking full advantage of the adlayer enhanced lateral diffusion channel for adatoms below the dynamic metallic cover. Our devices compare very favorably to the early laser diodes fabricated using the metalorganic vapor phase epitaxy technique, providing evidence that the relatively low growth temperatures used in this process pose no intrinsic limitations on the quality of the blue optoelectronic components that can be fabricated using PAMBE

  20. Optical Properties of InGaAs/ GaAs Multi Quantum Wells Structure Grown By Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Mohd Sharizal Alias; Mohd Fauzi Maulud; Mohd Razman Yahya; Abdul Fatah Awang Mat; Suomalainen, Soile

    2008-01-01

    Inclusive analysis on the optical characteristics of InGaAs/ GaAs QW structure for 980 nm semiconductor laser operation is presented from experimental and theoretical point of view. The InGaAs/ GaAs quantum well structure is grown by molecular beam epitaxy at different indium composition and quantum well thickness for optical characteristic comparison. Photoluminescence spectra from the measurement show that the spectrum is in good agreement with the simulation results. Detail simulation on the material gain for the InGaAs/ GaAs quantum well as a function of carrier densities and operating temperature is also performed in order to optimize the semiconductor laser design for device fabrication. (author)

  1. 1.142 mu m GaAsBi/GaAs Quantum Well Lasers Grown by Molecular Beam Epitaxy

    DEFF Research Database (Denmark)

    Wu, Xiaoyan; Pan, Wenwu; Zhang, Zhenpu

    2017-01-01

    in GaAsBi0.058/GaAs quantum well LDs grown by molecular beam epitaxy. The output power is up to 127 mW at 300 K under pulsed mode. We also demonstrate continuous wave mode operation up to 273 K for the first time. The temperature coefficient of the GaAsBi/GaAs LD is 0.26 nm/K in the temperature range......As a promising new class of near-infrared light emitters, GaAsBi laser diodes (LDs) are considered to have a high energy efficiency and an insensitive temperature dependence of the band gap. In this paper, we realize the longest ever reported lasing wavelength up to 1.142 mu m at room temperature...

  2. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  3. Nitrogen doping of chemical vapor deposition grown graphene on 4H-SiC (0001)

    Energy Technology Data Exchange (ETDEWEB)

    Urban, J. M.; Binder, J.; Wysmołek, A. [Faculty of Physics, University of Warsaw, ul. Hoża 69, 00-681 Warsaw (Poland); Dąbrowski, P.; Strupiński, W. [Institute of Electronic Materials Technology, ul. Wólczyńska 133, 01-919 Warsaw (Poland); Kopciuszyński, M.; Jałochowski, M. [Institute of Physics, Maria Curie-Skłodowska University, pl. M. Curie-Skłodowskiej 1, 20-031 Lublin (Poland); Klusek, Z. [Faculty of Physics and Applied Informatics, University of Łódź, ul. Pomorska 149/153, 90-236 Łódź (Poland); Baranowski, J. M. [Faculty of Physics, University of Warsaw, ul. Hoża 69, 00-681 Warsaw (Poland); Institute of Electronic Materials Technology, ul. Wólczyńska 133, 01-919 Warsaw (Poland)

    2014-06-21

    We present optical, electrical, and structural properties of nitrogen-doped graphene grown on the Si face of 4H-SiC (0001) by chemical vapor deposition method using propane as the carbon precursor and N{sub 2} as the nitrogen source. The incorporation of nitrogen in the carbon lattice was confirmed by X-ray photoelectron spectroscopy. Angle-resolved photoemission spectroscopy shows carrier behavior characteristic for massless Dirac fermions and confirms the presence of a graphene monolayer in the investigated nitrogen-doped samples. The structural and electronic properties of the material were investigated by Raman spectroscopy. A systematical analysis of the graphene Raman spectra, including D, G, and 2D bands, was performed. In the case of nitrogen-doped samples, an electron concentration on the order of 5–10 × 10{sup 12} cm{sup −2} was estimated based upon Raman and Hall effect measurements and no clear dependence of the carrier concentration on nitrogen concentration used during growth was observed. This high electron concentration can be interpreted as both due to the presence of nitrogen in graphitic-like positions of the graphene lattice as well as to the interaction with the substrate. A greater intensity of the Raman D band and increased inhomogeneity, as well as decreased electron mobility, observed for nitrogen-doped samples, indicate the formation of defects and a modification of the growth process induced by nitrogen doping.

  4. Improving stability of photoluminescence of ZnSe thin films grown by molecular beam epitaxy by incorporating Cl dopant

    International Nuclear Information System (INIS)

    Wang, J. S.; Shen, J. L.; Chen, W. J.; Tsai, Y. H.; Wang, H. H.; Yang, C. S.; Chen, R. H.; Tsai, C. D.

    2011-01-01

    This investigation studies the effect of chlorine (Cl) dopant in ZnSe thin films that were grown by molecular beam epitaxy on their photoluminescence (PL) and the stability thereof. Free excitonic emission was observed at room-temperature in the Cl-doped sample. Photon irradiation with a wavelength of 404 nm and a power density of 9.1 W/cm 2 has a much stronger effect on PL degradation than does thermal heating to a temperature of 150 deg. C. Additionally, this study shows that the generation of nonradiative centers by both photon irradiation and thermal heating can be greatly inhibited by incorporating Cl dopant.

  5. Epitaxial growth and new phase of single crystal Dy by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, Kai-Yueh; Homma, Hitoshi; Schuller, I.K.

    1987-09-01

    We have grown two novel epitaxial phases of dysprosium (Dy) on vanadium (V) by molecular beam epitaxy technique. Surface and bulk structures are studied by in-situ reflection high energy electron diffraction (RHEED) and x-ray diffraction techniques. The new hcp phases are ∼4% expanded uniformly in-plane (0001), and ∼9% and ∼4% expanded out of plane along the c-axes for non-interrupted and interrupted deposition case, respectively. We also observed (2 x 2), (3 x 3), and (4 x 4) Dy surface reconstruction patterns and a series of transitions as the Dy film thickness increases. 12 refs., 3 figs

  6. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    Science.gov (United States)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  7. Characterization of few-layered graphene grown by carbon implantation

    International Nuclear Information System (INIS)

    Lee, Kin Kiong; McCallum, Jeffrey C.; Jamieson, David N.

    2014-01-01

    Graphene is considered to be a very promising material for applications in nanotechnology. The properties of graphene are strongly dependent on defects that occur during growth and processing. These defects can be either detrimental or beneficial to device performance depending on defect type, location and device application. Here we present experimental results on formation of few-layered graphene by carbon ion implantation into nickel films and characteristics of graphene devices formed by graphene transfer and lithographic patterning. Micro-Raman spectroscopy was used to determine the number of graphene layers formed and identify defects arising from the device processing. The graphene films were cleaned by annealing in vacuum. Transport properties of cleaned graphene films were investigated by fabrication of back-gated field-effect transistors, which exhibited high hole and electron mobility of 1935 and 1905 cm2/Vs, respectively

  8. Characterization of few-layered graphene grown by carbon implantation

    Science.gov (United States)

    Lee, Kin Kiong; McCallum, Jeffrey C.; Jamieson, David N.

    2014-02-01

    Graphene is considered to be a very promising material for applications in nanotechnology. The properties of graphene are strongly dependent on defects that occur during growth and processing. These defects can be either detrimental or beneficial to device performance depending on defect type, location and device application. Here we present experimental results on formation of few-layered graphene by carbon ion implantation into nickel films and characteristics of graphene devices formed by graphene transfer and lithographic patterning. Micro-Raman spectroscopy was used to determine the number of graphene layers formed and identify defects arising from the device processing. The graphene films were cleaned by annealing in vacuum. Transport properties of cleaned graphene films were investigated by fabrication of back-gated field-effect transistors, which exhibited high hole and electron mobility of 1935 and 1905 cm2/Vs, respectively.

  9. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  10. Surfactant effects of indium on cracking in AlN/GaN distributed Bragg reflectors grown via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Miller, C. M.; Korakakis, D.

    2011-01-01

    Aluminum Nitride (AlN) and Gallium Nitride (GaN) superlattice structures are often characterized by a network of cracks resulting from the large lattice mismatch and difference in thermal expansion coefficients, especially as the thickness of the layers increases. This work investigates the influence of indium as a surfactant on strain and cracking in AlN/GaN DBRs grown via Metal Organic Vapor Phase Epitaxy (MOVPE). DBRs with peak reflectivities ranging from 465 nm to 540 nm were grown and indium was introduced during the growth of the AlN layer. Image processing techniques were used to quantify the crack length per square millimeter and it was observed that indium has a significant effect on the crack formation and reduced the total crack length in these structures by a factor of two.

  11. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  12. Domain structure and magnetic properties of epitaxial SrRuO sub 3 films grown on SrTiO sub 3 (100) substrates by ion beam sputtering

    CERN Document Server

    Oh, S H

    2000-01-01

    The domain structure of epitaxial SrRuO sub 3 thin films grown on SrTiO sub 3 (100) substrates by using ion beam sputtering has been investigated with transmission electron microscopy (TEM) and X-ray diffraction (XRD). The SrRuO sub 3 films grown in the present study revealed a unique cube-on-cube epitaxial relationship, i.e., (100) sub S sub R sub O ll (100) sub S sub T sub O , [010] sub S sub R sub O ll [101] sub S sub T sub O , prevailing with a cubic single-domain structure. The cubic SrRuO sub 3 thin films that were inherently with free from RuO sub 6 octahedron tilting exhibited higher resistivity with suppressed magnetic properties. The Curie temperature of the thin films was suppressed by 60 K from 160 K for the bulk specimen, and the saturation magnetic moment was reduced by a significant amount. The tetragonal distortion of the SrRuO sub 3 thin films due to coherent growth with the substrate seemed to result in a strong magnetic anisotropy.

  13. Effect of Al mole fraction on structural and electrical properties of AlxGa1-xN/GaN heterostructures grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hussein, A. SH.; Hassan, Z.; Thahab, S.M.; Ng, S.S.; Hassan, H. Abu; Chin, C.W.

    2011-01-01

    The effect of Al mole fractions on the structural and electrical properties of Al x Ga 1-x N/GaN thin films grown by plasma-assisted molecular beam epitaxy (PA-MBE) on Si (1 1 1) substrates has been investigated by X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), and current-voltage (I-V) measurements. X-ray results revealed that the AlGaN/GaN/AlN was epitaxially grown on Si substrate. By applying Vegard's law, the Al mole fractions of Al x Ga 1-x N samples were found to be 0.11, 0.24, 0.30 and 0.43, respectively. The structural and morphology results indicated that there is a relatively larger tensile strain for the sample with the smallest Al mole fraction; while a smaller compressive strain and larger grain size appear with Al mole fraction equal to 0.30. The strain gets relaxed with the highest Al mole fraction sample. Finally, the linear relationship between the barrier height and Al mole fraction was obtained.

  14. Quantitative multichannel NC-AFM data analysis of graphene growth on SiC(0001

    Directory of Open Access Journals (Sweden)

    Christian Held

    2012-02-01

    Full Text Available Noncontact atomic force microscopy provides access to several complementary signals, such as topography, damping, and contact potential. The traditional presentation of such data sets in adjacent figures or in colour-coded pseudo-three-dimensional plots gives only a qualitative impression. We introduce two-dimensional histograms for the representation of multichannel NC-AFM data sets in a quantitative fashion. Presentation and analysis are exemplified for topography and contact-potential data for graphene grown epitaxially on 6H-SiC(0001, as recorded by Kelvin probe force microscopy in ultrahigh vacuum. Sample preparations by thermal decomposition in ultrahigh vacuum and in an argon atmosphere are compared and the respective growth mechanisms discussed.

  15. Droplet Epitaxy Image Contrast in Mirror Electron Microscopy

    Science.gov (United States)

    Kennedy, S. M.; Zheng, C. X.; Jesson, D. E.

    2017-01-01

    Image simulation methods are applied to interpret mirror electron microscopy (MEM) images obtained from a movie of GaAs droplet epitaxy. Cylindrical symmetry of structures grown by droplet epitaxy is assumed in the simulations which reproduce the main features of the experimental MEM image contrast, demonstrating that droplet epitaxy can be studied in real-time. It is therefore confirmed that an inner ring forms at the droplet contact line and an outer ring (or skirt) occurs outside the droplet periphery. We believe that MEM combined with image simulations will be increasingly used to study the formation and growth of quantum structures.

  16. Nanopatched Graphene with Molecular Self-Assembly Toward Graphene-Organic Hybrid Soft Electronics.

    Science.gov (United States)

    Kang, Boseok; Lee, Seong Kyu; Jung, Jaehyuck; Joe, Minwoong; Lee, Seon Baek; Kim, Jinsung; Lee, Changgu; Cho, Kilwon

    2018-04-30

    Increasing the mechanical durability of large-area polycrystalline single-atom-thick materials is a necessary step toward the development of practical and reliable soft electronics based on these materials. Here, it is shown that the surface assembly of organosilane by weak epitaxy forms nanometer-thick organic patches on a monolayer graphene surface and dramatically increases the material's resistance to harsh postprocessing environments, thereby increasing the number of ways in which graphene can be processed. The nanopatched graphene with the improved mechanical durability enables stable operation when used as transparent electrodes of wearable strain sensors. Also, the nanopatched graphene applied as an electrode modulates the molecular orientation of deposited organic semiconductor layers, and yields favorable nominal charge injection for organic transistors. These results demonstrate the potential for use of self-assembled organic nanopatches in graphene-based soft electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Mg doping of GaN grown by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions

    International Nuclear Information System (INIS)

    Zhang Meng; Bhattacharya, Pallab; Guo Wei; Banerjee, Animesh

    2010-01-01

    Acceptor doping of GaN with Mg during plasma-assisted molecular beam epitaxy, under N-rich conditions and a relatively high growth temperature of 740 deg. C, was investigated. The p-doping level steadily increases with increasing Mg flux. The highest doping level achieved, determined from Hall measurements, is 2.1x10 18 cm -3 . The corresponding doping efficiency and hole mobility are ∼4.9% and 3.7 cm 2 /V s at room temperature. Cross-sectional transmission electron microscopy and photoluminescence measurements confirm good crystalline and optical quality of the Mg-doped layers. An InGaN/GaN quantum dot light emitting diode (λ peak =529 nm) with p-GaN contact layers grown under N-rich condition exhibits a low series resistance of 9.8 Ω.

  18. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm are possible by growing pure Ge on a thin Ge:C buffer. It is shown that this stack yields exceedingly low roughness levels (comparable to bulk Si wafers) and contains fewer defects and higher Hall mobility compared to traditional heteroepitaxial Ge. The addition of C at the interface helps reduce strain by its smaller atomic radius and its ability to pin defects within the thin buffer layer that do not thread to the top Ge layer. © 2013 AIP Publishing LLC.

  19. Electron-phonon coupling in quasi free-standing graphene

    DEFF Research Database (Denmark)

    Christian Johannsen, Jens; Ulstrup, Søren; Bianchi, Marco

    2013-01-01

    Quasi free-standing monolayer graphene can be produced by intercalating species like oxygen or hydrogen between epitaxial graphene and the substrate crystal. If the graphene is indeed decoupled from the substrate, one would expect the observation of a similar electronic dispersion and many......-body effects, irrespective of the substrate and the material used to achieve the decoupling. Here we investigate the electron-phonon coupling in two different types of quasi free-standing monolayer graphene: decoupled from SiC via hydrogen intercalation and decoupled from Ir via oxygen intercalation. Both...

  20. High Al-content AlxGa1-xN epilayers grown on Si substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hussein, A.SH.; Thahab, S.M.; Hassan, Z.; Chin, C.W.; Abu Hassan, H.; Ng, S.S.

    2009-01-01

    The microstructure and optical properties of Al x Ga 1-x N/GaN/AlN films on Si (1 1 1) substrate grown by plasma-assisted molecular beam epitaxy (MBE) have been studied and investigated. Reflection high energy electron diffraction (RHEED), scanning electron microscopy (SEM), high-resolution X-ray diffraction (HR-XRD), energy dispersive X-ray spectroscopy (EDS) line analysis and photoluminescence (PL) were used to investigate a reconstruction pattern, cross-section, mole fraction and crystalline quality of the heterostructure. By applying the Vegard's law, a high Al-mole fraction of Al x Ga 1-x N sample with value of 0.43 has been obtained and compared with EDS line analysis measurement value. PL spectrum has exhibited a sharp and intense band edge emission of GaN with the absence of yellow emission band, indicating good crystal quality of the Al x Ga 1-x N has been successfully grown on Si substrate.

  1. Magnetic anisotropy basis sets for epitaxial (110) and (111) REFe2 nanofilms

    International Nuclear Information System (INIS)

    Bowden, G J; Martin, K N; Fox, A; Rainford, B D; Groot, P A J de

    2008-01-01

    Magnetic anisotropy basis sets for the cubic Laves phase rare earth intermetallic REFe 2 compounds are discussed in some detail. Such compounds can be either free standing, or thin films grown in either (110) or (111) mode using molecular beam epitaxy. For the latter, it is useful to rotate to a new coordinate system where the z-axis coincides with the growth axes of the film. In this paper, three symmetry adapted basis sets are given, for multi-pole moments up to n = 12. These sets can be used for free-standing compounds and for (110) and (111) epitaxial films. In addition, the distortion of REFe 2 films, grown on sapphire substrates, is also considered. The distortions are different for the (110) and (111) films. Strain-induced harmonic sets are given for both specific and general distortions. Finally, some predictions are made concerning the preferred direction of easy magnetization in (111) molecular beam epitaxy grown REFe 2 films

  2. Controlling the ripple density and heights: a new way to improve the electrical performance of CVD-grown graphene.

    Science.gov (United States)

    Park, Won-Hwa; Jo, Insu; Hong, Byung Hee; Cheong, Hyeonsik

    2016-05-14

    We report a new way to enhance the electrical performances of large area CVD-grown graphene through controlling the ripple density and heights after transfer onto SiO2/Si substrates by employing different cooling rates during fabrication. We find that graphene films prepared with a high cooling rate have reduced ripple density and heights and improved electrical characteristics such as higher electron/hole mobilities as well as reduced sheet resistance. The corresponding Raman analysis also shows a significant decrease of the defects when a higher cooling rate is employed. We suggest a model that explains the improved morphology of the graphene film obtained with higher cooling rates. From these points of view, we can suggest a new pathway toward a relatively lower density and heights of ripples in order to reduce the flexural phonon-electron scattering effect, leading to higher lateral carrier mobilities.

  3. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.; Wu, Feng; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Wu, Yuh-Renn [Institute of Photonics and Optoelectronics, and Department of Electrical Engineering, National Taiwan University, Taipei City 10617, Taiwan (China)

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimized GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.

  4. InGaAs/InP, quantum wells and quantum wires grown by vapor levitation epitaxy using chloride transport

    International Nuclear Information System (INIS)

    Cox, H.M.; Morais, P.C.; Hwang, D.M.; Bastos, P.; Gmitter, T.J.; Nazar, L.; Worlock, J.M.; Yablonovitch, E.; Hummel, S.G.

    1988-09-01

    A variety of InGaAs/InP quantum structures have been grown by vapor levitation epitaxy (VLE) and investigated by low temperature photoluminescence (PL). Excellent long-range uniformity of QW peak positions across a two-inch diameter wafer is achieved. Monolayer thickness variations in single QW's are used to establish an essentially unambiguous correlation of QW thickness with energy upshift for ultra-thin quantum wells. PL evidence is presented of the growth, for the first time by any technique, of an InGaAs/InP QW of single monolayer thickness (2.93 (angstrom)). Quantum wires were fabricated entirely by VLE as thin as one monolayer and estimated to be three unit cells wide. (author) [pt

  5. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M. Agrawal

    2017-01-01

    Full Text Available The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V∼1and GaN is grown under N-rich growth regime (III/V<1. The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1 and metal rich growth regime (III/V≥1, respectively. AlGaN/GaN high electron mobility transistor (HEMT heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm−2.

  6. Structural characterization of zincblende Ga1-xMnxN epilayers grown by molecular beam epitaxy on (001) GaAs substrates

    International Nuclear Information System (INIS)

    Fay, M.W.; Han, Y.; Brown, P.D.; Novikov, S.V.; Edmonds, K.W.; Campion, R.P.; Gallagher, B.L.; Foxon, C.T.

    2005-01-01

    Zincblende p-type Ga 1-x Mn x N epilayers, grown with and without AlN/GaN buffer layers using plasma-assisted molecular beam epitaxy on (001) oriented GaAs substrates, have been investigated using a variety of complementary transmission electron microscopy techniques. The epilayers were found to contain a high anisotropic density of stacking faults and microtwins. MnAs inclusions were identified at the Ga 1-x Mn x N/(001)GaAs interface extending into the substrate. The use of AlN/GaN buffer layers was found to inhibit the formation of these inclusions

  7. Direct observation of fatigue in epitaxially grown Pb(Zr,Ti)O3 thin films using second harmonic piezoresponse force microscopy

    Science.gov (United States)

    Murari, Nishit M.; Hong, Seungbum; Lee, Ho Nyung; Katiyar, Ram. S.

    2011-08-01

    Here, we present a direct observation of fatigue phenomena in epitaxially grown Pb(Zr0.2Ti0.8)O3 (PZT) thin films using second harmonic piezoresponse force microscopy (SH-PFM). We observed strong correlation between the SH-PFM amplitude and phase signals with the remnant piezoresponse at different switching cycles. The SH-PFM results indicate that the average fraction of switchable domains decreases globally and the phase delays of polarization switching differ locally. In addition, we found that the fatigue developed uniformly over the whole area without developing region-by-region suppression of switchable polarization as in polycrystalline PZT thin films.

  8. Raman scattering and Rutherford backscattering studies on InN films grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Yee Ling; Peng Xingyu; Liao, Ying Chieh; Yao Shude; Chen, Li Chyong; Chen, Kuei Hsien; Feng, Zhe Chuan

    2011-01-01

    A series of InN thin films was grown on sapphire substrates via plasma-assisted molecular beam epitaxy (PA-MBE) with different nitrogen plasma power. Various characterization techniques, including Hall, photoluminescence, Raman scattering and Rutherford backscattering, have been employed to study these InN films. Good crystalline wurtzite structures have been identified for all PA-MBE grown InN films on sapphire substrate, which have narrower XRD wurtzite (0002) peaks, showed c-axis Raman scattering allowed longitudinal optical (LO) modes of A 1 and E 1 plus E 2 symmetry, and very weak backscattering forbidden transverse optical (TO) modes. The lower plasma power can lead to the lower carrier concentration, to have the InN film close to intrinsic material with the PL emission below 0.70 eV. With increasing the plasma power, high carrier concentration beyond 1 x 10 20 cm -3 can be obtained, keeping good crystalline perfection. Rutherford backscattering confirmed most of InN films keeping stoichiometrical In/N ratios and only with higher plasma power of 400 W leaded to obvious surface effect and interdiffusion between the substrate and InN film.

  9. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  10. Wavelength tuning of InAs quantum dots grown on InP (100) by chemical-beam epitaxy

    International Nuclear Information System (INIS)

    Gong, Q.; Noetzel, R.; Veldhoven, P.J. van; Eijkemans, T.J.; Wolter, J.H.

    2004-01-01

    We report on an effective way to continuously tune the emission wavelength of InAs quantum dots (QDs) grown on InP (100) by chemical-beam epitaxy. The InAs QD layer is embedded in a GaInAsP layer lattice matched to InP. With an ultrathin GaAs layer inserted between the InAs QD layer and the GaInAsP buffer, the peak wavelength from the InAs QDs can be continuously tuned from above 1.6 μm down to 1.5 μm at room temperature. The major role of the thin GaAs layer is to greatly suppress the As/P exchange during the deposition of InAs and subsequent growth interruption under arsenic flux, as well as to consume the segregated surface In layer floating on the GaInAsP buffer layer

  11. MBE-grown Si and Si1−xGex quantum dots embedded within epitaxial Gd2O3 on Si(111) substrate for floating gate memory device

    International Nuclear Information System (INIS)

    Manna, S; Aluguri, R; Katiyar, A; Ray, S K; Das, S; Laha, A; Osten, H J

    2013-01-01

    Si and Si 1−x Ge x quantum dots embedded within epitaxial Gd 2 O 3 grown by molecular beam epitaxy have been studied for application in floating gate memory devices. The effect of interface traps and the role of quantum dots on the memory properties have been studied using frequency-dependent capacitance–voltage and conductance–voltage measurements. Multilayer quantum dot memory comprising four and five layers of Si quantum dots exhibits a superior memory window to that of single-layer quantum dot memory devices. It has also been observed that single-layer Si 1−x Ge x quantum dots show better memory characteristics than single-layer Si quantum dots. (paper)

  12. High-quality PVD graphene growth by fullerene decomposition on Cu foils

    Czech Academy of Sciences Publication Activity Database

    Azpeitia, J.; Otero-Irurueta, G.; Palacio, I.; Martinez, J. I.; Ruiz del Arbol, N.; Santoro, G.; Gutiérrez, A.; Aballe, L.; Foerster, M.; Kalbáč, Martin; Valeš, Václav; Mompean, F. J.; Garcia-Hernandez, M.; Martín-Gago, J.A.; Munuera, C.; Lopez, M. F.

    2017-01-01

    Roč. 119, AUG 2017 (2017), s. 535-543 ISSN 0008-6223 R&D Projects: GA MŠk LL1301 EU Projects: European Commission(XE) 696656 - GrapheneCore1 Institutional support: RVO:61388955 Keywords : functional perturbation- theory * epitaxial graphene * copper substrate * pt(111) surface * raman-spectrum * cvd-graphene Subject RIV: CF - Physical ; Theoretical Chemistry OBOR OECD: Physical chemistry Impact factor: 6.337, year: 2016

  13. High-quality PVD graphene growth by fullerene decomposition on Cu foils

    Czech Academy of Sciences Publication Activity Database

    Azpeitia, J.; Otero-Irurueta, G.; Palacio, I.; Martinez, J. I.; Ruiz del Arbol, N.; Santoro, G.; Gutiérrez, A.; Aballe, L.; Foerster, M.; Kalbáč, Martin; Valeš, Václav; Mompean, F. J.; Garcia-Hernandez, M.; Martín-Gago, J.A.; Munuera, C.; Lopez, M. F.

    2017-01-01

    Roč. 119, AUG 2017 (2017), s. 535-543 ISSN 0008-6223 R&D Projects: GA MŠk LL1301 EU Projects: European Commission(XE) 696656 - GrapheneCore1 Institutional support: RVO:61388955 Keywords : functional perturbation-theory * epitaxial graphene * copper substrate * pt(111) surface * raman-spectrum * cvd-graphene Subject RIV: CF - Physical ; Theoretical Chemistry OBOR OECD: Physical chemistry Impact factor: 6.337, year: 2016

  14. Photoconduction efficiencies and dynamics in GaN nanowires grown by chemical vapor deposition and molecular beam epitaxy: A comparison study

    International Nuclear Information System (INIS)

    Chen, R. S.; Tsai, H. Y.; Huang, Y. S.; Chen, Y. T.; Chen, L. C.; Chen, K. H.

    2012-01-01

    The normalized gains, which determines the intrinsic photoconduction (PC) efficiencies, have been defined and compared for the gallium nitride (GaN) nanowires (NWs) grown by chemical vapor deposition (CVD) and molecular beam epitaxy (MBE). By excluding the contributions of experimental parameters and under the same light intensity, the CVD-grown GaN NWs exhibit the normalized gain which is near two orders of magnitude higher than that of the MBE-ones. The temperature-dependent time-resolved photocurrent measurement further indicates that the higher photoconduction efficiency in the CVD-GaN NWs is originated from the longer carrier lifetime induced by the higher barrier height (φ B = 160 ± 30 mV) of surface band bending. In addition, the experimentally estimated barrier height at 20 ± 2 mV for the MBE-GaN NWs, which is much lower than the theoretical value, is inferred to be resulted from the lower density of charged surface states on the non-polar side walls.

  15. STM studies of GeSi thin layers epitaxially grown on Si(111)

    Science.gov (United States)

    Motta, N.; Sgarlata, A.; De Crescenzi, M.; Derrien, J.

    1996-08-01

    Ge/Si alloys were prepared in UHV by solid phase epitaxy on Si(111) substrates. The alloy formation, as a function of the evaporation rate and the Ge layer thickness has been followed in situ by RHEED and scanning tunneling microscopy. The 5 × 5 surface reconstruction appeared after annealing at 450°C Ge layers (up to 10 Å thick), obtained from a low rate Knudsen cell evaporator. In this case a nearly flat and uniform layer of reconstructed alloy was observed. When using an e-gun high rate evaporator we needed to anneal the Ge layer up to 780°C to obtain a 5 × 5 reconstruction. The grown layer was not flat, with many steps and Ge clusters; at high coverages (10 Å and more) large Ge islands appeared. Moreover, we then succeeded in visualizing at atomic resolution the top of some of these Ge islands which displayed a 2 × 1 reconstruction, probably induced from the high compressive strain due to the lattice mismatch with the substrate. We suggest that this unusual behavior could be connected to the high evaporation rate, which helped the direct formation of Ge microcrystals on the Si substrate during the deposition process.

  16. Photoluminescence study of epitaxially grown ZnSnAs2:Mn thin films

    International Nuclear Information System (INIS)

    Mammadov, E; Haneta, M; Toyota, H; Uchitomi, N

    2011-01-01

    The photoluminescence (PL) properties of heavily Mn-doped ZnSnAs 2 layers epitaxially grown on nearly lattice-matched semi-insulating InP substrates are studied. PL spectra are obtained for samples with Mn concentrations of 5, 12 and 24 mol% relative to the combined concentrations of Zn and Sn. A broad emission band centered at ∼ 1 eV is detected for Mn-doped layers at room temperature. The emission is a intense broad asymmetric line at low temperatures. The line is reconstructed by superposition of two bands with peak energies of ∼ 0.99 and 1.07 eV, similar to those reported for InP. These bands are superimposed onto a 1.14 eV band with well-resolved phonon structure for the layer doped with 12 % Mn. Recombination mechanism involving the split-off band of the ZnSnAs 2 is suggested. Temperature dependence of integrated intensities of the PL bands indicates to thermally activated emission with activation energies somewhat different from those found for InP. Mn substitution at cationic sites increases the concentration of holes which may act as recombination centers. Recombination to the holes bound to Mn ions with the ground state located below the top of the valence band has been proposed as a possible PL mechanism.

  17. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  18. Graphene-Molybdenum Disulfide-Graphene Tunneling Junctions with Large-Area Synthesized Materials.

    Science.gov (United States)

    Joiner, Corey A; Campbell, Philip M; Tarasov, Alexey A; Beatty, Brian R; Perini, Chris J; Tsai, Meng-Yen; Ready, William J; Vogel, Eric M

    2016-04-06

    Tunneling devices based on vertical heterostructures of graphene and other 2D materials can overcome the low on-off ratios typically observed in planar graphene field-effect transistors. This study addresses the impact of processing conditions on two-dimensional materials in a fully integrated heterostructure device fabrication process. In this paper, graphene-molybdenum disulfide-graphene tunneling heterostructures were fabricated using only large-area synthesized materials, unlike previous studies that used small exfoliated flakes. The MoS2 tunneling barrier is either synthesized on a sacrificial substrate and transferred to the bottom-layer graphene or synthesized directly on CVD graphene. The presence of graphene was shown to have no impact on the quality of the grown MoS2. The thickness uniformity of MoS2 grown on graphene and SiO2 was found to be 1.8 ± 0.22 nm. XPS and Raman spectroscopy are used to show how the MoS2 synthesis process introduces defects into the graphene structure by incorporating sulfur into the graphene. The incorporation of sulfur was shown to be greatly reduced in the absence of molybdenum suggesting molybdenum acts as a catalyst for sulfur incorporation. Tunneling simulations based on the Bardeen transfer Hamiltonian were performed and compared to the experimental tunneling results. The simulations show the use of MoS2 as a tunneling barrier suppresses contributions to the tunneling current from the conduction band. This is a result of the observed reduction of electron conduction within the graphene sheets.

  19. Structural and optical inhomogeneities of Fe doped GaN grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Malguth, E.; Hoffmann, A.; Phillips, M. R.

    2008-12-01

    We present the results of cathodoluminescence experiments on a set of Fe doped GaN samples with Fe concentrations of 5×1017, 1×1018, 1×1019, and 2×1020 cm-3. These specimens were grown by hydride vapor phase epitaxy with different concentrations of Fe. The introduction of Fe is found to promote the formation of structurally inhomogeneous regions of increased donor concentration. We detect a tendency of these regions to form hexagonal pits at the surface. The locally increased carrier concentration leads to enhanced emission from the band edge and the internal T41(G)-A61(S) transition of Fe3+. In these areas, the luminescence forms a finely structured highly symmetric pattern, which is attributed to defect migration along strain-field lines. Fe doping is found to quench the yellow defect luminescence band and to enhance the blue luminescence band due to the lowering of the Fermi level and the formation of point defects, respectively.

  20. Ellipsometry of rough CdTe(211)B-Ge(211) surfaces grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Badano, Giacomo; Ballet, Philippe; Zanatta, Jean-Paul; Baudry, Xavier; Million, Alain; Garland, James W.

    2006-01-01

    The effect of surface roughness on the ellipsometric response of semiconductor surfaces is investigated. CdTe(211)B layers were grown on Ge(211) by molecular beam epitaxy using less than optimal growth conditions to enhance the formation of surface roughness. Their optical properties, measured by rotating-compensator ellipsometry, showed small but significant sample-to-sample differences not explainable in terms of nanometer-scale roughness. A critical-point analysis established that the critical-point structure of the dielectric function was the same for all samples. This result suggested that the observed sample-to-sample variations were due to macroscopic roughness, which scatters off-specular light into the detector, thereby causing errors. We introduced tentative corrections for off-specular reflection that fitted the observed differences and thus supported the idea that off-specular reflection was responsible for the observed differences. These results were obtained using CdTe but are easily extensible to other rough opaque materials

  1. Towards functionalization of graphene: in situ study of the nucleation of copper-phtalocyanine on graphene

    Science.gov (United States)

    Schwarz, Daniel; Henneke, Caroline; Kumpf, Christian

    2016-02-01

    Molecular films present an elegant way for the uniform functionalization or doping of graphene. Here, we present an in situ study on the initial growth of copper phthalocyanine (CuPc) on epitaxial graphene on Ir(111). We followed the growth up to a closed monolayer with low energy electron microscopy and selected area electron diffraction (μLEED). The molecules coexist on graphene in a disordered phase without long-range order and an ordered crystalline phase. The local topography of the graphene substrate plays an important role in the nucleation process of the crystalline phase. Graphene flakes on Ir(111) feature regions that are under more tensile stress than others. We observe that the CuPc molecules form ordered domains initially on those graphene regions that are closest to the fully relaxed lattice. We attribute this effect to a stronger influence of the underlying Ir(111) substrate for molecules adsorbed on those relaxed regions.

  2. Towards functionalization of graphene: in situ study of the nucleation of copper-phtalocyanine on graphene

    International Nuclear Information System (INIS)

    Schwarz, Daniel; Henneke, Caroline; Kumpf, Christian

    2016-01-01

    Molecular films present an elegant way for the uniform functionalization or doping of graphene. Here, we present an in situ study on the initial growth of copper phthalocyanine (CuPc) on epitaxial graphene on Ir(111). We followed the growth up to a closed monolayer with low energy electron microscopy and selected area electron diffraction (μLEED). The molecules coexist on graphene in a disordered phase without long-range order and an ordered crystalline phase. The local topography of the graphene substrate plays an important role in the nucleation process of the crystalline phase. Graphene flakes on Ir(111) feature regions that are under more tensile stress than others. We observe that the CuPc molecules form ordered domains initially on those graphene regions that are closest to the fully relaxed lattice. We attribute this effect to a stronger influence of the underlying Ir(111) substrate for molecules adsorbed on those relaxed regions. (paper)

  3. Molecular-beam epitaxy growth of high-performance midinfrared diode lasers

    International Nuclear Information System (INIS)

    Turner, G.W.; Choi, H.K.; Calawa, D.R.

    1994-01-01

    Recent advances in the performance of GaInAsSb/AlGaAsSb quantum-well diode lasers have been directly related to improvements in the quality of the molecular-beam epitaxy (MBE)-grown epitaxial layers. These improvements have been based on careful measurement and control of lattice matching and intentional strain, changes in shutter sequencing at interfaces, and a generally better understanding of the growth of Sb-based epitaxial materials. By using this improved MBE-grown material, significantly enhanced performance has been obtained for midinfrared lasers. These lasers, which are capable of ∼2-μm emission at room temperature, presently exhibit threshold current densities of 143 A/cm 2 , continuous wave powers of 1.3 W, and diffraction-limited powers of 120 mW. Such high-performance midinfrared diode lasers are of interest for a wide variety of applications, including eye-safe laser radar, remote sensing of atmospheric contaminants and wind turbulence, laser surgery, and pumping of solid-state laser media. 12 refs., 3 figs

  4. Effects of a modular two-step ozone-water and annealing process on silicon carbide graphene

    Energy Technology Data Exchange (ETDEWEB)

    Webb, Matthew J., E-mail: matthew.webb@cantab.net; Lundstedt, Anna; Grennberg, Helena [Department of Chemistry—BMC, Uppsala University, Box 576, SE-751 23 Uppsala (Sweden); Polley, Craig; Niu, Yuran; Zakharov, Alexei A.; Balasubramanian, Thiagarajan [MAX IV Laboratory, Lund University, 22100 Lund (Sweden); Dirscherl, Kai [DFM—Danish Fundamental Metrology, Matematiktorvet 307, DK-2800 Lyngby (Denmark); Burwell, Gregory; Guy, Owen J. [College of Engineering, Faraday Tower, Singleton Park, Swansea University, Swansea SA2 8PP (United Kingdom); Palmgren, Pål [VG Scienta Scientific AB, Box 15120, Vallongatan 1, SE-750 15 Uppsala (Sweden); Yakimova, Rositsa [Department of Physics, Chemistry, and Biology, Linköping University, SE-581 83 Linköping (Sweden)

    2014-08-25

    By combining ozone and water, the effect of exposing epitaxial graphene on silicon carbide to an aggressive wet-chemical process has been evaluated after high temperature annealing in ultra high vacuum. The decomposition of ozone in water produces a number of oxidizing species, however, despite long exposure times to the aqueous-ozone environment, no graphene oxide was observed after the two-step process. The systems were comprehensively characterized before and after processing using Raman spectroscopy, core level photoemission spectroscopy, and angle resolved photoemission spectroscopy together with low energy electron diffraction, low energy electron microscopy, and atomic force microscopy. In spite of the chemical potential of the aqueous-ozone reaction environment, the graphene domains were largely unaffected raising the prospect of employing such simple chemical and annealing protocols to clean or prepare epitaxial graphene surfaces.

  5. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  6. Moiré superlattice-level stick-slip instability originated from geometrically corrugated graphene on a strongly interacting substrate

    Science.gov (United States)

    Shi, Ruoyu; Gao, Lei; Lu, Hongliang; Li, Qunyang; Ma, Tian-Bao; Guo, Hui; Du, Shixuan; Feng, Xi-Qiao; Zhang, Shuai; Liu, Yanmin; Cheng, Peng; Hu, Yuan-Zhong; Gao, Hong-Jun; Luo, Jianbin

    2017-06-01

    Two dimensional (2D) materials often exhibit novel properties due to various coupling effects with their supporting substrates. Here, using friction force microscopy (FFM), we report an unusual moiré superlattice-level stick-slip instability on monolayer graphene epitaxially grown on Ru(0 0 0 1) substrate. Instead of smooth friction modulation, a significant long-range stick-slip sawtooth modulation emerges with a period coinciding with the moiré superlattice structure, which is robust against high external loads and leads to an additional channel of energy dissipation. In contrast, the long-range stick-slip instability reduces to smooth friction modulation on graphene/Ir(1 1 1) substrate. The moiré superlattice-level slip instability could be attributed to the large sliding energy barrier, which arises from the morphological corrugation of graphene on Ru(0 0 0 1) surface as indicated by density functional theory (DFT) calculations. The locally steep humps acting as obstacles opposing the tip sliding, originates from the strong interfacial electronic interaction between graphene and Ru(0 0 0 1). This study opens an avenue for modulating friction by tuning the interfacial atomic interaction between 2D materials and their substrates.

  7. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    Science.gov (United States)

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  8. Reclamation of a molecular beam epitaxy system and conversion for oxide epitaxy

    International Nuclear Information System (INIS)

    Carver, Alexander G.; Henderson, Walter; Doolittle, W. Alan

    2008-01-01

    An early 1980s vintage molecular beam epitaxy system, a Varian Gen II system, originally used for HgCdTe epitaxy, was converted into a system capable of growing thin-film complex metal oxides. The nature of some of the alternative oxides requires a thorough cleaning and, in some cases, complete replacement of system components. Details are provided regarding the chemistry of the etchants used, safety requirements for properly handling, and disposal of large quantities of etchants and etch by-products, and components that can be reused versus components that require replacement are given. Following the given procedures, an ultimate base pressure of 2x10 -10 Torr was obtained. Films grown in the system after reclamation contained no evidence of previously present materials down to the detection limit of secondary ion mass spectrometry

  9. Thickness dependence of optical properties of VO2 thin films epitaxially grown on sapphire (0 0 0 1)

    International Nuclear Information System (INIS)

    Xu Gang; Jin Ping; Tazawa, Masato; Yoshimura, Kazuki

    2005-01-01

    Vanadium dioxide (VO 2 ) films were epitaxially grown on α-Al 2 O 3 (0 0 0 1) by rf reactive magnetron sputtering. The effects of film thickness ranging from 3 to 150 nm on optical properties were investigated. It revealed that the semiconductor--metal phase transition temperature considerably decreases as film thickness decreases, in particular for the film with thickness less than 10 nm. On the other hand, we found that the difference in visible transmittance between the two phases of VO 2 also varies with film thickness. For the films with thickness less than 50 nm, the semiconductor phase exhibits lower visible transmittance than its metallic phase, while for those with thickness larger than 50 nm the situation is reversed

  10. Growth of epitaxial thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lowndes, D.H.

    1992-01-01

    High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs

  11. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  12. Some properties of Ga-As-Alsub(x)Gasub(1-x)As heterojunction grown by low temperature liquid phase epitaxy

    International Nuclear Information System (INIS)

    Yu Lisheng; Liu Hongxun; Zhang Bei; Wang Shumin

    1986-03-01

    GaAs-Alsub(x)Gasub(1-x)As heterojunction was grown by liquid phase epitaxy at low growth temperature 650-700 deg. C. The series resistance of heterojunction with DH laser structure was measured. Doping properties of Mg in GaAs and Alsub(x)Gasub(1-x)As were investigated. It is found that impurity concentration of Mg as high as 10 18 cm -3 can be doped easily. The Shubnikov-de-Haas oscillation was observed in GaAs-N Alsub(0.35)Gasub(0.65)As heterointerface. It is demonstrated that in these heterointerfaces there exists 2DEG with some contribution from 3D electron of N-AlGaAs layer. (author)

  13. Structural and electrical properties of InAs/GaSb superlattices grown by metalorganic vapor phase epitaxy for midwavelength infrared detectors

    Energy Technology Data Exchange (ETDEWEB)

    Arikata, Suguru; Kyono, Takashi [Semiconductor Technologies Laboratory, Sumitomo Electric Industries, LTD., Hyogo (Japan); Miura, Kouhei; Balasekaran, Sundararajan; Inada, Hiroshi; Iguchi, Yasuhiro [Transmission Devices Laboratory, Sumitomo Electric Industries, LTD., Yokohama (Japan); Sakai, Michito [Sensor System Research Group, Japan Aerospace Exploration Agency (JAXA), Tsukuba, Ibaraki (Japan); Katayama, Haruyoshi [Space Technology Directorate I, Japan Aerospace Exploration Agency (JAXA), Tsukuba, Ibaraki (Japan); Kimata, Masafumi [College of Science and Engineering, Ritsumeikan University, Shiga (Japan); Akita, Katsushi [Sumiden Semiconductor Materials, LTD., Hyogo (Japan)

    2017-03-15

    InAs/GaSb superlattice (SL) structures were fabricated on GaSb substrates by metalorganic vapor phase epitaxy (MOVPE) toward midwavelength infrared (MWIR) photodiodes. Almost defect-free 200-period SLs with a strain-compensation interfacial layer were successfully fabricated and demonstrate an intense photoluminescence peak centered at 6.1 μm at 4 K and an external quantum efficiency of 31% at 3.5 μm at 20 K. These results indicate that the high-performance MWIR detectors can be fabricated in application with the InAs/GaSb SLs grown by MOVPE as an attractive method for production. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Impact of growth and annealing conditions on the parameters of Ge/Si(001) relaxed layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Daniltsev, V. M.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yunin, P. A. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-11-15

    Influence of the Ge layer thickness and annealing conditions on the parameters of relaxed Ge/Si(001) layers grown by molecular beam epitaxy via two-stage growth is investigated. The dependences of the threading dislocation density and surface roughness on the Ge layer thickness, annealing temperature and time, and the presence of a hydrogen atmosphere are obtained. As a result of optimization of the growth and annealing conditions, relaxed Ge/Si(001) layers which are thinner than 1 μm with a low threading dislocation density on the order of 10{sup 7} cm{sup –2} and a root mean square roughness of less than 1 nm are obtained.

  15. Resistivity analysis of epitaxially grown, doped semiconductors using energy dependent secondary ion mass spectroscopy

    International Nuclear Information System (INIS)

    Burnham, Shawn D.; Thomas, Edward W.; Doolittle, W. Alan

    2006-01-01

    A characterization technique is discussed that allows quantitative optimization of doping in epitaxially grown semiconductors. This technique uses relative changes in the host atom secondary ion (HASI) energy distribution from secondary ion mass spectroscopy (SIMS) to indicate relative changes in conductivity of the material. Since SIMS is a destructive process due to sputtering through a film, a depth profile of the energy distribution of sputtered HASIs in a matrix will contain information on the conductivity of the layers of the film as a function of depth. This process is demonstrated with Mg-doped GaN, with the Mg flux slowly increased through the film. Three distinct regions of conductivity were observed: one with Mg concentration high enough to cause compensation and thus high resistivity, a second with moderate Mg concentration and low resistivity, and a third with little to no Mg doping, causing high resistivity due to the lack of free carriers. During SIMS analysis of the first region, the energy distributions of sputtered Ga HASIs were fairly uniform and unchanging for a Mg flux above the saturation, or compensation, limit. For the second region, the Ga HASI energy distributions shifted and went through a region of inconsistent energy distributions for Mg flux slightly below the critical flux for saturation, or compensation. Finally, for the third region, the Ga HASI energy distributions then settled back into another fairly unchanging, uniform pattern. These three distinct regions were analyzed further through growth of Mg-doped step profiles and bulk growth of material at representative Mg fluxes. The materials grown at the two unchanging, uniform regions of the energy distributions yielded highly resistive material due to too high of Mg concentration and low to no Mg concentration, respectively. However, material grown in the transient energy distribution region with Mg concentration between that of the two highly resistive regions yielded low

  16. Resistivity analysis of epitaxially grown, doped semiconductors using energy dependent secondary ion mass spectroscopy

    Science.gov (United States)

    Burnham, Shawn D.; Thomas, Edward W.; Doolittle, W. Alan

    2006-12-01

    A characterization technique is discussed that allows quantitative optimization of doping in epitaxially grown semiconductors. This technique uses relative changes in the host atom secondary ion (HASI) energy distribution from secondary ion mass spectroscopy (SIMS) to indicate relative changes in conductivity of the material. Since SIMS is a destructive process due to sputtering through a film, a depth profile of the energy distribution of sputtered HASIs in a matrix will contain information on the conductivity of the layers of the film as a function of depth. This process is demonstrated with Mg-doped GaN, with the Mg flux slowly increased through the film. Three distinct regions of conductivity were observed: one with Mg concentration high enough to cause compensation and thus high resistivity, a second with moderate Mg concentration and low resistivity, and a third with little to no Mg doping, causing high resistivity due to the lack of free carriers. During SIMS analysis of the first region, the energy distributions of sputtered Ga HASIs were fairly uniform and unchanging for a Mg flux above the saturation, or compensation, limit. For the second region, the Ga HASI energy distributions shifted and went through a region of inconsistent energy distributions for Mg flux slightly below the critical flux for saturation, or compensation. Finally, for the third region, the Ga HASI energy distributions then settled back into another fairly unchanging, uniform pattern. These three distinct regions were analyzed further through growth of Mg-doped step profiles and bulk growth of material at representative Mg fluxes. The materials grown at the two unchanging, uniform regions of the energy distributions yielded highly resistive material due to too high of Mg concentration and low to no Mg concentration, respectively. However, material grown in the transient energy distribution region with Mg concentration between that of the two highly resistive regions yielded low

  17. Impact of extended defects on recombination in CdTe heterostructures grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zaunbrecher, Katherine N. [Department of Physics, Colorado State University, Fort Collins, Colorado 80523 (United States); National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Kuciauskas, Darius; Dippo, Pat; Barnes, Teresa M. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Swartz, Craig H.; Edirisooriya, Madhavie; Ogedengbe, Olanrewaju S.; Sohal, Sandeep; Hancock, Bobby L.; LeBlanc, Elizabeth G.; Jayathilaka, Pathiraja A. R. D.; Myers, Thomas H. [Materials Science, Engineering and Commercialization Program, Texas State University, San Marcos, Texas 78666 (United States)

    2016-08-29

    Heterostructures with CdTe and CdTe{sub 1-x}Se{sub x} (x ∼ 0.01) absorbers between two wider-band-gap Cd{sub 1-x}Mg{sub x}Te barriers (x ∼ 0.25–0.3) were grown by molecular beam epitaxy to study carrier generation and recombination in bulk materials with passivated interfaces. Using a combination of confocal photoluminescence (PL), time-resolved PL, and low-temperature PL emission spectroscopy, two extended defect types were identified and the impact of these defects on charge-carrier recombination was analyzed. The dominant defects identified by confocal PL were dislocations in samples grown on (211)B CdTe substrates and crystallographic twinning-related defects in samples on (100)-oriented InSb substrates. Low-temperature PL shows that twin-related defects have a zero-phonon energy of 1.460 eV and a Huang-Rhys factor of 1.50, while dislocation-dominated samples have a 1.473-eV zero-phonon energy and a Huang-Rhys factor of 1.22. The charge carrier diffusion length near both types of defects is ∼6 μm, suggesting that recombination is limited by diffusion dynamics. For heterostructures with a low concentration of extended defects, the bulk lifetime was determined to be 2.2 μs with an interface recombination velocity of 160 cm/s and an estimated radiative lifetime of 91 μs.

  18. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    Science.gov (United States)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  19. Vertical current-flow enhancement via fabrication of GaN nanorod p–n junction diode on graphene

    Energy Technology Data Exchange (ETDEWEB)

    Ryu, Sung Ryong [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Department of physics, Dongguk University, Seoul, 100-715 (Korea, Republic of); Ram, S.D. Gopal; Lee, Seung Joo; Cho, Hak-dong; Lee, Sejoon [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Kang, Tae Won, E-mail: twkang@dongguk.edu [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Clean Energy and Nano Convergence Centre, Hindustan University, Chennai 600 016 (India); Kwon, Sangwoo; Yang, Woochul [Department of physics, Dongguk University, Seoul, 100-715 (Korea, Republic of); Shin, Sunhye [Soft-Epi Inc., 240 Opo-ro, Opo-eup, Gwangju-si, Gyeonggi-do (Korea, Republic of); Woo, Yongdeuk [Department of Mechanical and Automotive Engineering, Woosuk University, Chonbuk 565-701 (Korea, Republic of)

    2015-08-30

    Highlights: • Uniaxial p–n junction diode in GaN nanorod is made by Hydride vapor phase epitaxy method. • The p–n junction diode property is clearly observed from the fabricated uniaxial p–n junction nanorod GaN nanorod. • Graphene is used as a current spreading layer to reduce the lateral resistance up to 700 times when compared with the commercial sapphire substrate, which is clearly explained with the aid of an equivalent circuit. • Kelvin Force Probe microscopy method is employed to visualize the p- and n- regions in a single GaN nanorod. - Abstract: Mg doped GaN nanorods were grown on undoped n-type GaN nanorods uniaxial on monolayer graphene by hydride vapor phase epitaxy (HVPE) method. The monolayer graphene used as the bottom electrode and a substrate as well provides good electrical contact, acts as a current spreading layer, well suitable for the growth of hexagonal GaN nanorod. In addition it has a work function suitable to that of n-GaN. The formed p–n nanorods show a Schottky behavior with a turn on voltage of 3 V. Using graphene as the substrate, the resistance of the nanorod is reduced by 700 times when compared with the case without using graphene as the current spreading layer. The low resistance of graphene acts in parallel with the resistance of the GaN buffer layer, and reduces the resistance drastically. The formed p–n junction in a single GaN nanorod is visualized by Kelvin Force Probe Microscopy (KPFM) to have distinctively contrast p and n regions. The measured contact potential difference of p-and n-region has a difference of 103 mV which well confirms the formed regions are electronically different. Low temperature photoluminescence (PL) spectra give evidence of dopant related acceptor bound emission at 3.2 eV different from 3.4 eV of undoped GaN. The crystalline structure, compositional purity is confirmed by X-ray diffraction (XRD), Transmission and Scanning electron microcopies (SEM), (TEM), Energy dispersive analysis

  20. Vertical current-flow enhancement via fabrication of GaN nanorod p–n junction diode on graphene

    International Nuclear Information System (INIS)

    Ryu, Sung Ryong; Ram, S.D. Gopal; Lee, Seung Joo; Cho, Hak-dong; Lee, Sejoon; Kang, Tae Won; Kwon, Sangwoo; Yang, Woochul; Shin, Sunhye; Woo, Yongdeuk

    2015-01-01

    Highlights: • Uniaxial p–n junction diode in GaN nanorod is made by Hydride vapor phase epitaxy method. • The p–n junction diode property is clearly observed from the fabricated uniaxial p–n junction nanorod GaN nanorod. • Graphene is used as a current spreading layer to reduce the lateral resistance up to 700 times when compared with the commercial sapphire substrate, which is clearly explained with the aid of an equivalent circuit. • Kelvin Force Probe microscopy method is employed to visualize the p- and n- regions in a single GaN nanorod. - Abstract: Mg doped GaN nanorods were grown on undoped n-type GaN nanorods uniaxial on monolayer graphene by hydride vapor phase epitaxy (HVPE) method. The monolayer graphene used as the bottom electrode and a substrate as well provides good electrical contact, acts as a current spreading layer, well suitable for the growth of hexagonal GaN nanorod. In addition it has a work function suitable to that of n-GaN. The formed p–n nanorods show a Schottky behavior with a turn on voltage of 3 V. Using graphene as the substrate, the resistance of the nanorod is reduced by 700 times when compared with the case without using graphene as the current spreading layer. The low resistance of graphene acts in parallel with the resistance of the GaN buffer layer, and reduces the resistance drastically. The formed p–n junction in a single GaN nanorod is visualized by Kelvin Force Probe Microscopy (KPFM) to have distinctively contrast p and n regions. The measured contact potential difference of p-and n-region has a difference of 103 mV which well confirms the formed regions are electronically different. Low temperature photoluminescence (PL) spectra give evidence of dopant related acceptor bound emission at 3.2 eV different from 3.4 eV of undoped GaN. The crystalline structure, compositional purity is confirmed by X-ray diffraction (XRD), Transmission and Scanning electron microcopies (SEM), (TEM), Energy dispersive analysis

  1. Epitaxial single-crystal thin films of MnxTi1-xO2-δ grown on (rutile)TiO2 substrates with pulsed laser deposition: Experiment and theory

    Energy Technology Data Exchange (ETDEWEB)

    Ilton, Eugene S.; Droubay, Timothy C.; Chaka, Anne M.; Kovarik, Libor; Varga, Tamas; Arey, Bruce W.; Kerisit, Sebastien N.

    2015-02-01

    Epitaxial rutile-structured single-crystal MnxTi1-xO2-δ films were synthesized on rutile- (110) and -(001) substrates using pulsed laser deposition. The films were characterized by reflection high-energy electron diffraction (RHEED), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and aberration-corrected transmission electron microscopy (ACTEM). Under the present conditions, 400oC and PO2 = 20 mTorr, single crystal epitaxial thin films were grown for x = 0.13, where x is the nominal average mole fraction of Mn. In fact, arbitrarily thick films could be grown with near invariant Mn/Ti concentration profiles from the substrate/film interface to the film surface. In contrast, at x = 0.25, Mn became enriched towards the surface and a secondary nano-scale phase formed which appeared to maintain the basic rutile structure but with enhanced z-contrast in the tunnels, or tetrahedral interstitial sites. Ab initio thermodynamic calculations provided quantitative estimates for the destabilizing effect of expanding the β-MnO2 lattice parameters to those of TiO2-rutile, the stabilizing effect of diluting Mn with increasing Ti concentration, and competing reaction pathways.

  2. Graphene-carbon nanotube hybrid materials and use as electrodes

    Science.gov (United States)

    Tour, James M.; Zhu, Yu; Li, Lei; Yan, Zheng; Lin, Jian

    2016-09-27

    Provided are methods of making graphene-carbon nanotube hybrid materials. Such methods generally include: (1) associating a graphene film with a substrate; (2) applying a catalyst and a carbon source to the graphene film; and (3) growing carbon nanotubes on the graphene film. The grown carbon nanotubes become covalently linked to the graphene film through carbon-carbon bonds that are located at one or more junctions between the carbon nanotubes and the graphene film. In addition, the grown carbon nanotubes are in ohmic contact with the graphene film through the carbon-carbon bonds at the one or more junctions. The one or more junctions may include seven-membered carbon rings. Also provided are the formed graphene-carbon nanotube hybrid materials.

  3. Vacuum Technology in the study of Graphene

    International Nuclear Information System (INIS)

    Ghoshal, A K; Banerjee, S N; Chakraborty, D

    2012-01-01

    Graphene, an allotrope of carbon is a two-dimensional sheet of covalently bonded carbon atoms that has been attracting great attention in the field of electronics. In a recent review graphene is defined as a flat monolayer of carbon atoms tightly packed into a 2-D honeycomb lattice. A survey has been made of the production processes and instrumentation for characterization of graphene. In the production of graphene, the methods mainly used are Epitaxial growth, oxide reduction, growth from metal-carbon melts, growth from sugar. In the characterization of graphene, the instruments that are mainly used to study the atomic properties, electronic properties, optical properties, spin properties are Scanning Electron Microscopy, Transmission Electron Microscopy, Raman Spectroscopy. In all these instruments high or ultra-high vacuum is required. This paper attempts to correlate vacuum technology in the production and characterization of graphene.

  4. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  5. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    Science.gov (United States)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  6. Atomically flat platinum films grown on synthetic mica

    Science.gov (United States)

    Tanaka, Hiroyuki; Taniguchi, Masateru

    2018-04-01

    Atomically flat platinum thin films were heteroepitaxially grown on synthetic fluorophlogopite mica [KMg3(AlSi3O10)F2] by van der Waals epitaxy. Platinum films deposited on a fluorophlogopite mica substrate by inductively coupled plasma-assisted sputtering with oxygen introduction on a synthetic mica substrate resulted in the growth of twin single-crystalline epitaxial Pt(111) films.

  7. The effect of metal-rich growth conditions on the microstructure of Sc{sub x}Ga{sub 1-x}N films grown using molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tsui, H.C.L.; Moram, M.A. [Department of Materials, Imperial College London (United Kingdom); Goff, L.E. [Department of Materials, Imperial College London (United Kingdom); Department of Physics, University of Cambridge (United Kingdom); Barradas, N.P. [CTN - Centro de Ciencias e Tecnologias Nucleares, Instituto Superior Tecnico, Universidade de Lisboa, Bobadela LRS (Portugal); Alves, E. [IPFN - Instituto de Plasmas e Fusao Nuclear, Lisboa (Portugal); Laboratorio de Aceleradores e Tecnologias de Radiacao, Instituto Superior Tecnico, Universidade de Lisboa, Bobadela LRS (Portugal); Pereira, S. [CICECO and Department of Physics, Universidade de Aveiro (Portugal); Beere, H.E.; Farrer, I.; Nicoll, C.A.; Ritchie, D.A. [Department of Physics, University of Cambridge (United Kingdom)

    2015-12-15

    Epitaxial Sc{sub x}Ga{sub 1-x}N films with 0 ≤ x ≤ 0.50 were grown using molecular beam epitaxy under metal-rich conditions. The Sc{sub x}Ga{sub 1-x}N growth rate increased with increasing Sc flux despite the use of metal-rich growth conditions, which is attributed to the catalytic decomposition of N{sub 2} induced by the presence of Sc. Microstructural analysis showed that phase-pure wurtzite Sc{sub x}Ga{sub 1-x}N was achieved up to x = 0.26, which is significantly higher than that previously reported for nitrogen-rich conditions, indicating that the use of metal-rich conditions can help to stabilise wurtzite phase Sc{sub x}Ga{sub 1-x}N. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. A novel epitaxially grown LSO-based thin-film scintillator for micro-imaging using hard synchrotron radiation

    Energy Technology Data Exchange (ETDEWEB)

    Douissard, P.A.; Martin, T.; Chevalier, V.; Rack, A. [European Synchrotron Radiat Facil, F-38043 Grenoble, (France); Cecilia, A.; Baumbach, T.; Rack, A. [Karlsruhe Inst Technol ANKA, D-76021 Karlsruhe, (Germany); Couchaud, M. [CEA LETI, F-38054 Grenoble, (France); Dupre, K. [FEE GmbH, D-55743 Idar Oberstein, (Germany); Kuhbacher, M. [Helmholtz Zentrum Berlin Mat and Energie, D-14109 Berlin, (Germany)

    2010-07-01

    The efficiency of high-resolution pixel detectors for hard X-rays is nowadays one of the major criteria which drives the feasibility of imaging experiments and in general the performance of an experimental station for synchrotron-based microtomography and radiography. Here the luminescent screen used for the indirect detection is focused on in order to increase the detective quantum efficiency a novel scintillator based on doped Lu{sub 2}SiO{sub 5} (LSO), epitaxially grown as thin film via the liquid phase epitaxy technique. It is shown that, by using adapted growth and doping parameters as well as a dedicated substrate, the scintillation behaviour of a LSO-based thin crystal together with the high stopping power of the material allows for high-performance indirect X-ray detection. In detail, the conversion efficiency, the radioluminescence spectra, the optical absorption spectra under UV/visible-light and the afterglow are investigated. A set-up to study the effect of the thin-film scintillator's temperature on its conversion efficiency is described as well it delivers knowledge which is important when working with higher photon flux densities and the corresponding high heat load on the material. Additionally, X-ray imaging systems based on different diffraction-limited visible-light optics and CCD cameras using among others LSO-based thin film are compared. Finally, the performance of the LSO thin film is illustrated by imaging a honey bee leg, demonstrating the value of efficient high-resolution computed tomography for life sciences. (authors)

  9. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  10. Characterization of TEM Moiré Patterns Originating from Two Monolayer Graphenes Grown on the Front and Back Sides of a Copper Substrate by CVD Method

    Science.gov (United States)

    Yamazaki, Kenji; Maehara, Yosuke; Gohara, Kazutoshi

    2018-06-01

    The number of layers affects the electronic properties of graphene owing to its unique band structure, called the Dirac corn. Raman spectroscopy is a key diagnostic tool for identifying the number of graphene layers and for determining their physical properties. Here, we observed moiré structures in transmission electron microscopy (TEM) observations; these are signature patterns in multilayer, although Raman spectra showed the typical intensity of the 2D/G peak in the monolayer. We also performed a multi-slice TEM image simulation to compare the 3D atomic structures of the two graphene membranes with experimental TEM images. We found that the experimental moiré image was constructed with a 9-12 Å interlayer distance between graphene membranes. This structure was constructed by transferring CVD-grown graphene films that formed on both sides of the Cu substrate at once.

  11. Thick Bi2Sr2CaCu2O8+δ films grown by liquid-phase epitaxy for Josephson THz applications

    Science.gov (United States)

    Simsek, Y.; Vlasko-Vlasov, V.; Koshelev, A. E.; Benseman, T.; Hao, Y.; Kesgin, I.; Claus, H.; Pearson, J.; Kwok, W.-K.; Welp, U.

    2018-01-01

    Theoretical and experimental studies of intrinsic Josephson junctions (IJJs) that naturally occur in high-T c superconducting Bi2Sr2CaCu2O8+δ (Bi-2212) have demonstrated their potential for novel types of compact devices for the generation and sensing of electromagnetic radiation in the THz range. Here, we show that the THz-on-a-chip concept may be realized in liquid-phase epitaxial-grown (LPE) thick Bi-2212 films. We have grown μm thick Bi-2212 LPE films on MgO substrates. These films display excellent c-axis alignment and single crystal grains of about 650 × 150 μm2 in size. A branched current-voltage characteristic was clearly observed in c-axis transport, which is a clear signature of underdamped IJJs, and a prerequisite for THz-generation. We discuss LPE growth conditions allowing improvement of the structural quality and superconducting properties of Bi-2212 films for THz applications.

  12. Deep levels in a-plane, high Mg-content MgxZn1−xO epitaxial layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gür, Emre; Tabares, G.; Hierro, A.; Arehart, A.; Ringel, S. A.; Chauveau, J. M.

    2012-01-01

    Deep level defects in n-type unintentionally doped a-plane Mg x Zn 1−x O, grown by molecular beam epitaxy on r-plane sapphire were fully characterized using deep level optical spectroscopy (DLOS) and related methods. Four compositions of Mg x Zn 1−x O were examined with x = 0.31, 0.44, 0.52, and 0.56 together with a control ZnO sample. DLOS measurements revealed the presence of five deep levels in each Mg-containing sample, having energy levels of E c − 1.4 eV, 2.1 eV, 2.6 V, and E v + 0.3 eV and 0.6 eV. For all Mg compositions, the activation energies of the first three states were constant with respect to the conduction band edge, whereas the latter two revealed constant activation energies with respect to the valence band edge. In contrast to the ternary materials, only three levels, at E c − 2.1 eV, E v + 0.3 eV, and 0.6 eV, were observed for the ZnO control sample in this systematically grown series of samples. Substantially higher concentrations of the deep levels at E v + 0.3 eV and E c − 2.1 eV were observed in ZnO compared to the Mg alloyed samples. Moreover, there is a general invariance of trap concentration of the E v + 0.3 eV and 0.6 eV levels on Mg content, while at least and order of magnitude dependency of the E c − 1.4 eV and E c − 2.6 eV levels in Mg alloyed samples.

  13. Non-vacuum growth of graphene films using solid carbon source

    International Nuclear Information System (INIS)

    Nguyen, Ba-Son; Lin, Jen-Fin; Perng, Dung-Ching

    2015-01-01

    This study demonstrates that air annealing can grow high-quality graphene films on the surface of polycrystalline nickel film with the help of an effective SiO 2 capping layer. The number of graphene layers can be modulated by the amount of carbon embedded in the Ni film before annealing. Raman analysis results, transmission electron microscopy images, and electron diffraction patterns of the samples confirm that graphene films can be grown in air with an oxygen blocking layer and a 10 °C/s cooling rate in an open-vented rapid thermal annealing chamber or an open tube furnace. The high-quality low-defect air-annealing grown graphene is comparable to commercially available graphene grown via chemical vapor deposition. The proposed graphene growth using air annealing technique is simple and low-cost, making it highly attractive for mass production. It is transfer-free to a silicon substrate and can speed up graphene development, opening up new applications

  14. Non-vacuum growth of graphene films using solid carbon source

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Ba-Son [Department of Mechanical Engineering, National Cheng Kung University, 1 University Road, Tainan 701, Taiwan (China); Faculty of Mechatronics – Electronics, Lac Hong University, 10 Huynh Van Nghe Road, Bienhoa (Viet Nam); Lin, Jen-Fin, E-mail: jflin@mail.ncku.edu.tw, E-mail: dcperng@ee.ncku.edu.tw [Department of Mechanical Engineering, National Cheng Kung University, 1 University Road, Tainan 701, Taiwan (China); Center for Micro/Nano Science and Technology, National Cheng Kung University, 1 University Road, Tainan 701, Taiwan (China); Perng, Dung-Ching, E-mail: jflin@mail.ncku.edu.tw, E-mail: dcperng@ee.ncku.edu.tw [Center for Micro/Nano Science and Technology, National Cheng Kung University, 1 University Road, Tainan 701, Taiwan (China); Institute of Microelectronics and Electrical Engineering Department, National Cheng Kung University, 1 University Road, Tainan 701, Taiwan (China)

    2015-06-01

    This study demonstrates that air annealing can grow high-quality graphene films on the surface of polycrystalline nickel film with the help of an effective SiO{sub 2} capping layer. The number of graphene layers can be modulated by the amount of carbon embedded in the Ni film before annealing. Raman analysis results, transmission electron microscopy images, and electron diffraction patterns of the samples confirm that graphene films can be grown in air with an oxygen blocking layer and a 10 °C/s cooling rate in an open-vented rapid thermal annealing chamber or an open tube furnace. The high-quality low-defect air-annealing grown graphene is comparable to commercially available graphene grown via chemical vapor deposition. The proposed graphene growth using air annealing technique is simple and low-cost, making it highly attractive for mass production. It is transfer-free to a silicon substrate and can speed up graphene development, opening up new applications.

  15. Fabrication of Ultrasensitive Field-Effect Transistor DNA Biosensors by a Directional Transfer Technique Based on CVD-Grown Graphene.

    Science.gov (United States)

    Zheng, Chao; Huang, Le; Zhang, Hong; Sun, Zhongyue; Zhang, Zhiyong; Zhang, Guo-Jun

    2015-08-12

    Most graphene field-effect transistor (G-FET) biosensors are fabricated through a routine process, in which graphene is transferred onto a Si/SiO2 substrate and then devices are subsequently produced by micromanufacture processes. However, such a fabrication approach can introduce contamination onto the graphene surface during the lithographic process, resulting in interference for the subsequent biosensing. In this work, we have developed a novel directional transfer technique to fabricate G-FET biosensors based on chemical-vapor-deposition- (CVD-) grown single-layer graphene (SLG) and applied this biosensor for the sensitive detection of DNA. A FET device with six individual array sensors was first fabricated, and SLG obtained by the CVD-growth method was transferred onto the sensor surface in a directional manner. Afterward, peptide nucleic acid (PNA) was covalently immobilized on the graphene surface, and DNA detection was realized by applying specific target DNA to the PNA-functionalized G-FET biosensor. The developed G-FET biosensor was able to detect target DNA at concentrations as low as 10 fM, which is 1 order of magnitude lower than those reported in a previous work. In addition, the biosensor was capable of distinguishing the complementary DNA from one-base-mismatched DNA and noncomplementary DNA. The directional transfer technique for the fabrication of G-FET biosensors is simple, and the as-constructed G-FET DNA biosensor shows ultrasensitivity and high specificity, indicating its potential application in disease diagnostics as a point-of-care tool.

  16. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hardy, Matthew T., E-mail: matthew.hardy.ctr@nrl.navy.mil; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J. [Electronics Science and Technology Division, Naval Research Laboratory, 4555 Overlook Avenue SW, Washington DC 20375 (United States); McConkie, Thomas O.; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States); Nepal, Neeraj [Sotera Defense Solutions, 2200 Defense Hwy Suite 405, Crofton, Maryland 21114 (United States)

    2016-03-15

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10{sup 13 }cm{sup −2} and no degradation in mobility (1760 cm{sup 2}/V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE.

  17. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hardy, Matthew T.; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J.; McConkie, Thomas O.; Smith, David J.; Nepal, Neeraj

    2016-01-01

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10 13  cm −2 and no degradation in mobility (1760 cm 2 /V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE

  18. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V. [Departamento de Fisica Aplicada y Electromagnetismo, Universitat de Valencia, C/Dr. Moliner 50, 46100 Burjassot (Spain)

    2005-02-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including {theta}-2{theta} scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    International Nuclear Information System (INIS)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V.

    2005-01-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including θ-2θ scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Catalyst free growth of ZnO nanowires on graphene and graphene oxide and its enhanced photoluminescence and photoresponse

    International Nuclear Information System (INIS)

    Biroju, Ravi K; Giri, P K; Tilak, Nikhil; Rajender, Gone; Dhara, S

    2015-01-01

    We demonstrate the graphene assisted catalyst free growth of ZnO nanowires (NWs) on chemical vapor deposited (CVD) and chemically processed graphene buffer layers at a relatively low growth temperature (580 °C) in the presence and absence of ZnO seed layers. In the case of CVD graphene covered with rapid thermal annealed ZnO buffer layer, the growth of vertically aligned ZnO NWs takes place, while the direct growth on CVD graphene, chemically derived graphene (graphene oxide and graphene quantum dots) without ZnO seed layer resulted in randomly oriented sparse ZnO NWs. Growth mechanism was studied from high resolution transmission electron microscopy and Raman spectroscopy of the hybrid structure. Further, we demonstrate strong UV, visible photoluminescence (PL) and enhanced photoconductivity (PC) from the CVD graphene–ZnO NWs hybrids as compared to the ZnO NWs grown without the graphene buffer layer. The evolution of crystalinity in ZnO NWs grown with ZnO seed layer and graphene buffer layer is correlated with the Gaussian line shape of UV and visible PL. This is further supported by the strong Raman mode at 438 cm −1 significant for the wurtzite phase of the ZnO NWs grown on different graphene substrates. The effect of the thickness of ZnO seed layers and the role of graphene buffer layers on the aligned growth of ZnO NWs and its enhanced PC are investigated systematically. Our results demonstrate the catalyst free growth and superior performance of graphene–ZnO NW hybrid UV photodetectors as compared to the bare ZnO NW based photodetectors. (paper)

  1. Atom-scale covalent electrochemical modification of single-layer graphene on SiC substrates by diaryliodonium salts

    International Nuclear Information System (INIS)

    Gearba, Raluca I.; Mueller, Kory M.; Veneman, Peter A.; Holliday, Bradley J.; Chan, Calvin K.; Stevenson, Keith J.

    2015-01-01

    Owing to its high conductivity, graphene holds promise as an electrode for energy devices such as batteries and photovoltaics. However, to this end, the work function and doping levels in graphene need to be precisely tuned. One promising route for modifying graphene's electronic properties is via controlled covalent electrochemical grafting of molecules. We show that by employing diaryliodonium salts instead of the commonly used diazonium salts, spontaneous functionalization is avoided. This then allows for precise tuning of the grafting density. Moreover, by employing bis(4-nitrophenyl)iodonium(III) tetrafluoroborate (DNP) salt calibration curves, the surface functionalization density (coverage) of glassy carbon was controlled using cyclic voltammetry in varying salt concentrations. These electro-grafting conditions and calibration curves translated directly over to modifying single layer epitaxial graphene substrates (grown on insulating 6H-SiC (0 0 0 1)). In addition to quantifying the functionalization densities using electrochemical methods, samples with low grafting densities were characterized by low-temperature scanning tunneling microscopy (LT-STM). We show that the use of buffer-layer free graphene substrates is required for clear observation of the nitrophenyl modifications. Furthermore, atomically-resolved STM images of single site modifications were obtained, showing no preferential grafting at defect sites or SiC step edges as supposed previously in the literature. Most of the grafts exhibit threefold symmetry, but occasional extended modifications (larger than 4 nm) were observed as well

  2. Metal Oxide Vertical Graphene Hybrid Supercapacitors

    Science.gov (United States)

    Meyyappan, Meyya (Inventor)

    2018-01-01

    A metal oxide vertical graphene hybrid supercapacitor is provided. The supercapacitor includes a pair of collectors facing each other, and vertical graphene electrode material grown directly on each of the pair of collectors without catalyst or binders. A separator may separate the vertical graphene electrode materials.

  3. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  4. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  5. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  6. Properties of MIS structures based on graded-gap HgCdTe grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadookh, S. M.; Varavin, V. S.; Dvoretskii, S. A.; Mikhailov, N. N.; Sidorov, Yu. G.; Vasiliev, V. V.

    2008-01-01

    The effect of near-surface graded-gap layers on the electrical characteristics of MIS structures fabricated based on heteroepitaxial Hg 1-x Cd x Te films grown by molecular beam epitaxy with a two-layer SiO 2 /Si 3 N 4 insulator and anodic oxide film is studied experimentally. It is shown that a larger modulation of capacitance (depth and width of the valley) is observed compared with the structures without the graded-gap layer. The field dependences of photovoltage of MIS structures with the graded-gap layers had a classical form and were characterized by a drop only in the enrichment region. For the structures without the graded-gap layer with x = 0.22, a drop in the voltage dependence of the photocurrent is observed in the region of pronounced inversion. This drop is governed by limitation of the space charge region by processes of tunneling generation via deep levels. The properties of the HgCdTe-insulator interfaces are studied.

  7. High resolution x-ray scattering studies of strain in epitaxial thin films of yttrium silicide grown on silicon (111)

    International Nuclear Information System (INIS)

    Marthinez-Miranda, L.J.; Santiago-Aviles, J.J.; Siegal, M.P.; Graham, W.R.; Heiney, P.A.

    1990-01-01

    The authors have used high resolution grazing incidence x-ray scattering (GIXS) to study the in- plane and out-of-plane structure of epitaxial YSi 2-x films grown on Si(111), with thicknesses ranging from 85 Angstrom to 510 Angstrom. Their results indicate that the films are strained, and that film strain increases as a function of thickness, with lattice parameters varying from a = 3.846 Angstrom/c = 4.142 Angstrom for the 85 Angstrom film to a = 3.877 Angstrom/c = 4.121 Angstrom for the 510 Angstrom film. The authors correlate these results with an increase in pinhole areal coverage as a function of thickness. In addition, the authors' measurements show no evidence for the existence of ordered silicon vacancies in the films

  8. Temperature dependence of optical transitions in Al xGa1-xAs/GaAs quantum well structures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Caballero-Rosas, A.; Mejia-Garcia, C.; Contreras-Puente, G.; Lopez-Lopez, M.

    2005-01-01

    Quantum well (QW) structures of Al x Ga 1-x As/GaAs were characterized by photoluminescence technique as a function of the temperature between 10 and 300 K. The structures were grown on a 500 nm thick GaAs buffer layer with Molecular Beam Epitaxy technique. We have studied the properties of in-situ Cl 2 -etched GaAs surfaces and overgrown QW structures as a function of the etching temperature (70 and 200 deg. C). Several models were used to fit the experimental points. Best fit to experimental points was obtained with the Paessler model

  9. Impact of substrate temperature on the incorporation of carbon-related defects and mechanism for semi-insulating behavior in GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Armstrong, A.; Poblenz, C.; Green, D.S.; Mishra, U.K.; Speck, J.S.; Ringel, S.A.

    2006-01-01

    The electrical conductivity and deep level spectrum of GaN grown by molecular beam epitaxy and codoped with carbon and silicon were investigated for substrate temperatures T s of 650 and 720 deg. C as a function relative carbon and silicon doping levels. With sufficiently high carbon doping, semi-insulating behavior was observed for films grown at both temperatures, and growth at T s =720 deg. C enhanced the carbon compensation ratio. Similar carbon-related band gap states were observed via deep level optical spectroscopy for films grown at both substrate temperatures. Due to the semi-insulating nature of the films, a lighted capacitance-voltage technique was required to determine individual deep level concentrations. Carbon-related band gap states underwent substantial redistribution between deep level and shallow acceptor configurations with change in T s . In light of a T s dependence for the preferential site of carbon incorporation, a model of semi-insulating behavior in terms of carbon impurity state incorporation mediated by substrate temperature is proposed

  10. High electron mobility through the edge states in random networks of c-axis oriented wedge-shaped GaN nanowalls grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bhasker, H. P.; Dhar, S.; Sain, A.; Kesaria, Manoj; Shivaprasad, S. M.

    2012-01-01

    Transport and optical properties of random networks of c-axis oriented wedge-shaped GaN nanowalls grown spontaneously on c-plane sapphire substrates through molecular beam epitaxy are investigated. Our study suggests a one dimensional confinement of carriers at the top edges of these connected nanowalls, which results in a blue shift of the band edge luminescence, a reduction of the exciton-phonon coupling, and an enhancement of the exciton binding energy. Not only that, the yellow luminescence in these samples is found to be completely suppressed even at room temperature. All these changes are highly desirable for the enhancement of the luminescence efficiency of the material. More interestingly, the electron mobility through the network is found to be significantly higher than that is typically observed for GaN epitaxial films. This dramatic improvement is attributed to the transport of electrons through the edge states formed at the top edges of the nanowalls.

  11. Highly repeatable room temperature negative differential resistance in AlN/GaN resonant tunneling diodes grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Growden, Tyler A.; Fakhimi, Parastou; Berger, Paul R.; Storm, David F.; Meyer, David J.; Zhang, Weidong; Brown, Elliott R.

    2016-01-01

    AlN/GaN resonant tunneling diodes grown on low dislocation density semi-insulating bulk GaN substrates via plasma-assisted molecular-beam epitaxy are reported. The devices were fabricated using a six mask level, fully isolated process. Stable room temperature negative differential resistance (NDR) was observed across the entire sample. The NDR exhibited no hysteresis, background light sensitivity, or degradation of any kind after more than 1000 continuous up-and-down voltage sweeps. The sample exhibited a ∼90% yield of operational devices which routinely displayed an average peak current density of 2.7 kA/cm 2 and a peak-to-valley current ratio of ≈1.15 across different sizes.

  12. Highly repeatable room temperature negative differential resistance in AlN/GaN resonant tunneling diodes grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Growden, Tyler A.; Fakhimi, Parastou; Berger, Paul R., E-mail: pberger@ieee.org [Department of Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio 43210 (United States); Storm, David F.; Meyer, David J. [U.S. Naval Research Laboratory, Washington, DC 20375 (United States); Zhang, Weidong; Brown, Elliott R. [Departments of Physics and Electrical Engineering, Wright State University, Dayton, Ohio 45435 (United States)

    2016-08-22

    AlN/GaN resonant tunneling diodes grown on low dislocation density semi-insulating bulk GaN substrates via plasma-assisted molecular-beam epitaxy are reported. The devices were fabricated using a six mask level, fully isolated process. Stable room temperature negative differential resistance (NDR) was observed across the entire sample. The NDR exhibited no hysteresis, background light sensitivity, or degradation of any kind after more than 1000 continuous up-and-down voltage sweeps. The sample exhibited a ∼90% yield of operational devices which routinely displayed an average peak current density of 2.7 kA/cm{sup 2} and a peak-to-valley current ratio of ≈1.15 across different sizes.

  13. Optical investigation of atomic steps in ultra-thin InGaAs/InP quantum wells grown by vapor levitation epitaxy

    International Nuclear Information System (INIS)

    Morais, P.C.

    1988-09-01

    Ultra-thin InGaAs/InP single-quantum-well structures, grown by chloride transport vapor levitation epitaxy, have been investigated by low temperature photoluminescence (PL). Well resolved peaks are observed in the PL spectra which we attribute to monolayer (a/2=2.93 A) variations in quantum well (QW) thickness. Separate peak positions for QW thicknesses corresponding to 2-6 monolayers have been determined, providing an unambiguous thickness calibration for spectral shifts due to quantum confinement. The PL peak corresponding to two monolayers occurs at 1.314 eV corresponding to an energy shift of 524 meV. Experimental data agree very well with a simple effective-mass theory. (author) [pt

  14. High efficiency green/yellow and red InGaN/AlGaN nanowire light-emitting diodes grown by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M.R. Philip

    2017-06-01

    Full Text Available We report on the achievement of high efficiency green, yellow, and red InGaN/AlGaN dot-in-a-wire nanowire light-emitting diodes grown on Si(111 by molecular beam epitaxy. The peak emission wavelengths were altered by varying the growth conditions, including the substrate temperature, and In/Ga flux ratio. The devices demonstrate relatively high (>40% internal quantum efficiency at room temperature, relative to that measured at 5 K. Moreover, negligible blue-shift in peak emission spectrum associated with no efficiency droop was measured when injection current was driven up to 556 A/cm2.

  15. Wafer-Scale Gigahertz Graphene Field Effect Transistors on SiC Substrates

    Institute of Scientific and Technical Information of China (English)

    潘洪亮; 金智; 麻芃; 郭建楠; 刘新宇; 叶甜春; 李佳; 敦少博; 冯志红

    2011-01-01

    Wafer-scale graphene field-effect transistors are fabricated using benzocyclobutene and atomic layer deposition Al2O3 as the top-gate dielectric.The epitaxial-graphene layer is formed by graphitization of a 2-inch-diameter Si-face semi-insulating 6H-SiC substrate.The graphene on the silicon carbide substrate is heavily n-doped and current saturation is not found.For the intrinsic characteristic of this particular channel material,the devices cannot be switched off.The cut-off frequencies of these graphene field-effect transistors,which have a gate length of l μm,are larger than 800 MHz.The largest one can reach 1.24 GHz.There are greater than 95% active devices that can be successfully applied.We thus succeed in fabricating wafer-scale gigahertz graphene field-effect transistors,which paves the way for high-performance graphene devices and circuits.%Wafer-scale graphene Beld-effect transistors are fabricated using benzocyclobutene and atomic layer deposition AI2O3 as the top-gate dielectric. The epitaxial-graphene layer is formed by graphitization of a 2-inch-diameter Si-face semi-insulating 6H-SiC substrate. The graphene on the silicon carbide substrate is heavily n-doped and current saturation is not found. For the intrinsic characteristic of this particular channel material, the devices cannot be switched off. The cut-off frequencies of these graphene field-effect transistors, which have a gate length of l μm, are larger than 800MHz. The largest one can reach 1.24 GHz. There are greater than 95% active devices that can be successfully applied. We thus succeed in fabricating wafer-scale gigahertz graphene Geld-effect transistors, which paves the way for high-performance graphene devices and circuits.

  16. Atmospheric pressure route to epitaxial nitrogen-doped trilayer graphene on 4H-SiC (0001) substrate

    International Nuclear Information System (INIS)

    Boutchich, M.; Arezki, H.; Alamarguy, D.; Güneş, F.; Alvarez, J.; Kleider, J. P.; Ho, K.-I.; Lai, C. S.; Sediri, H.; Ouerghi, A.

    2014-01-01

    Large-area graphene film doped with nitrogen is of great interest for a wide spectrum of nanoelectronics applications, such as field effect devices, super capacitors, and fuel cells among many others. Here, we report on the structural and electronic properties of nitrogen doped trilayer graphene on 4H-SiC (0001) grown under atmospheric pressure. The trilayer nature of the growth is evidenced by scanning transmission electron microscopy. X-ray photoelectron spectroscopy shows the incorporation of 1.2% of nitrogen distributed in pyrrolic-N, and pyridinic-N configurations as well as a graphitic-N contribution. This incorporation causes an increase in the D band on the Raman signature indicating that the nitrogen is creating defects. Ultraviolet photoelectron spectroscopy shows a decrease of the work function of 0.3 eV due to the N-type doping of the nitrogen atoms in the carbon lattice and the edge defects. A top gate field effect transistor device has been fabricated and exhibits carrier mobilities up to 1300 cm 2 /V s for holes and 850 cm 2 /V s for electrons at room temperature

  17. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    International Nuclear Information System (INIS)

    Khromov, S.; Hemmingsson, C.; Monemar, B.; Hultman, L.; Pozina, G.

    2014-01-01

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10 16 cm −3 to 6 × 10 17 cm −3 . Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits, quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10 17 cm −3 is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission

  18. Polarized infrared reflectance study of free standing cubic GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lee, S.C.; Ng, S.S.; Hassan, H. Abu; Hassan, Z.; Zainal, N.; Novikov, S.V.; Foxon, C.T.; Kent, A.J.

    2014-01-01

    Optical properties of free standing cubic gallium nitride grown by molecular beam epitaxy system are investigated by a polarized infrared (IR) reflectance technique. A strong reststrahlen band, which reveals the bulk-like optical phonon frequencies, is observed. Meanwhile, continuous oscillation fringes, which indicate the sample consists of two homogeneous layers with different dielectric constants, are observed in the non-reststrahlen region. By obtaining the first derivative of polarized IR reflectance spectra measured at higher angles of incidence, extra phonon resonances are identified at the edges of the reststrahlen band. The observations are verified with the theoretical results simulated based on a multi-oscillator model. - Highlights: • First time experimental studies of IR optical phonons in bulk like, cubic GaN layer. • Detection of extra phonon modes of cubic GaN by polarized IR reflectance technique. • Revelation of IR multiphonon modes of cubic GaN by first derivative numerical method. • Observation of multiphonon modes requires very high angle of incidence. • Resonance splitting effect induced by third phonon mode is a qualitative indicator

  19. Effects of magnesium contents in ZnMgO ternary alloys grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Sheng-Yao, E-mail: shenghu2729@yahoo.com [Department of Digital Technology Design, Tungfang Design Institute, Hunei, Kaohsiung 82941, Taiwan (China); Chou, Wu-Ching [Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Weng, Yu-Hsiang [Department of Electrical Engineering, National Taiwan Ocean University, Keelung 20224, Taiwan (China)

    2015-07-05

    Highlights: • ZnMgO alloys with different Mg contents have been produced by MBE. • Optical and structural properties have been measured and investigated. • Stress is tensile and is increased as the increasing of Mg contents. • The asymmetric behavior of the Raman mode was influenced due to the Mg contents. - Abstract: Ternary alloys of ZnMgO samples with different magnesium contents have been grown by molecular beam epitaxy on the sapphire substrates. Room temperature photoluminescence energy of ZnMgO shifted as high as 3.677 eV by increasing Mg contents corresponding to the higher Urbach average localization energy which indicates more randomness in the alloys with higher Mg contents. XRD results are also verified that the c-axis length decreases as the increasing Mg contents linking to the increased tensile stress produced by the Mg atoms. Raman spectra analyzed by the spatial correlation model to describe that the linewidth Γ is decreased but the correlation length L is increased as the increasing of Mg contents.

  20. Effects of magnesium contents in ZnMgO ternary alloys grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hu, Sheng-Yao; Chou, Wu-Ching; Weng, Yu-Hsiang

    2015-01-01

    Highlights: • ZnMgO alloys with different Mg contents have been produced by MBE. • Optical and structural properties have been measured and investigated. • Stress is tensile and is increased as the increasing of Mg contents. • The asymmetric behavior of the Raman mode was influenced due to the Mg contents. - Abstract: Ternary alloys of ZnMgO samples with different magnesium contents have been grown by molecular beam epitaxy on the sapphire substrates. Room temperature photoluminescence energy of ZnMgO shifted as high as 3.677 eV by increasing Mg contents corresponding to the higher Urbach average localization energy which indicates more randomness in the alloys with higher Mg contents. XRD results are also verified that the c-axis length decreases as the increasing Mg contents linking to the increased tensile stress produced by the Mg atoms. Raman spectra analyzed by the spatial correlation model to describe that the linewidth Γ is decreased but the correlation length L is increased as the increasing of Mg contents